WorldWideScience

Sample records for prevalent ampicillin resistance

  1. Ampicillin resistance in Haemophilus influenzae from COPD patients in the UK

    Directory of Open Access Journals (Sweden)

    Maddi S

    2017-05-01

    Full Text Available Satyanarayana Maddi,1 Umme Kolsum,1 Sarah Jackson,1 Richard Barraclough,2 Barbara Maschera,3 Karen D Simpson,3 Thierry G Pascal,4 Serge Durviaux,4 Edith M Hessel,3 Dave Singh1 1Division of Infection, Immunity and Respiratory Medicine, Medicines Evaluation Unit, University Hospital of South Manchester Foundation Trust, University of Manchester, 2Department of Respiratory Medicine, University Hospital of South Manchester Foundation Trust, Manchester, 3Refractory Respiratory Inflammation DPU, GlaxoSmithKline Medicines Research Centre, Stevenage, Hertfordshire, UK; 4Clinical Laboratory Sciences, GlaxoSmithKline Vaccines, Wavre, Belgium Background: Haemophilus influenzae is commonly isolated from the airways of COPD patients. Antibiotic treatment may cause the emergence of resistant H. influenzae strains, particularly ampicillin-resistant strains, including β-lactamase-negative ampicillin resistance (BLNAR strains. Genetic identification using ftsI sequencing is the optimum method for identifying mutations within BLNAR strains. The prevalence of BLNAR in COPD patients during the stable state has not been reported. We investigated the antibiotic resistance patterns of H. influenzae present in the sputum of stable COPD patients, focusing on ampicillin resistance; the prevalence of enzyme and non-enzyme-mediated ampicillin resistance was determined. A subset of patients was followed up longitudinally to study H. influenzae strain switching and antibiotic sensitivity changes.Patients and methods: Sputum sampling was performed in 61 COPD patients, with 42 samples obtained at baseline; H. influenzae was detected by polymerase chain reaction in 28 samples. In all, 45 patients completed the follow-up for 2 years; 24 H. influenzae isolates were obtained.Results: Disk diffusion showed the highest antibiotic resistance in the penicillin antibiotic group (eg, 67% for ampicillin and macrolides (eg, 46% for erythromycin, whereas all isolates were susceptible to

  2. Ampicillin Resistance and Outcome Differences in Acute Antepartum Pyelonephritis

    Directory of Open Access Journals (Sweden)

    Laura G. Greer

    2008-01-01

    Full Text Available Objective. To measure the incidence of ampicillin-resistant uropathogens in acute antepartum pyelonephritis and to determine if patients with resistant organisms had different clinical outcomes. Study design. This was a secondary analysis of a prospective cohort study of pregnant women admitted with pyelonephritis, diagnosed by standard clinical and laboratory criteria. All patients received ampicillin and gentamicin. Results. We identified 440 cases of acute pyelonephritis. Seventy-two percent (316 cases had urine cultures with identification of organism and antibiotic sensitivities. Fifty-one percent of uropathogens were ampicillin resistant. The patients with ampicillin-resistant organisms were more likely to be older and multiparous. There were no significant differences in hospital course (length of stay, days of antibiotics, ECU admission, or readmission. Patients with ampicillin-resistant organisms did not have higher complication rates (anemia, renal dysfunction, respiratory insufficiency, or preterm birth. Conclusion. A majority of uropathogens were ampicillin resistant, but no differences in outcomes were observed in these patients.

  3. Emergence of ampicillin-resistant Enterococcus faecium in Danish hospitals

    DEFF Research Database (Denmark)

    Lester, Camilla H; Sandvang, Dorthe; Olsen, Stefan

    2008-01-01

    BACKGROUND: Ampicillin-resistant Enterococcus faecium isolates are reported in increasing numbers in many European hospitals. The clonal complex 17 (CC17) characterized by ampicillin resistance has been associated with nosocomial E. faecium outbreaks and infections in five continents. The aim...... in the number of infections caused by enterococci was observed from 2002 through 2006. The increase was mainly caused by E. faecium isolates, which tripled, whereas the number of E. faecalis isolates increased by only 23% during the same period. There was also a significant increase in the number of ampicillin......-resistant E. faecium isolates. MLST showed that 98% of the tested ampicillin-resistant E. faecium isolates belonged to CC17. PFGE showed eight different clusters and we found indications of clonal spread within the hospitals. CONCLUSIONS: Ampicillin-resistant E. faecium isolates have increased in frequency...

  4. Space-time clustering of ampicillin resistant Escherichia coli isolated from Danish pigs at slaughter between 1997 and 2005

    DEFF Research Database (Denmark)

    Abatih, E. N.; Ersbøll, A. K.; Wong, Danilo Lo Fo

    2009-01-01

    In Denmark, antimicrobial resistance in bacteria in animals, animal products and humans, is routinely monitored. This study aimed at determining whether the observed variations in the prevalence of ampicillin resistant Escherichia coli isolated from healthy pigs at slaughter were random....... The clusters of ampicillin resistant E coli appeared at the same time as the national consumption of ampicillin in pigs increased, however antimicrobial consumption at the herd level did not appear to have any effects on space-time clustering in this study. The results could serve as a platform to highlight...... or clustered in space and time. Data on E coli isolates between 1997 and 2005 were obtained from the Danish Integrated Antimicrobial Resistance Monitoring and Research Programme (DANMAP) whereas data on the quantity of ampicillin consumed was obtained from the Danish Register of Veterinary Medicines (Vet...

  5. Simple test of synergy between ampicillin and vancomycin for resistant strains of Enterococcus faecium.

    OpenAIRE

    Green, M; Barbadora, K; Wadowsky, R M

    1994-01-01

    The combination of ampicillin and vancomycin kills some but not all strains of ampicillin- and vancomycin-resistant Enterococcus faecium. We compared a simple test for synergy utilizing a commercially available microdilution susceptibility system with time-kill studies and determined acceptable breakpoints for this test for 20 strains of ampicillin- and vancomycin-resistant E. faecium. The combination of ampicillin and vancomycin was tested for synergy by time-kill, broth macrodilution, and b...

  6. The effects of tertiary wastewater treatment on the prevalence of antimicrobial resistant bacteria

    DEFF Research Database (Denmark)

    Guardabassi, L.; Wong, Danilo Lo Fo; Dalsgaard, A.

    2002-01-01

    and anaerobically digested sludge by bacteriological counts on media selective for coliforms (MacConkey agar) and Acinetobacter spp. (Baumann agar). In addition, the level of antimicrobial susceptibility was determined by the disc-diffusion method in 442 Acinetobacter isolates identified by colony hybridisation......-resistant presumptive coliforms and Acinetobacter spp. in treated sewage and digested sludge were not significantly higher compared with raw sewage. On the contrary at one plant, statistically significant decreases were observed in the prevalence of ampicillin-resistant presumptive Acinetobacter spp. (p = 0.......0188) following sewage treatment, and in the prevalence of either ampicillin-resistant presumptive Acinetobacter spp. (p = 0.0013) or ampicillin- and gentamicin-resistant presumptive coliforms (p = 0.0273 and p = 0.0186) following sludge treatment. The results obtained by bacteriological counts were confirmed...

  7. Prevalence of beta-lactamases among ampicillin-resistant Escherichia coli and Salmonella isolated from food animals in Denmark

    DEFF Research Database (Denmark)

    Olesen, Inger; Hasman, Henrik; Aarestrup, Frank Møller

    2004-01-01

    The genetic background for beta-lactamase-mediated resistance to beta-lactam antibiotics was examined by PCR and sequencing in 160 ampicillin-resistant isolates (109 Escherichia coli and 51 Salmonella) obtained from healthy and diseased food animals in Denmark. Sequencing revealed three different...... leading to increased production of the AmpC beta-lactamase were demonstrated in 11 cefoxitin-resistant or intermediate E. coli isolates. Nine of these isolates did not contain any bla(TEM) genes, whereas the remaining two did. No genes encoding SHV or extended-spectrum beta-lactamases were detected. Two...

  8. The molecular changing mechanism of Ampicillin-Sulbactam resistant Staphylococcus aureus towards Methicillin resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Mieke Hemiawati Satari

    2005-12-01

    Full Text Available The aim of this study was to determine the molecular changing of S.aureus, which is resistant to Ampicillin-Sulbactam and then become resistant to Methicillin as a result of improper dosage. The study was conducted by isolating Ampicillin-Sulbactam resistant and Methicillin Resistant S.aureus (MRSA, afterwards an amplification process was performed by PCR (Polymerase Chain Reaction. to isolate the betalactamase enzyme regulator and PBP 2a genes. The result of this research showed that there were a deletion of few amino acids from the regulator gene, and a suspicion that the DNA sequence had been substituted from PBP 2 gene into PBP 2a (gen mec. This process had formed MRSA.

  9. Physical size of the donor locus and transmission of Haemophilus influenzae ampicillin resistance genes by deoxyribonucleic acid-mediated transformation

    International Nuclear Information System (INIS)

    Bendler, J.W. III

    1976-01-01

    The properties of donor deoxyribonucleic acid (DNA) from three clinical isolates and its ability to mediate the transformation of competent Rd strains to ampicillin resistance were examined. A quantitative technique for determining the resistance of individual Haemophilus influenzae cells to ampicillin was developed. When this technique was used, sensitive cells failed to tolerate levels of ampicillin greater than 0.1 to 0.2 μg/ml, whereas three resistant type b β-lactamase-producing strains could form colonies 1- to 3-μg/ml levels of the antibiotic. DNA extracted from the resistant strains elicited transformation of the auxotrophic genes in a multiply auxotrophic Rd strain. For two of the donors, transformation to ampicillin resistance occurred after the uptake of a single DNA molecule approximately 10 4 -fold less frequently than transformation of auxotrophic loci and was not observed to occur at all with the third. The frequency of transformation to ampicillin resistance was two- to fivefold higher in strain BC200 (Okinaka and Barnhart, 1974), which was cured of a defective prophage. All three clinical ampicillin-resistant strains were poor recipients, but the presence of the ampicillin resistant genes in strain BC200 did not reduce its competence

  10. Simple test of synergy between ampicillin and vancomycin for resistant strains of Enterococcus faecium.

    Science.gov (United States)

    Green, M; Barbadora, K; Wadowsky, R M

    1994-11-01

    The combination of ampicillin and vancomycin kills some but not all strains of ampicillin- and vancomycin-resistant Enterococcus faecium. We compared a simple test for synergy utilizing a commercially available microdilution susceptibility system with time-kill studies and determined acceptable breakpoints for this test for 20 strains of ampicillin- and vancomycin-resistant E. faecium. The combination of ampicillin and vancomycin was tested for synergy by time-kill, broth macrodilution, and broth microdilution procedures. Repeat testing of isolates by macro- and microdilution synergy methods yielded MICs that were within one twofold dilution of each other for both intra- and intertest comparisons. Synergy was always detected by time-kill studies when the MIC of ampicillin in the combination synergy screen was 16 micrograms/ml in the combination microdilution synergy screen. The determination of the synergy by the broth microdilution procedure appears to be simple, convenient, and accurate.

  11. Ampicillin radioprotector effect

    International Nuclear Information System (INIS)

    Padron, E.; Fernandez-Larrea, O.; Rios, F.

    1991-01-01

    This paper deals with the effect of ampicillin during irradiation and recovery of the Bacillus licheniformis RI 75-1 stump with a savage genotype of recovery exposed to ionizing radiations and treated with gamma quantums. Previous research enabled to prove that in Bacillus licheniformis spores suspensions, irradiated of vegetative cells in ampicillin at D-1--0- dose, causes significative lethal increases. In this paper, The irradiation of vegetative cells in presence of 5 mcg/ml of ampicillin increases the viability at doses above 1 kGy. the survival rates was raised when vegetative cells of Bacillus lincheniformis were irradiated at 1.2 kGy and recovered in a nutrient environment during 2 hours (LHR) in presence of ampicillin. Twenty-two stumps of Bacillus licheniformis obtained through different mutagenic treatments were studied in relation to the resistance of ampicillim and at 3 Kgy gamma quantums and a direct correlation between these two was stablished. Previous treatment with ampicillin of the vegetative cells from the Bacillus licheniformis increased the number of resistants to gamma quantums. There was no information about this phenomenom in literature consulted

  12. Dogs are a reservoir of ampicillin-resistant Enterococcus faecium lineages associated with human infections

    DEFF Research Database (Denmark)

    Damborg, Peter Panduro; Top, Janetta; Hendrickx, Antoni P.A.

    2009-01-01

    Ampicillin resistance is a marker for hospital-associated Enterococcus faecium. Feces from 208 dogs were selectively screened for the occurrence of ampicillin-resistant E. faecium (AREF). AREF was detected in 42 (23%) of 183 dogs screened in a cross-sectional study in the United Kingdom and in 19...

  13. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  14. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  15. Intravitreal Ampicillin Sodium for Antibiotic-Resistant Endophthalmitis: Streptococcus uberis First Human Intraocular Infection Report

    Directory of Open Access Journals (Sweden)

    Raul Velez-Montoya

    2010-01-01

    Full Text Available Purpose. To describe the clinical characteristics, diagnosis, and treatment with intravitreal ampicillin sodium of a postoperative endophthalmitis case due to Streptococcus uberis; an environmental pathogen commonly seen in mastitis cases of lactating cows. Methods. Case Report. A 52-year-old, Hispanic diabetic patient who suddenly developed severe pain and severe loss of vision, following vitrectomy. Results. The patient was diagnosed with postoperative endophthalmitis secondary to a highly resistant strain of Streptococcus uberis that did not respond to intravitreal antibiotics. He was treated with an air-fluid interchange, anterior chamber washout, intravitreal ampicillin sodium (5 mg/0.1 mL, and silicon oil tamponade (5000 ck. The eye was anatomically stabilized, though there was no functional recovery. Conclusion. Streptococcus uberis is an uncommon pathogen to the human eye, which has unique features that help the strain in developing resistance to antibiotics. While treatment with intravitreal ampicillin is feasible, there are still concerns about its possible toxicity.

  16. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus: A multicentre study.

    Directory of Open Access Journals (Sweden)

    Rajaduraipandi K

    2006-01-01

    Full Text Available Purpose: Methicillin resistant Staphylococcus aureus (MRSA is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in major southern districts of Tamilnadu. Methods: A total of 7172 clinical specimens and 1725 carrier screening samples were collected from different centers and subjected to MRSA screening using conventional microbiological methods. Subsequently the antibiotic sensitivity test was performed for the confirmed MRSA isolates. Results: Out of 906 strains of S. aureus isolated from clinical and carrier samples, 250 (31.1% and 39 (37.9% were found to be methicillin resistant respectively. Almost all clinical MRSA strains (99.6% were resistant to penicillin, 93.6% to ampicillin, and 63.2% towards gentamicin, co-trimoxazole, cephalexin, erythromycin, and cephotaxime. All MRSA strains (100% of carrier screening samples had resistance to penicillin and about 71.8% and 35.9% were resistant to ampicillin and co-trimoxazole respectively. Multidrug resistance was observed among 63.6% of clinical and 23% of carrier MRSA isolates. However, all strains of clinical and carrier subjects were sensitive to vancomycin. Conclusion: The determination of prevalence and antibiotic sensitivity pattern of MRSA will help the treating clinicians for first line treatment in referral hospitals.

  17. Klebsiella pneumonia strains moderately resistant to ampicillin and carbenicillin: characterization of a new β-lactamase

    OpenAIRE

    Labia, Roger; Fabre, Christian; Masson, Jean-Michel; Barthelemy, Michel; Heitz, Madeleine; Pitton, Jean-S

    2017-01-01

    Klebsiella pneumoniae strain 11-03, moderately resistant to ampicillin and carbenicillin, produces one constitutive β-lactamase with an isoelectric point of 7.10 and a molecular weight of 20,000±500. The enzymatic activity is directed primarily against the penicillins, ampicillin being the best substrate. Some cephalosporins are also hydrolyzed to some extent but the affinity of the enzyme for these antibiotics is low (high Km values). It has not been possible to determine whether the biogene...

  18. Ampicillin-Resistant Non-β-Lactamase-Producing Haemophilus influenzae in Spain: Recent Emergence of Clonal Isolates with Increased Resistance to Cefotaxime and Cefixime▿

    Science.gov (United States)

    García-Cobos, Silvia; Campos, José; Lázaro, Edurne; Román, Federico; Cercenado, Emilia; García-Rey, César; Pérez-Vázquez, María; Oteo, Jesús; de Abajo, Francisco

    2007-01-01

    The sequence of the ftsI gene encoding the transpeptidase domain of penicillin-binding protein 3 (PBP 3) was determined for 354 nonconsecutive Haemophilus influenzae isolates from Spain; 17.8% of them were ampicillin susceptible, 56% were β-lactamase nonproducing ampicillin resistant (BLNAR), 15.8% were β-lactamase producers and ampicillin resistant, and 10.4% displayed both resistance mechanisms. The ftsI gene sequences had 28 different mutation patterns and amino acid substitutions at 23 positions. Some 93.2% of the BLNAR strains had amino acid substitutions at the Lys-Thr-Gly (KTG) motif, the two most common being Asn526 to Lys (83.9%) and Arg517 to His (9.3%). Amino acid substitutions at positions 377, 385, and 389, which conferred cefotaxime and cefixime MICs 10 to 60 times higher than those of susceptible strains, were found for the first time in Europe. In 72 isolates for which the repressor acrR gene of the AcrAB efflux pump was sequenced, numerous amino acid substitutions were found. Eight isolates with ampicillin MICs of 0.25 to 2 μg/ml showed changes that predicted the early termination of the acrR reading frame. Pulsed-field gel electrophoresis analysis demonstrated that most BLNAR strains were genetically diverse, although clonal dissemination was detected in a group of isolates presenting with increased resistance to cefotaxime and cefixime. Background antibiotic use at the community level revealed a marked trend toward increased amoxicillin-clavulanic acid consumption. BLNAR H. influenzae strains have arisen by vertical and horizontal spread and have evolved to adapt rapidly to the increased selective pressures posed by the use of oral penicillins and cephalosporins. PMID:17470649

  19. Detection of Ampicillin Resistance Genes (bla in Clinical Isolates of Escherichia coli with Polymerase Chain Reaction Method

    Directory of Open Access Journals (Sweden)

    Tiana Milanda

    2014-09-01

    Full Text Available Escherichia coli is a rod negative Gram which could be pathogenic, if its value increases or located in outer gastrointestinal tract. Pathogenic E. coli will produce enterotoxin which will cause diarrhoea or infection in urine tract. Ampicilin was one of particular antibiotics to overcome infection. Ampicilin nowadays is no longer used as primary medicine, because of its resistance case. The aim of this research is to detect the presence of gene which is responsible to ampicilin resistant E. coli. We used isolated midstream urine from cystitis object in Hasan Sadikin Hospital (RSHS as samples. Polymerase Chain Reaction (PCR method (colony-PCR and DNA-PCR were done to invenstigate the antibiotic resistency. Based on the result of antibiotic susceptibility testing to ampicillin, E. coli samples were resistant to ampicilin. Elektroforegram products of colony-PCR and DNA-PCR showed that the resistance case of ampicilin caused by bla gene (199 bp. Selective and rational antibiotic treatment is required to prevent ampicillin resistance in patients with symptoms

  20. Presence of the resistance genes vanC1 and pbp5 in phenotypically vancomycin and ampicillin susceptible Enterococcus faecalis.

    Science.gov (United States)

    Schwaiger, Karin; Bauer, Johann; Hörmansdorfer, Stefan; Mölle, Gabriele; Preikschat, Petra; Kämpf, Peter; Bauer-Unkauf, Ilse; Bischoff, Meike; Hölzel, Christina

    2012-08-01

    Ampicillin and vancomycin are important antibiotics for the therapy of Enterococcus faecalis infections. The ampicillin resistance gene pbp5 is intrinsic in Enterococcus faecium. The vanC1 gene confers resistance to vancomycin and serves as a species marker for Enterococcus gallinarum. Both genes are chromosomally located. Resistance to ampicillin and vancomycin was determined in 484 E. faecalis of human and porcine origin by microdilution. Since E. faecalis are highly skilled to acquire resistance genes, all strains were investigated for the presence of pbp5 (and, in positive strains, for the penicillin-binding protein synthesis repressor gene psr) and vanC1 (and, in positive strains, for vanXYc and vanT) by using polymerase chain reaction (PCR). One porcine and one human isolate were phenotypically resistant to ampicillin; no strain was vancomycin resistant. Four E. faecalis (3/1 of porcine/human origin) carried pbp5 (MIC=1 mg/L), and four porcine strains were vanC1 positive (minimum inhibitory concentration [MIC]=1 mg/L). Real-time reverse transcriptase (RT)-PCR revealed that the genes were not expressed. The psr gene was absent in the four pbp5-positive strains; the vanXYc gene was absent in the four vanC1-positive strains. However, vanT of the vanC gene cluster was detected in two vanC1-positive strains. To our knowledge, this is the first report on the presence of pbp5, identical with the "E. faecium pbp5 gene," and of vanC1/vanT in E. faecalis. Even if resistance is not expressed in these strains, this study shows that E. faecalis have a strong ability to acquire resistance genes-and potentially to spread them to other bacteria. Therefore, close monitoring of this species should be continued.

  1. Reversal of Ampicillin Resistance in MRSA via Inhibition of Penicillin-Binding Protein 2a by Acalypha wilkesiana

    Directory of Open Access Journals (Sweden)

    Carolina Santiago

    2014-01-01

    Full Text Available The inhibitory activity of a semipure fraction from the plant, Acalypha wilkesiana assigned as 9EA-FC-B, alone and in combination with ampicillin, was studied against methicillin-resistant Staphylococcus aureus (MRSA. In addition, effects of the combination treatment on PBP2a expression were investigated. Microdilution assay was used to determine the minimal inhibitory concentrations (MIC. Synergistic effects of 9EA-FC-B with ampicillin were determined using the fractional inhibitory concentration (FIC index and kinetic growth curve assay. Western blot experiments were carried out to study the PBP2a expression in treated MRSA cultures. The results showed a synergistic effect between ampicillin and 9EA-FC-B treatment with the lowest FIC index of 0.19 (synergism ≤ 0.5. The presence of 9EA-FC-B reduced the MIC of ampicillin from 50 to 1.56 μg mL−1. When ampicillin and 9EA-FC-B were combined at subinhibitory level, the kinetic growth curves were suppressed. The antibacterial effect of 9EA-FC-B and ampicillin was shown to be synergistic. The synergism is due the ability of 9EA-FC-B to suppress the activity of PBP2a, thus restoring the susceptibility of MRSA to ampicillin. Corilagin was postulated to be the constituent responsible for the synergistic activity showed by 9EA-FC-B.

  2. High prevalence and antimicrobial resistance of urinary tract infection isolates in febrile young children without localizing signs in Taiwan.

    Science.gov (United States)

    Wu, Chang-Teng; Lee, Hao-Yuan; Chen, Chyi-Liang; Tuan, Pao-Lan; Chiu, Cheng-Hsun

    2016-04-01

    Antimicrobial susceptibility and prevalence of pediatric urinary tract infection (UTI) is very useful for pediatricians in selecting effective antibiotics in time to improve outcomes in patients. This study aimed to determine the prevalence rate, bacterial distribution, and antimicrobial susceptibility of UTI in febrile young children at a teaching hospital in northern Taiwan. From January 2011 to December 2011, all urinary isolates from suspected cases of UTI in febrile young children aged from 1 day to 36 months visiting the Pediatric Emergency Room of Chang Gung Children's Hospital, Taoyuan, Taiwan were identified by conventional methods. Antibiotic susceptibility was determined according to the Clinical and Laboratory Standards Institute. A total of 5470 (78%) from 7009 eligible children were enrolled in the study, and 619 (11.3%) had a diagnosis of UTI. The most prevalent bacterium was Escherichia coli (68%) followed by Klebsiella pneumoniae (8.1%) and Proteus mirabilis (6.8%). Ampicillin, piperacillin, and trimethoprim-sulfamethoxazole (TMP-SMX) showed a higher resistance rate in the three predominant bacteria. All tested bacteria showed higher resistance to ampicillin (79.3%) and TMP-SMX (44.1%), and lower resistance to cefazolin (17.7%) and gentamicin (13.0%). Fourteen percent of the isolates produced extended spectrum β-lactamase (ESBL), among which 93.33% were E. coli isolates. The overall prevalence of UTI in this study was higher than previously reported in febrile children. Higher antimicrobial resistance was found in ampicillin and TMP-SMX. Among commonly used antibiotics, cefazolin and gentamicin are recommended to treat UTI in febrile children aged < 3 years without localizing signs. Copyright © 2015. Published by Elsevier B.V.

  3. Prevalence and persistence of potentially pathogenic and antibiotic resistant bacteria during anaerobic digestion treatment of cattle manure.

    Science.gov (United States)

    Resende, Juliana Alves; Silva, Vânia Lúcia; de Oliveira, Tamara Lopes Rocha; de Oliveira Fortunato, Samuel; da Costa Carneiro, Jailton; Otenio, Marcelo Henrique; Diniz, Cláudio Galuppo

    2014-02-01

    Anaerobic digestion figures as a sustainable alternative to avoid discharge of cattle manure in the environment, which results in biogas and biofertilizer. Persistence of potentially pathogenic and drug-resistant bacteria during anaerobic digestion of cattle manure was evaluated. Selective cultures were performed for enterobacteria (ENT), non-fermenting Gram-negative rods (NFR) and Gram-positive cocci (GPC). Antimicrobial susceptibility patterns were determined and a decay of all bacterial groups was observed after 60days. Multidrug-resistant bacteria were detected both the influent and effluent. GPC, the most prevalent group was highly resistant against penicillin and levofloxacin, whereas resistance to ampicillin, ampicillin-sulbactam and chloramphenicol was frequently observed in the ENT and NFR groups. The data point out the need of discussions to better address management of biodigesters and the implementation of sanitary and microbiological safe treatments of animal manures to avoid consequences to human, animal and environmental health. Copyright © 2013 Elsevier Ltd. All rights reserved.

  4. Evaluation of polymorphisms in pbp4 gene and genetic diversity in penicillin-resistant, ampicillin-susceptible Enterococcus faecalis from hospitals in different states in Brazil.

    Science.gov (United States)

    Infante, Victor Hugo Pacagnelli; Conceição, Natália; de Oliveira, Adriana Gonçalves; Darini, Ana Lúcia da Costa

    2016-04-01

    The aim of the present study was to verify whether penicillin-resistant, ampicillin-susceptible Enterococcus faecalis (PRASEF) occurred in Brazil prior to the beginning of the 21st century, and to verify whether ampicillin susceptibility can predict susceptibility to other β-lactams in E. faecalis with this inconsistent phenotype. The presence of polymorphisms in the pbp4 gene and genetic diversity among the isolates were investigated. Of 21 PRASEF analyzed, 5 (23.8%) and 4 (19.0%) were imipenem and piperacillin resistant simultaneously by disk diffusion and broth dilution respectively, contradicting the current internationally accepted standards of susceptibility testing. Sequencing of pbp4 gene revealed an amino acid substitution (Asp-573→Glu) in all PRASEF isolates but not in the penicillin-susceptible, ampicillin-susceptible E. faecalis. Most PRASEF (90.5%) had related pulsed-field gel electrophoresis profiles, but were different from other PRASEF described to date. Results demonstrate that penicillin-resistant, ampicillin-susceptible phenotype was already a reality in the 1990s in E. faecalis isolates in different Brazilian states, and some of these isolates were also imipenem- and piperacillin-resistant; therefore, internationally accepted susceptibility criteria cannot be applied to these isolates. According to pbp4 gene sequencing, this study suggests that a specific amino acid substitution in pbp4 gene found in all PRASEF analyzed is associated with penicillin resistance. © FEMS 2016. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  5. Prevalence and antimicrobial resistance of Salmonella serovars isolated from poultry in Ghana

    DEFF Research Database (Denmark)

    Andoh, Linda A.; Dalsgaard, Anders; Obiri-Danso, K.

    2016-01-01

    Poultry are possible sources of non-typhoidal Salmonella serovars which may cause foodborne human disease. We conducted a cross-sectional study to determine the prevalence of Salmonella serovars in egg-laying hens and broilers at the farm level and their susceptibility to antimicrobials commonly...... of antimicrobials). Of the resistant strains (n = 57), the most significant were to nalidixic acid (89·5%), tetracycline (80·7%), ciprofloxacin (64·9%), sulfamethazole (42·1%), trimethoprim (29·8%) and ampicillin (26·3%). All S. Kentucky strains were resistant to more than two antimicrobials and shared common...

  6. Prevalence and Antimicrobial Resistance of Campylobacter Isolated from Dressed Beef Carcasses and Raw Milk in Tanzania.

    Science.gov (United States)

    Kashoma, Isaac P; Kassem, Issmat I; John, Julius; Kessy, Beda M; Gebreyes, Wondwossen; Kazwala, Rudovick R; Rajashekara, Gireesh

    2016-01-01

    Campylobacter species are commonly transmitted to humans through consumption of contaminated foods such as milk and meat. The aim of this study was to investigate the prevalence, antimicrobial resistance, and genetic determinants of resistance of Campylobacter isolated from raw milk and beef carcasses in Tanzania. The antimicrobial resistance genes tested included blaOXA-61 (ampicillin), aph-3-1 (aminoglycoside), tet(O) (tetracycline), and cmeB (multi-drug efflux pump). The prevalence of Campylobacter was 9.5% in beef carcasses and 13.4% in raw milk, respectively. Using multiplex-polymerase chain reaction (PCR), we identified 58.1% of the isolates as Campylobacter jejuni, 30.7% as Campylobacter coli, and 9.7% as other Campylobacter spp. One isolate (1.6%) was positive for both C. jejuni and C. coli specific PCR. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method showed resistance to: ampicillin (63% and 94.1%), ciprofloxacin (9.3% and 11.8%), erythromycin (53.7% and 70.6%), gentamicin (0% and 15.7%), streptomycin (35.2% and 84.3%), and tetracycline (18.5% and 17.7%), respectively. Resistance to azithromycin (42.6%), nalidixic acid (64.8%), and chloramphenicol (13%) was determined using the disk diffusion assay only, while resistance to tylosin (90.2%) was quantified using the broth microdilution method. The blaOXA-61 (52.6% and 28.1%), cmeB (26.3% and 31.3%), tet(O) (26.3% and 31.3%), and aph-3-1 (5.3% and 3.0%) were detected in C. coli and C. jejuni. These findings highlight the extent of antimicrobial resistance in Campylobacter occurring in important foods in Tanzania. The potential risks to consumers emphasize the need for adequate control approaches, including the prudent use of antimicrobials to minimize the spread of antimicrobial-resistant Campylobacter.

  7. Modeling the growth dynamics of multiple Escherichia coli strains in the pig intestine following intramuscular ampicillin treatment

    DEFF Research Database (Denmark)

    Ahmad, Amais; Zachariasen, Camilla; Christiansen, Lasse Engbo

    2016-01-01

    using a mathematical model to simulate the competitive growth of E. coli strains in a pig intestine under specified plasma concentration profiles of ampicillin. Results : In vitro growth results demonstrated that the resistant strains did not carry a fitness cost for their resistance, and that the most...... with ampicillin resistance in E. coli. Besides dosing factors, epidemiological factors (such as number of competing strains and bacterial excretion) influenced resistance development and need to be considered further in relation to optimal treatment strategies. The modeling approach used in the study is generic......Background : This study evaluated how dosing regimen for intramuscularly-administered ampicillin, composition of Escherichia coli strains with regard to ampicillin susceptibility, and excretion of bacteria from the intestine affected the level of resistance among Escherichia coli strains...

  8. Prevalence of antimicrobial resistance among Salmonella isolates from chicken in China.

    Science.gov (United States)

    Lu, Yan; Wu, Cong-Ming; Wu, Guo-Juan; Zhao, Hong-Yu; He, Tao; Cao, Xing-Yuan; Dai, Lei; Xia, Li-Ning; Qin, Shang-Shang; Shen, Jian-Zhong

    2011-01-01

    We evaluated the antimicrobial resistance of Salmonella isolated in 2008 from a chicken hatchery, chicken farms, and chicken slaughterhouses in China. A total of 311 Salmonella isolates were collected from the three sources, and two serogroups of Salmonella were detected, of which 133 (42.8%) consisted of Salmonella indiana and 178 (57.2%) of Salmonella enteritidis. The lowest percentage of S. indiana isolates was found in the chicken hatchery (4.2%), followed by the chicken farms (54.9%) and the slaughterhouses (71.4%). More than 80% of the S. indiana isolates were highly resistant to ampicillin (97.7%), amoxicillin/clavulanic acid (87.9%), cephalothin (87.9%), ceftiofur (85.7%), chloramphenicol (84.9%), florfenicol (90.9%), tetracycline (97.7%), doxycycline (98.5%), kanamycin (90.2%), and gentamicin (92.5%). About 60% of the S. indiana isolates were resistant to enrofloxacin (65.4%), norfloxacin (78.9%), and ciprofloxacin (59.4%). Of the S. indiana isolates, 4.5% were susceptible to amikacin and 5.3% to colistin. Of the S. enteritidis isolates, 73% were resistant to ampicillin, 33.1% to amoxicillin/clavulanic acid, 66.3% to tetracycline, and 65.3% to doxycycline, whereas all of these isolates were susceptible to the other drugs used in the study. The S. indiana isolates showed resistance to 16 antimicrobial agents. Strains of Salmonella (n = 108) carrying the resistance genes floR, aac(6')-Ib-cr, and bla(TEM) were most prevalent among the 133 isolates of S. indiana, at a frequency of 81.2%. The use of pulsed-field gel electrophoresis to analyze the S. indiana isolates that showed similar antimicrobial resistance patterns and carried resistance genes revealed six genotypes of these organisms. Most of these isolates had the common pulsed-field gel electrophoresis patterns found in the chicken hatchery, chicken farms, and slaughterhouses, suggesting that many multidrug-resistant isolates of S. indiana prevailed in the three sources. Some of these isolates were

  9. Impact of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in children in a low resistance prevalence setting

    Science.gov (United States)

    Brandtzaeg, Petter; Høiby, E. Arne; Bohlin, Jon; Samuelsen, Ørjan; Steinbakk, Martin; Abrahamsen, Tore G.; Müller, Fredrik; Gammelsrud, Karianne Wiger

    2017-01-01

    We prospectively studied the consequences of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in a cohort of children with cystic fibrosis (CF) and a cohort of children with cancer compared to healthy children with no or low antibiotic exposure. The study was conducted in Norway in a low resistance prevalence setting. Sixty longitudinally collected faecal samples from children with CF (n = 32), 88 samples from children with cancer (n = 45) and 127 samples from healthy children (n = 70) were examined. A direct MIC-gradient strip method was used to detect resistant Enterobacteriaceae by applying Etest strips directly onto agar-plates swabbed with faecal samples. Whole genome sequencing (WGS) data were analysed to identify resistance mechanisms in 28 multidrug-resistant Escherichia coli isolates. The prevalence of resistance to third-generation cephalosporins, gentamicin and ciprofloxacin was low in all the study groups. At inclusion the prevalence of ampicillin-resistant E. coli and trimethoprim-sulfamethoxazole-resistant E. coli in the CF group compared to healthy controls was 58.6% vs. 28.4% (p = 0.005) and 48.3% vs. 14.9% (p = 0.001), respectively, with a similar prevalence at the end of the study. The prevalence of resistant enterobacteria was not significantly different in the children with cancer compared to the healthy children, not even at the end of the study when the children with cancer had been treated with repeated courses of broad-spectrum antibiotics. Children with cancer were mainly treated with intravenous antibiotics, while the CF group mainly received peroral treatment. Our observations indicate that the mode of administration of antibiotics and the general level of antimicrobial resistance in the community may have an impact on emergence of resistance in intestinal enterobacteria during antibiotic treatment. The WGS analyses detected acquired resistance genes and/or chromosomal mutations that explained the

  10. Antibiotic resistant enterococci—Tales of a drug resistance gene trafficker

    DEFF Research Database (Denmark)

    Werner, Guido; Coque, Teresa M.; Franz, Charles M.A.P.

    2013-01-01

    Enterococci have been recognized as important hospital-acquired pathogens in recent years, and isolates of E. faecalis and E. faecium are the third- to fourth-most prevalent nosocomial pathogen worldwide. Acquired resistances, especially against penicilin/ampicillin, aminoglycosides (high-level) ...

  11. Prevalence of multiple drug resistant Streptococcus suis in and around Guwahati, India

    Directory of Open Access Journals (Sweden)

    Mrinalee Devi

    2017-05-01

    Full Text Available Aim: This study was conducted to determine the prevalence and antimicrobial susceptibility of Streptococcus suis and their resistance patterns isolated from both clinically healthy carriers and diseased pigs in and around Guwahati, Assam, India. Materials and Methods: A total of 497 samples were collected during October, 2012, to April, 2014, from clinically healthy (n=67 and diseased (n=230 pigs of varying age and either sex maintained under organized and unorganized farming systems. Samples were processed for isolation and identification of S. suis by biochemical characterization and polymerase chain reaction targeting the housekeeping gene glutamate dehydrogenase. In vitro antimicrobial susceptibility of the recovered isolates against nine antibiotic groups comprising 17 antimicrobial agents was studied by standard method. Results: Of the 497 samples examined, 7 (1.41% isolates were confirmed to be S. suis of which 5 (1.87% and 2 (0.87% were derived from clinically healthy and diseased pigs, respectively. All the isolates were susceptible to gentamicin, amikacin, and erythromycin (100% followed by the penicillin group and enrofloxacin (85.71%, ceftriaxone, doxycycline HCL, ofloxacin and chloramphenicol (71.43%, to kanamycin, clindamycin and co-trimoxazole (42.85%. The isolates showed least susceptibility to cefalexin, tetracycline and streptomycin (28.57%. All the five S. suis isolates from clinically healthy pigs were susceptible to penicillin G, amoxyclav, doxycycline HCl, gentamicin, amikacin and erythromycin, 80.00% isolates susceptible to ampicillin, enrofloxacin and ofloxacin, 60.00% to ceftriaxone, kanamycin and chloramphenicol, 40% to cefalexin, tetracycline, clindamycin and co-trimoxazole, respectively. Only 20.00% isolates were susceptible to streptomycin. Both the isolates recovered from diseased pigs were susceptible to ampicillin, ceftriaxone, gentamicin, amikacin, enrofloxacin, erythromycin, and clindamycin. On the other hand

  12. Prevalence and Characterization of Integrons in Multidrug Resistant Acinetobacter baumannii in Eastern China: A Multiple-Hospital Study

    Directory of Open Access Journals (Sweden)

    Jing Chen

    2015-08-01

    Full Text Available Objective: The aim of this multiple-hospital study was to investigate the prevalence of integrons in multidrug-resistant Acinetobacter baumannii (MDRAB in Eastern China, and characterize the integron-integrase genes, so as to provide evidence for the management and appropriate antibiotic use of MDRAB infections. Methods: A total of 425 clinical isolates of A. baumannii were collected from 16 tertiary hospitals in 11 cities of four provinces (Fujian, Jiangsu, Zhejiang and Shandong from January 2009 to June 2012. The susceptibility of A. baumannii isolates to ampicillin/sulbactam, piperacillin/tazobactam, ceftazidime, ceftriaxone, cefepime, aztreonam, meropenem, amikacin, gentamicin, tobramycin, ciprofloxacin, levofloxacin, sulfamethoxazole/trimenthoprim, minocycline and imipenem was tested, and integrons and their gene cassettes were characterized in these isolates using PCR assay. In addition, integron-positive A. baumannii isolates were genotyped using pulsed-field gel electrophoresis (PFGE assay, and intI1 gene cassette was sequenced. Results: intI1 gene was carried in 69.6% of total A. baumannii isolates, while intI2 and intI3 genes were not detected. The prevalence of resistance to ampicillin/sulbactam, piperacillin/tazobactam, ceftazidime, ceftriaxone, cefepime, aztreonam, imipenem, meropenem, amikacin, gentamicin, tobramycin, ciprofloxacin, levofloxacin and sulfamethoxazole/trimenthoprim was significantly higher in integron-positive A. baumannii isolates than in negative isolates (all p values <0.05, while no significant difference was observed in the prevalence of minocycline resistance (p > 0.05. PFGE assay revealed 27 PFGE genotypes and 4 predominant genotypes, P1, P4, P7 and P19. The PFGE genotype P1 contained 13 extensive-drug resistant and 89 non-extensive-drug resistant A. baumannii isolates, while the genotype P4 contained 34 extensive-drug resistant and 67 non-extensive-drug resistant isolates, appearing a significant

  13. Characterization of Hospital-Associated Lineages of Ampicillin-Resistant Enterococcus faecium from Clinical Cases in Dogs and Humans

    Directory of Open Access Journals (Sweden)

    Cindy-Love eTremblay

    2013-08-01

    Full Text Available Ampicillin-resistant Enterococcus faecium (ARE has rapidly emerged worldwide and is one of the most important nosocomial pathogens. However, very few reports are available on ARE isolates from canine clinical cases. The objective of this study was to characterize ARE strains of canine clinical origin from a veterinary teaching hospital in Canada and to compare them with human strains. Ten ARE strains from dogs and humans were characterized by multilocus sequence typing (MLST, pulsed field gel electrophoresis (PFGE, antibiotic susceptibility and biofilm activities, presence of rep-families, CRISPR-cas and putative virulence genes. All ARE strains (n = 10 were resistant to ciprofloxacin and lincomycin. Resistances to tetracycline (n = 6, macrolides (n = 6, and to high concentrations of gentamicin, kanamycin and streptomycin (n = 5 were also observed. Canine ARE isolates were found to be susceptible to vancomycin whereas resistance to this antibiotic was observed in human strains. Ampicillin resistance was linked to PBP5 showing mutations at 25 amino acid positions. Fluoroquinolone resistance was attributable to ParC, GyrA, and GyrB mutations. Data demonstrated that all canine ARE were acm (collagen binding protein-positive and that most harbored the efaAfm gene, encoding for a cell wall adhesin. Biofilm formation was observed in two human strains but not in canine strains. Two to five rep-families were observed per strain but no CRISPR sequences were found. A total of six STs (1, 18, 65, 202, 205, and 803 were found with one belonging to a new ST (ST803. These STs were identical or closely related to human hospital-associated lineages. This report describes for the first time the characterization of canine ARE hospital-associated strains in Canada and also supports the importance of prudent antibiotic use in veterinary medicine to avoid zoonotic spread of canine ARE.

  14. Methicillin-resistant Staphylococcus aureus prevalence: Current susceptibility patterns in Trinidad

    Directory of Open Access Journals (Sweden)

    Land Michael

    2006-05-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has become one of the most widespread causes of nosocomial infections worldwide. Recently, reports have emerged that S. aureus strains recovered from community-acquired infections are also methicillin-resistant. This study was undertaken to analyze the prevalence of methicillin resistance among isolates at a regional hospital in Trinidad, and document the current resistance profile of MRSA and methicillin-sensitive Staphylococcus aureus (MSSA to the commonly used anti-staphylococcal agents. Methods Over a 6-year period we analyzed 2430 isolates of S. aureus strains recovered from various clinical sources, from hospital and community practices. Antimicrobial susceptibility testing was done according to guideline recommendations of the National Committee for Clinical Laboratory Standards. Results The prevalence of MRSA from surgical/burn wounds, urine and pus/abscess were 60.1%, 15.5% and 6.6%, respectively. The major sources of MSSA were surgical/burn wounds, pus/abscess and upper respiratory tract specimens with rates of 32.9%, 17.1% and 14.3%, respectively. The greatest prevalence of resistance of MRSA was seen for erythromycin (86.7%, and clindamycin (75.3%. Resistance rates among MSSA were highest for ampicillin (70%. Resistance rates for tetracycline were similar among both MRSA (78.7% and MSSA (73.5%. The MRSA recovery rates from nosocomial sources (20.8% was significantly higher than that of previous years (12.5% (p Conclusion The prevalence of MRSA in the hospital increased from 12.5% in 1999 to 20.8% in 2004. Most isolates were associated with infected surgical/burn wounds which may have become infected via the hands of HCPs during dressing exercises. Infection control measures aimed at the proper hand hygiene procedures may interrupt the spread of MRSA. HCPs may also be carriers of MRSA in their anterior nares. Surveillance cultures of both patients and HCPs may help

  15. Screening municipal wastewater effluent and surface water used for drinking water production for the presence of ampicillin and vancomycin resistant enterococci

    NARCIS (Netherlands)

    Taucer-Kapteijn, M.; Hoogenboezem, Wim; Heiliegers, Laura; de Bolster, Danny; Medema, G.

    2016-01-01

    The emergence of clinical enterococcal isolates that are resistant to both ampicillin and vancomycin is a cause of great concern, as therapeutic alternatives for the treatment of infections caused by such organisms are becoming limited. Aquatic environments could play a role in the dissemination

  16. Radiation sensitivity of Salmonella isolates relative to resistance to ampicillin, chloramphenicol or gentamicin

    Science.gov (United States)

    Niemira, Brendan A.; Lonczynski, Kelly A.; Sommers, Christopher H.

    2006-09-01

    Antibiotic resistance of inoculated bacteria is a commonly used selective marker. Bacteria resistant to the antibiotic nalidixic acid have been shown to have an increased sensitivity to irradiation. The purpose of this research was to screen a collection of Salmonella isolates for antibiotic resistance and determine the association, if any, of antibiotic resistance with radiation sensitivity. Twenty-four clinical isolates of Salmonella were screened for native resistance to multiple concentrations of ampicillin (Amp), chloramphenicol (Chl), or gentamicin (Gm). Test concentrations were chosen based on established clinical minimum inhibitory concentration (MIC) levels, and isolates were classified as either sensitive or resistant based on their ability to grow at or above the MIC. Salmonella cultures were grown overnight at (37 °C) in antibiotic-amended tryptic soy broth (TSB). Native resistance to Gm was observed with each of the 24 isolates (100%). Eight isolates (33%) were shown to be resistant to Amp, while seven isolates (29%) were shown to be resistant to Chl. In separate experiments, Salmonella cultures were grown overnight (37 °C) in TSB, centrifuged, and the cell pellets were re-suspended in phosphate buffer. The samples were then gamma irradiated at doses up to 1.0 kGy. The D10 values (the ionizing radiation dose required to reduce the viable number of microorganisms by 90%) were determined for the 24 isolates and they ranged from 0.181 to 0.359 kGy. No correlation was found between the D10 value of the isolate and its sensitivity or resistance to each of the three antibiotics. Resistance to Amp or Chl is suggested as appropriate resistance marker for Salmonella test strains to be used in studies of irradiation.

  17. Radiation sensitivity of Salmonella isolates relative to resistance to ampicillin, chloramphenicol or gentamicin

    International Nuclear Information System (INIS)

    Niemira, Brendan A.; Lonczynski, Kelly A.; Sommers, Christopher H.

    2006-01-01

    Antibiotic resistance of inoculated bacteria is a commonly used selective marker. Bacteria resistant to the antibiotic nalidixic acid have been shown to have an increased sensitivity to irradiation. The purpose of this research was to screen a collection of Salmonella isolates for antibiotic resistance and determine the association, if any, of antibiotic resistance with radiation sensitivity. Twenty-four clinical isolates of Salmonella were screened for native resistance to multiple concentrations of ampicillin (Amp), chloramphenicol (Chl), or gentamicin (Gm). Test concentrations were chosen based on established clinical minimum inhibitory concentration (MIC) levels, and isolates were classified as either sensitive or resistant based on their ability to grow at or above the MIC. Salmonella cultures were grown overnight at (37 o C) in antibiotic-amended tryptic soy broth (TSB). Native resistance to Gm was observed with each of the 24 isolates (100%). Eight isolates (33%) were shown to be resistant to Amp, while seven isolates (29%) were shown to be resistant to Chl. In separate experiments, Salmonella cultures were grown overnight (37 o C) in TSB, centrifuged, and the cell pellets were re-suspended in phosphate buffer. The samples were then gamma irradiated at doses up to 1.0 kGy. The D 10 values (the ionizing radiation dose required to reduce the viable number of microorganisms by 90%) were determined for the 24 isolates and they ranged from 0.181 to 0.359 kGy. No correlation was found between the D 10 value of the isolate and its sensitivity or resistance to each of the three antibiotics. Resistance to Amp or Chl is suggested as appropriate resistance marker for Salmonella test strains to be used in studies of irradiation

  18. An empirical comparison of isolate-based and sample-based definitions of antimicrobial resistance and their effect on estimates of prevalence.

    Science.gov (United States)

    Humphry, R W; Evans, J; Webster, C; Tongue, S C; Innocent, G T; Gunn, G J

    2018-02-01

    Antimicrobial resistance is primarily a problem in human medicine but there are unquantified links of transmission in both directions between animal and human populations. Quantitative assessment of the costs and benefits of reduced antimicrobial usage in livestock requires robust quantification of transmission of resistance between animals, the environment and the human population. This in turn requires appropriate measurement of resistance. To tackle this we selected two different methods for determining whether a sample is resistant - one based on screening a sample, the other on testing individual isolates. Our overall objective was to explore the differences arising from choice of measurement. A literature search demonstrated the widespread use of testing of individual isolates. The first aim of this study was to compare, quantitatively, sample level and isolate level screening. Cattle or sheep faecal samples (n=41) submitted for routine parasitology were tested for antimicrobial resistance in two ways: (1) "streak" direct culture onto plates containing the antimicrobial of interest; (2) determination of minimum inhibitory concentration (MIC) of 8-10 isolates per sample compared to published MIC thresholds. Two antibiotics (ampicillin and nalidixic acid) were tested. With ampicillin, direct culture resulted in more than double the number of resistant samples than the MIC method based on eight individual isolates. The second aim of this study was to demonstrate the utility of the observed relationship between these two measures of antimicrobial resistance to re-estimate the prevalence of antimicrobial resistance from a previous study, in which we had used "streak" cultures. Boot-strap methods were used to estimate the proportion of samples that would have tested resistant in the historic study, had we used the isolate-based MIC method instead. Our boot-strap results indicate that our estimates of prevalence of antimicrobial resistance would have been

  19. Microbiological assay of ampicillin in serum and aqueous humor of patients given ampicillin-sulbactam injection

    Directory of Open Access Journals (Sweden)

    Madhavan Hajib

    1998-01-01

    Full Text Available The aim of this study was to determine the bacterial growth inhibitory activities of ampicillin in aqueous humor and serum of patients administered ampicillin-sulbactam combination intramuscularly prior to cataract surgery. 43 patients received a combination of both antibiotics intramuscularly at varying periods (60-140 minutes prior to surgery. Aqueous humor and venous blood were collected at the beginning of the surgery. For microbiological assay, spores of Bacillus subtilis were incorporated in the agar. The test sample and the standard solutions (calibrators of ampicillin and ampicillin-sulbactam combination were placed in 3 mm wells in the agar. The diameter zones of growth inhibitory activities of ampicillin of the calibrators and the test samples measured in mm were extrapolated to the standard curve and were recorded as ampicillin activity in (μg/ml. The results of the assay were placed in 5 groups according to the time intervals between injection and collection of serum and aqueous humor (≤70, 75, 80, 90, >90 minutes. Ampicillin activities in sera and aqueous humor of group 5 (>90 minutes were significantly higher than the others (p<0.001. The ratio of ampicillin activities of sera and aqueous humor in group 5 patients was significantly lower indicating higher concentration of ampicillin activity in aqueous humor during this period. Bacterial growth inhibitory activities of ampicillin-sulbactam combination were adequate in aqueous humor of all patients with highest activity being 90 minutes after intramuscular administration indicating the potential usefulness of this antibiotic combination as chemoprophylaxis prior to cataract surgery.

  20. Ammonia produced by bacterial colonies promotes growth of ampicillin-sensitive Serratia sp. by means of antibiotic inactivation.

    Science.gov (United States)

    Cepl, Jaroslav; Blahůšková, Anna; Cvrčková, Fatima; Markoš, Anton

    2014-05-01

    Volatiles produced by bacterial cultures are known to induce regulatory and metabolic alterations in nearby con-specific or heterospecific bacteria, resulting in phenotypic changes including acquisition of antibiotic resistance. We observed unhindered growth of ampicillin-sensitive Serratia rubidaea and S. marcescens on ampicillin-containing media, when exposed to volatiles produced by dense bacterial growth. However, this phenomenon appeared to result from pH increase in the medium caused by bacterial volatiles rather than alterations in the properties of the bacterial cultures, as alkalization of ampicillin-containing culture media to pH 8.5 by ammonia or Tris exhibited the same effects, while pretreatment of bacterial cultures under the same conditions prior to antibiotic exposure did not increase ampicillin resistance. Ampicillin was readily inactivated at pH 8.5, suggesting that observed bacterial growth results from metabolic alteration of the medium, rather than an active change in the target bacterial population (i.e. induction of resistance or tolerance). However, even such seemingly simple mechanism may provide a biologically meaningful basis for protection against antibiotics in microbial communities growing on semi-solid media. © 2014 Federation of European Microbiological Societies. Published by John Wiley & Sons Ltd. All rights reserved.

  1. Antimicrobial activity of berberine alone and in combination with ampicillin or oxacillin against methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Yu, Hyeon-Hee; Kim, Kang-Ju; Cha, Jeong-Dan; Kim, Hae-Kyoung; Lee, Young-Eun; Choi, Na-Young; You, Yong-Ouk

    2005-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) bacteria have been responsible for substantial morbidity and mortality in hospitals because they usually have multidrug resistance. Some natural products are candidates as new antibiotic substances. In the present study, we investigated the antimicrobial activity of berberine, the main antibacterial substance of Coptidis rhizoma (Coptis chinensis Franch) and Phellodendri cortex (Phellodendron amurense Ruprecht), against clinical isolates of MRSA, and the effects of berberine on the adhesion to MRSA and intracellular invasion into human gingival fibroblasts (HGFs). Berberine showed antimicrobial activity against all tested strains of MRSA. Minimum inhibition concentrations (MICs) of berberine against MRSA ranged from 32 to 128 microg/mL. Ninety percent inhibition of MRSA was obtained with 64 microg/mL or less of berberine. In the checkerboard dilution test, berberine markedly lowered the MICs of ampicillin and oxacillin against MRSA. An additive effect was found between berberine and ampicillin, and a synergistic effect was found between berberine and oxacillin against MRSA. In the presence of 1-50 microg/mL berberine, MRSA adhesion and intracellular invasion were notably decreased compared with the vehicle-treated control group. These results suggest that berberine may have antimicrobial activity and the potential to restore the effectiveness of beta-lactam antibiotics against MRSA, and inhibit the MRSA adhesion and intracellular invasion in HGFs.

  2. Prevalence of antimicrobial resistance of non-typhoidal Salmonella serovars in retail aquaculture products.

    Science.gov (United States)

    Zhang, Jianmin; Yang, Xiaowei; Kuang, Dai; Shi, Xianming; Xiao, Wenjia; Zhang, Jing; Gu, Zhen; Xu, Xuebin; Meng, Jianghong

    2015-10-01

    Aquaculture products can become sources of Salmonella by exposure to contaminated water or through processing practices, thus representing a public health hazard. A study was conducted on Salmonella contamination in aquaculture products sampled from marketplaces and retailers in Shanghai, China. A total of 730 samples (including fish, shellfish, bullfrog, clam, shrimp and others) were obtained from 2006 to 2011. Among them, 217 (29.7%) were positive for Salmonella. Thirty-eight serovars were identified in the 217 Salmonella isolates. The most prevalent were Salmonella Aberdeen (18.4%), S. Wandsworth (12.0%), S. Thompson (9.2%), S. Singapore (5.5%), S. Stanley (4.6%), S. Schwarzengrund (4.6%), S. Hvittingfoss (4.1%) and S. Typhimurium (4.1%). Many resistant isolates were detected, with 69.6% resistant to at least one antimicrobial drug. We observed high resistance to sulfonamides (56.5%), tetracycline (34.1%), streptomycin (28.6%), ampicillin (23.5%) and nalidixic acid (21.2%). Lower levels of resistance were found for gentamicin (3.2%), ciprofloxacin (2.3%), ceftiofur (1.3%), cefotaxime (0.9%), ceftazidime (0.5%) and cefepime (0.5%). A total of 43.3% of the Salmonella isolates were multidrug-resistant and 44 different resistance patterns were found. This study provided data on the prevalence, serovars and antimicrobial resistance of Salmonella from retail aquaculture products in Shanghai, and indicated the need for monitoring programs for microbiologic safety in such projects and for more prudent drug use in aquaculture production in order to reduce the risk of development and spread of antimicrobial resistance. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    Science.gov (United States)

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  4. Surveillance for vancomycin resistant enterococci in a tertiary ...

    African Journals Online (AJOL)

    Three were resistant to Ampicillin and nine to Ciprofloxacin but all were susceptible to Linezolid. High-level resistance to Gentamicin was found in four VRE isolates. Conclusion: There is a low prevalence of VRE in Lagos University Teaching Hospital which may be spreading among patients in affected wards. Keywords: ...

  5. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    Science.gov (United States)

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  6. Modeling the growth dynamics of multiple Escherichia coli strains in the pig intestine following intramuscular ampicillin treatment.

    Science.gov (United States)

    Ahmad, Amais; Zachariasen, Camilla; Christiansen, Lasse Engbo; Græsbøll, Kaare; Toft, Nils; Matthews, Louise; Nielsen, Søren Saxmose; Olsen, John Elmerdahl

    2016-09-06

    This study evaluated how dosing regimen for intramuscularly-administered ampicillin, composition of Escherichia coli strains with regard to ampicillin susceptibility, and excretion of bacteria from the intestine affected the level of resistance among Escherichia coli strains in the intestine of nursery pigs. It also examined the dynamics of the composition of bacterial strains during and after the treatment. The growth responses of strains to ampicillin concentrations were determined using in vitro growth curves. Using these results as input data, growth predictions were generated using a mathematical model to simulate the competitive growth of E. coli strains in a pig intestine under specified plasma concentration profiles of ampicillin. In vitro growth results demonstrated that the resistant strains did not carry a fitness cost for their resistance, and that the most susceptible strains were more affected by increasing concentrations of antibiotics that the rest of the strains. The modeling revealed that short treatment duration resulted in lower levels of resistance and that dosing frequency did not substantially influence the growth of resistant strains. Resistance levels were found to be sensitive to the number of competing strains, and this effect was enhanced by longer duration of treatment. High excretion of bacteria from the intestine favored resistant strains over sensitive strains, but at the same time it resulted in a faster return to pre-treatment levels after the treatment ended. When the duration of high excretion was set to be limited to the treatment time (i.e. the treatment was assumed to result in a cure of diarrhea) resistant strains required longer time to reach the previous level. No fitness cost was found to be associated with ampicillin resistance in E. coli. Besides dosing factors, epidemiological factors (such as number of competing strains and bacterial excretion) influenced resistance development and need to be considered further in

  7. Degradation of ampicillin in pig manure slurry and an aqueous ampicillin solution using electron beam irradiation

    Science.gov (United States)

    Chung, Byung Yeoup; Kim, Jae-Sung; Lee, Min Hee; Lee, Kang Soo; Hwang, Seon Ah; Cho, Jae Young

    2009-07-01

    This study was carried out to evaluate the efficiency of degradation of antibiotic ampicillin in pig manure slurry and an aqueous ampicillin solution with the use of electron beam irradiation as a function of the absorbed dose. The degradation efficiency of ampicillin was close to 95% at an absorbed dose of 10 kGy. The degradation of ampicillin followed a "first-order" reaction rate with respect to absorbed dose. The results demonstrate that the electron beam irradiation technology is an effective means to remove antibiotics in manure and bodies of water.

  8. Degradation of ampicillin in pig manure slurry and an aqueous ampicillin solution using electron beam irradiation

    International Nuclear Information System (INIS)

    Chung, Byung Yeoup; Kim, Jae-Sung; Lee, Min Hee; Lee, Kang Soo; Hwang, Seon Ah; Cho, Jae Young

    2009-01-01

    This study was carried out to evaluate the efficiency of degradation of antibiotic ampicillin in pig manure slurry and an aqueous ampicillin solution with the use of electron beam irradiation as a function of the absorbed dose. The degradation efficiency of ampicillin was close to 95% at an absorbed dose of 10 kGy. The degradation of ampicillin followed a 'first-order' reaction rate with respect to absorbed dose. The results demonstrate that the electron beam irradiation technology is an effective means to remove antibiotics in manure and bodies of water.

  9. CLINICAL CHARACTERISTICS AND ANTIBIOTIC RESISTANCE PATTERN OF PATHOGENS IN PEDIATRIC URINARY TRACT INFECTION.

    Science.gov (United States)

    Amornchaicharoensuk, Yupaporn

    2016-09-01

    Medical records of children less than 15-years of age admitted to hospital for urinary tract infection (UTI) from January 2010 to December 2014 were reviewed. Among 100 children (59% males and 41% females) with upper UTI, the most common pathogen (88%) was Escherichia coli, of which 69% were nonextended spectrum beta-lactamase (ESBL) and 19 % ESBL producers. Resistance to ampicillin and trimethoprim/sulfamethoxazole was 90% and 60%, respectively. All ESBL-producing E. coli were resistant to ampicillin and third generation cephalosporins (cefotaxime and ceftriaxone), while 87% and 1.5% of non ESBL-producing E. coli were resistant to ampicillin and the two third generation cephalosporins, respectively. These data highlight the high prevalence of ESBL-producing E. coli in pediatric UTI and the potential problem in treating such infections.

  10. Prevalence of multidrug resistant pathogens in children with urinary tract infection: a retrospective analysis

    Directory of Open Access Journals (Sweden)

    Srinivasan S, Madhusudhan NS

    2014-11-01

    Full Text Available Urinary tract infection (UTI is one of the commonest medical problems in children. It can distress the child and may cause kidney damage. Prompt diagnosis and effective treatment can prevent complications in the child. But treatment of UTI in children has now become a challenge due to the emergence of multidrug resistant bacteria. Aims & Objectives: To know the bacteriological profile and susceptibility pattern of urinary tract infections in children and to know the prevalence of multidrug resistant uropathogens. Materials & Methods: A retrospective analysis was done on all paediatric urine samples for a period of one year. A total of 1581 samples were included in the study. Antimicrobial susceptibility testing was done on samples showing significant growth by Kirby-Bauer disc diffusion method. Statistical analysis: Prevalence and pattern were analyzed using proportions and percentages. Results: E.coli was the most predominant organism (56% causing UTI in children followed by Klebsiella sp (17%. Fifty three percent of gram negative organisms isolated from children were found to be multidrug resistant. Majority of E. coli isolates were found to be highly resistant to Ampicillin (91% and Cotrimoxazole (82% and highly sensitive to Imipenem (99% and Amikacin (93%. Conclusion: Paediatric UTI was common in children less than 5 years of age. Gram negative bacteria (E. coli and Klebsiella sp were more common than gram positive bacteria. Our study revealed that multidrug resistance was higher in E.coli.

  11. A population-based case-control teratologic study of ampicillin treatment during pregnancy

    DEFF Research Database (Denmark)

    Czeizel, A.E.; Rockenbauer, M.; Sørensen, Henrik Toft

    2001-01-01

    Objective: This was a study of the association between ampicillin treatment during pregnancy and prevalence of different congenital abnormalities. Study Design: The paired analysis of case patients with congenital abnormalities and matched population control subjects was performed in the populati...

  12. Modeling the growth dynamics of multiple Escherichia coli strains in the pig intestine following intramuscular ampicillin treatment

    DEFF Research Database (Denmark)

    Ahmad, Amais; Zachariasen, Camilla; Christiansen, Lasse Engbo

    2016-01-01

    using a mathematical model to simulate the competitive growth of E. coli strains in a pig intestine under specified plasma concentration profiles of ampicillin. Results : In vitro growth results demonstrated that the resistant strains did not carry a fitness cost for their resistance, and that the most...... susceptible strains were more affected by increasing concentrations of antibiotics that the rest of the strains. The modeling revealed that short treatment duration resulted in lower levels of resistance and that dosing frequency did not substantially influence the growth of resistant strains. Resistance...... with ampicillin resistance in E. coli. Besides dosing factors, epidemiological factors (such as number of competing strains and bacterial excretion) influenced resistance development and need to be considered further in relation to optimal treatment strategies. The modeling approach used in the study is generic...

  13. Ampicillin penetration into the rabbit eye

    International Nuclear Information System (INIS)

    Salminen, L.

    1978-01-01

    Distribution of intravenously injected ampicillin of 50 mg/kg was studied in the rabbit eye using radioactive tracer method. Antibiotic concentration regarded as therapeutic in the treatment of gram-negative organisms was obtained in all vascularized ocular structures. Intermediate values were measured from the cornea and aqueous humour. In the vitreous body and lens, ampicillin was unable to approach a concentration that would be effective against the common gram-negative organisms. The low ampicillin concentration in the vitreous body and lens was unchanged by systemically administered probenecid, which in other parts of the eye caused significantly higher ampicillin levels. (author)

  14. Methicillin resistant Staphylococcus aureus in Ethiopia: a meta-analysis.

    Science.gov (United States)

    Eshetie, Setegn; Tarekegn, Fentahun; Moges, Feleke; Amsalu, Anteneh; Birhan, Wubet; Huruy, Kahsay

    2016-11-21

    The burden of methicillin resistant Staphylococcus aureus is a major public health concern worldwide; however the overall epidemiology of multidrug resistant strains is neither coordinated nor harmonized, particularly in developing countries including Ethiopia. Therefore, the aim of this meta-analysis was to assess the burden of methicillin resistant Staphylococcos aureus and its antibiotic resistance pattern in Ethiopia at large. PubMed, Google Scholar, and lancet databases were searched and a total of 20 studies have been selected for meta-analysis. Six authors have independently extracts data on the prevalence of methicillin resistant Staphylococcus aureus among clinical isolates of Staphylococcus aureus. Statistical analysis was achieved by using Open meta-analyst (version 3.13) and Comprehensive meta-analysis (version 3.3) softwares. The overall prevalence of methicillin resistant Staphylococcus aureus and its antibiotic resistance pattern were pooled by using the forest plot, table and figure with 95% CI. The pooled prevalence of methicillin resistant Staphylococcus aureus was 32.5% (95% CI, 24.1 to 40.9%). Moreover, methicillin resistant Staphylococcus aureus strains were found to be highly resistant to penicillin, ampicillin, erythromycin, and amoxicillin, with a pooled resistance ratio of 99.1, 98.1, 97.2 and 97.1%, respectively. On the other hand, comparably low levels of resistance ratio were noted to vancomycin, 5.3%. The overall burden of methicillin resistant Staphylococcus aureus is considerably high, besides these strains showed extreme resistance to penicillin, ampicillin, erythromycin and amoxicillin. In principle, appropriate use of antibiotics, applying safety precautions are the key to reduce the spread of multidrug resistant strains, methicillin resistant Staphylococcus aureus in particular.

  15. Prevalence and antibiotic resistance of Salmonella spp. in meat products, meat preparations and minced meat

    Science.gov (United States)

    Rašeta, M.; Mrdović, B.; Janković, V.; Bečkei, Z.; Lakićević, B.; Vidanović, D.; Polaček, V.

    2017-09-01

    This study aimed to determine Salmonella spp. prevalence in meat products, meat preparations and minced meat. Over a period of three years, a total of 300 samples were taken (100 RTE meat products, 100 meat preparations and 100 minced meat) and examined for the presence of Salmonella spp. Sampling was carried out at the warehouses of the food manufacturers. Salmonella spp. were not detected in RTE meat products, while 7% of semi-finished meat products (fresh sausages, grill meat formed and unformed) contained Salmonella, as did 18% of minced meats (minced pork II category, minced beef II category, mixed minced meat). The 25 Salmonella isolates obtained were examined for antibiotic resistance by the disk diffusion test, according to the NCCLS and CLSI guidelines. Isolates showed resistance to ampicillin and nalidixic acid (80%), tetracycline (72%), cefotaxime/clavulanic acid (48%), but not to gentamicin (8%) or trimethoprim/sulfamethoxazole (0%).

  16. Prevalence and Antimicrobial Resistance of Salmonella Isolates from Chicken Carcasses in Retail Markets in Yangon, Myanmar.

    Science.gov (United States)

    Moe, Aung Zaw; Paulsen, Peter; Pichpol, Duangporn; Fries, Reinhard; Irsigler, Herlinde; Baumann, Maximilian P O; Oo, Kyaw Naing

    2017-06-01

    A cross-sectional investigation was conducted concerning prevalence, antimicrobial resistance, multidrug resistance patterns, and serovar diversity of Salmonella in chicken meat sold at retail in Yangon, Myanmar. The 141 chicken meat samples were collected at 141 retail markets in the Yangon Region, Myanmar, 1 November 2014 to 31 March 2015. Information on hygienic practices (potential risk factors) was retrieved via checklists. Salmonella was isolated and identified according to International Organization for Standardization methods (ISO 6579:2002) with minor modifications. Twelve antimicrobial agents belonging to eight pharmacological groups were used for antimicrobial susceptibility testing (disk diffusion method). Salmonella was recovered from 138 (97.9%) of the 141 samples. The isolates were most frequently resistant to trimethoprim-sulfamethoxazole (70.3% of isolates), tetracycline (54.3%), streptomycin (49.3%), and ampicillin (47.1%). Resistance was also found to chloramphenicol (29.7%), amoxicillin-clavulanic acid (17.4%), ciprofloxacin (9.4%), tobramycin (8.7%), gentamicin (8%), cefazolin (7.2%), lincomycin-spectinomycin (5.8%), and norfloxacin (0.7%). Among the 138 Salmonella isolates, 72 (52.2%) were resistant to three or more antimicrobial agents. Twenty-four serovars were identified among the 138 Salmonella-positive samples; serovars Albany, Kentucky, Braenderup, and Indiana were found in 38, 11, 10, and 8% of samples, respectively. None of the potential risk factors were significantly related to Salmonella contamination of chicken carcasses. This study provides new information regarding prevalence and antimicrobial resistance and Salmonella serovar diversity in retail markets in Yangon, Myanmar.

  17. Iodometric determination of ampicillin in proprietary capsules | Ejele ...

    African Journals Online (AJOL)

    The concentration of ampicillin in ampicillin capsule preparations purchased in Owerri main market, Imo State of Nigeria, was determined using the iodometric titration method. The results showed that the ampicillin concentrations in the capsules contained between 250 and 260 mg/cap of ampicillin trihydrate. Statistical ...

  18. Changes in bacterial resistance patterns in children with urinary tract infections on antimicrobial prophylaxis at University Hospital in Split

    Science.gov (United States)

    Ilić, Tanja; Gračan, Sanda; Arapović, Adela; Čapkun, Vesna; Šubat-Dežulović, Mirna; Saraga, Marijan

    2011-01-01

    Summary Background We assessed prevalence and resistance of uropathogens on antimicrobial agents (AA) from urine cultures (UC) in children hospitalized with urinary tract infections (UTI) at University Hospital in Split. Material/Methods During the 7-year period, children hospitalized only once with UTI alone were compared to those repeatedly hospitalized, and who received long-term antimicrobial prophylaxis (LTAP), as well as those with associated anomalies of the urinary system (US). Results E. coli was the most frequent isolate (67.7%) with resistance to ampicillin by 69.5%, amoxicillin/clavulonic acid by 3.5%, cephalexin by 6.6%, trimethoprim/sulfamethoxazole (TMP-SMX) by 27.5%, and nitrofurantoin by 0.4%. For other uropathogens, AA resistance rates were the following: 64.3%, 5.8%, 10.5%, 21.3%, and 7.9%. The high or increasing resistance to TMP-SMX is characterized by all uropathogens. Patients with anomalies of US showed a lower prevalence of E. coli and Enterococcus sp., but a higher prevalence of Pseudomonas sp., ESBL-producing E. coli and Klebsiella sp. than those without US anomalies. Repeatedly hospitalized patients showed a lower prevalence of E. coli, but a higher prevalence of Pseudomonas sp. and Klebsiella sp. than patients hospitalized only once. Both groups displayed significantly less resistance of Enterococcus sp. In patients receiving LTAP before hospitalization, E. coli was significantly more resistant to ampicillin, amoxicillin/clavulonic acid and TMP/SMX than in those without LTAP. Conclusions Based on our results, we recommend excluding ampicillin altogether, and reconsideration of further use of TMP-SMX, as well as use of nitrofurantoin, cephalexin and amoxicillin/clavulonic acid for LTAP in our region. PMID:21709628

  19. Load and Prevalence of Antimicrobial-Resistant Escherichia coli from Fresh Goat Meat in Arusha, Tanzania.

    Science.gov (United States)

    Mwanyika, Gaspary; Call, Douglas R; Rugumisa, Benardether; Luanda, Catherine; Murutu, Rehema; Subbiah, Murugan; Buza, Joram

    2016-09-01

    Given the potential public health risks associated with a burgeoning goat meat industry in Tanzania, we estimated the load of Escherichia coli and the prevalence of antibiotic-resistant strains for goat meat by using a cross-sectional study design (June to July 2015). Five large (n = 60 samples) and five small (n = 64 samples) slaughterhouses were sampled over a period of four to six visits each. Meat rinsate was prepared and plated onto MacConkey agar, and presumptive E. coli colonies were enumerated and reported as CFU per milliliter of rinsate. In total, 2,736 presumptive E. coli isolates were tested for antibiotic drug sensitivity by using breakpoint assays against 11 medically important antibiotics. E. coli was recovered from almost all the samples (96.8%), with counts ranging from 2 to 4 log CFU ml -1 , and there was no significant difference (P = 0.43) in recovery according to facility size (average, 3.37 versus 3.13 log CFU ml -1 , large and small, respectively). Samples from large facilities had relatively higher prevalence (P = 0.026) of antibiotic-resistant E. coli compared with small facilities. This was mostly explained by more ampicillin (30.1 versus 12.8%) and amoxicillin (17.6 versus 4.5%) resistance for large versus small facilities, respectively, and more tetracycline resistance for small facilities (5.6 versus 10.6%, respectively). Large slaughter operations may serve as foci for dissemination of antibiotic-resistant bacteria via food products. More effective hygiene practices during slaughter and meat handling would limit the probability of transmitting antibiotic-resistant E. coli in goat meat.

  20. Multidrug Resistance in Infants and Children

    Directory of Open Access Journals (Sweden)

    Gian Maria Pacifici

    2018-02-01

    Full Text Available Bacterial infections may cause disease and death. Infants and children are often subject to bacterial infections. Antimicrobials kill bacteria protecting the infected patients andreducing the risk of morbidity and mortality caused by bacteria. The antibiotics may lose their antibacterial activity when they become resistant to a bacteria. The resistance to different antibiotics in a bacteria is named multidrug-resistance. Gram-negative bacilli, especially Escherichia coli, Klebsiella, Enterobacter, Salmonella, Shigella, Pseudomonas, Streptococcus, and Haemophilus influenzae type b, may become resistant. Amikacin ampicillin, amoxicillin, amoxiclav, cefuroxime, cefotaxime, ceftazidime, cefoperazone tetracycline, chloramphenicol, ciprofloxacin, and gentamicin may cause bacterial-resistance. Resistance to bacteria for several pathogens makes complications in the treatment of infections caused by them. Salmonella strains may become resistant to ampicillin, cephalotin, ceftriaxone, gentamicin, amikacin, trimethoprim-sulfamethoxazole, chloramphenicol, and tetracycline. Shigella strains may become resistant to ampicillin, cotrimoxazole, chloramphenicol, and streptomycin. Multidrug-resistance of Streptococcus pneumoniae may be due to β-lactams, macrolides, tetracycline, chloramphenicol, and trimethoprim-sulfamethoxazole. Multidrug-resistance of Pseudomonas aeruginosa may become resistant to β-lactams, chloramphenicol, trimethoprim-sulfamethoxazole, and tetracycline. The antibacterial activity against Haemophilus strains may occur with ampicillin, sulbactam-ampicillin, trimethoprim-sulfamethoxazole, gentamicin, chloramphenicol, and ciprofloxacin. Multidrug-resistance of the Klebsiella species may be due with ampicillin, cefotaxime, cefuroxime, co-amxilav, mezlocillin, chloramphenicol, gentamicin, and ceftazidime. Multidrug-resistance of Escherichia coli may be caused by ampicillin, cotrimoxazole, chloramphenicol, ceftriaxone, and ceftazidime. Vibrio

  1. Prevalence and antimicrobial resistance of coagulase negative staphylococci clinical isolates from Ethiopia: a meta-analysis.

    Science.gov (United States)

    Deyno, Serawit; Fekadu, Sintayehu; Seyfe, Sisay

    2018-05-25

    Antimicrobial resistant Coagulase-negative Staphylococci (CoNS) have limited treatment options, rendered diseases untreatable and made hospitals to be reservoirs of the resistant strains. The aim of this study was to estimate the pooled prevalence and antimicrobial resistance of clinical isolates of CoNS from Ethiopia. The electronic database search yielded 6511 articles of which 21 met predefined inclusion criteria. The pooled prevalence of CoNS from Ethiopia was 12% (95% confidence interval (CI): 8, 16%). The analyses revealed high level of CoNS resistance to methicilin (37%[95% CI: 21, 55%]), vancomycin (911%[95% CI: 0, 35%]), penicillin (58%[95% CI: 42, 74%]), amoxicillin (42%[95% CI: 23, 61%]), amoxicillin-clavulanate (27%[95% CI: 2, 27%]), ampicillin (64%[95% CI: 46, 80%]), tetracycline (60% [95% CI: 49, 70%]), doxycycline (36%[95% CI:19,55%]), Sulfamethoxazole-trimethoprim (50%[95% CI: 36, 64%]), ceftriaxone (27% [95% CI: 18, 38%]), cephalothin (32% [95% CI: 7, 62%]), norfloxacin (39%[95% CI: 24, 56%]), chloramphenicol (40%[95% CI: 23, 58%]), clindamycin (11% [95% CI: 2, 27%]), ciprofloxacin (14%[95% CI: 6, 22%]), gentamicin (27%[95% CI:19,36%]) and erythromycin (30%[95% CI:20%,42%]). High heterogeneity, I 2 ranging from 69.04 to 96.88%; p-values ≤0.01, was observed. Eggers' test did not detect publication bias for the meta-analyses and low risk of bias was observed in included studies. CoNS has gotten resistant to commonly used antimicrobials from Ethiopia. There is a need of launching national antimicrobial treatment, development and implementation of policy guidelines to contain the threat. Further research focusing on factors promoting resistance and the effect of resistance on treatment outcome studies are warranted.

  2. Prevalence and Antibiotic Resistance against Tetracycline in Campylobacter jejuni and C. coli in Cattle and Beef Meat from Selangor, Malaysia.

    Science.gov (United States)

    Premarathne, Jayasekara M K J K; Anuar, Aimi S; Thung, Tze Young; Satharasinghe, Dilan A; Jambari, Nuzul Noorahya; Abdul-Mutalib, Noor-Azira; Huat, John Tang Yew; Basri, Dayang F; Rukayadi, Yaya; Nakaguchi, Yoshitsugu; Nishibuchi, Mitsuaki; Radu, Son

    2017-01-01

    Campylobacter is a major foodborne pathogen frequently associated with human bacterial gastroenteritis in the world. This study was conducted to determine the prevalence and antibiotic resistance of Campylobacter spp. in the beef food system in Malaysia. A total of 340 samples consisting of cattle feces ( n = 100), beef ( n = 120) from wet markets and beef ( n = 120) from hypermarkets were analyzed for Campylobacter spp. The overall prevalence of Campylobacter was 17.4%, consisting of 33% in cattle fecal samples, 14.2% in raw beef from wet market and 7.5% in raw beef from the hypermarket. The multiplex-polymerase chain reaction (PCR) identified 55% of the strains as C. jejuni , 26% as C. coli , and 19% as other Campylobacter spp. A high percentage of Campylobacter spp. were resistant to tetracycline (76.9%) and ampicillin (69.2%), whilst low resistance was exhibited to chloramphenicol (7.6%). The MAR Index of Campylobacter isolates from this study ranged from 0.09 to 0.73. The present study indicates the potential public health risk associated with the beef food system, hence stringent surveillance, regulatory measures, and appropriate interventions are required to minimize Campylobacter contamination and prudent antibiotic usage that can ensure consumer safety.

  3. Epidemic and virulence characteristic of Shigella spp. with extended-spectrum cephalosporin resistance in Xiaoshan District, Hangzhou, China

    Science.gov (United States)

    2014-01-01

    Background Shigellae have become increasingly resistant to the extended-spectrum cephalosporin (ESC) worldwide and pose a great challenge to anti-infection treatment options. The purpose of this study was to determine the resistance, cephalosporin resistance mechanisms, virulence characteristic and genotype of ESC-resistant Shigella. Methods From 2008 to 2012, Shigella isolates collected from diarrhea patients were detected for antibiotics sensitivity by disk diffusion, cephalosporin resistance determinants and virulence genes using polymerase chain reaction (PCR) and genotyping through enterobacterial repetitive intergenic consensus sequence PCR (ERIC-PCR). Results A total of 356 Shigella isolates were gathered, and 198 (55.6%, 58 S. flexneri and 140 S. sonnei) were resistant to ESC. All ESC-resistant isolates were susceptible to imipenem, and only 0.5% isolate was resistant to piperacillin/tazobactam. ESC-resistant S. flexneri showed high degrees of resistance to ampicillin (100%), ampicillin/sulbactam (96.6%), piperacillin (100%), trimethoprim/sulfamethoxazole (74.1%), ciprofloxacin (74.1%), levofloxacin (53.4%), ceftazidime (58.6%) and cefepime (58.6%). ESC-resistant S. sonnei exhibited high resistance rates to ampicillin (100%), piperacillin (100%) and trimethoprim/sulfamethoxazole (96.4%). Cephalosporin resistance genes were confirmed in 184 ESC-resistant isolates. blaCTX-M types (91.8%, mainly blaCTX-M-14, blaCTX-M-15 and blaCTX-M-57) were most prevalent, followed by blaOXA-30 (26.3%). Over 99.0% ESC-resistant isolates harbored virulence genes ial, ipaH, virA and sen. However, set1 were more prevalent in ESC-resistant S. flexneri isolates than in S. sonnei isolates. ERIC-PCR results showed that 2 and 3 main genotypes were detected in ESC-resistant S. flexneri and S. sonnei, respectively. Conclusion Our findings indicated that a high prevalence of ESC-resistant Shigella mediated mainly by blaCTX-M with stronger resistance and virulence, and the existence

  4. Quantitative assessment of faecal shedding of β-lactam-resistant Escherichia coli and enterococci in dogs

    DEFF Research Database (Denmark)

    Gongora, Carmen Espinosa; Shah, Syed Qaswar Ali; Jessen, Lisbeth Rem

    2015-01-01

    Quantitative data on faecal shedding of antimicrobial resistant bacteria are crucial to assess the risk of transmission from dogs to other animals as well as humans. In this study we investigated prevalence and concentrations of β-lactam-resistant Escherichia coli and enterococci in the faeces...... of 108 dogs presenting at a veterinary hospital in Denmark. The dogs had not been treated with antimicrobials for 4 weeks prior to the study. Total E. coli and enterococci were quantified by counts on MacConkey and Slanetz-Bartley, respectively. Resistant E. coli and enterococci were counted on the same...... media containing relevant antibiotic concentrations, followed by species identification using MALDI-TOF. Ampicillin- and cefotaxime-resistant E. coli were detected in 40% and 8% of the dogs, respectively, whereas approximately 15% carried ampicillin-resistant enterococci, mainly Enterococcus faecium...

  5. The prevalence of pathogenic bacteria and antimicrobial resistance in milk of Ettawa Grade goat

    Directory of Open Access Journals (Sweden)

    A. Andriani

    2018-05-01

    Full Text Available Ettawa Grade (PE are potentially developed goats to produce milk and meat. Milk is food of animal that is rich in nutrients, but it is a perishable food easily contaminated by microorganisms. Contaminated pathogenic bacteria in milk can decrease the quality and has an organoleptic effect on milk, as well as endangers human health. Milk contaminated with bacteria antimicrobial resistance (AMR in which is resistant to antibiotics, may adversely affect the response to treatment with antibiotics in humans when suffering from infectious diseases and using antibiotics in therapy. In this study Ettawa Grade's samples of fresh milk and other dairy products were taken from some of the goat farms in Yogyakarta Sleman district. The samples were tested for the presence of pathogenic bacteria and for its resistance to several kinds of antibiotics. In this study 35 Ettawa Grade's samples of fresh milk and other dairy products (fresh milk, milk powder, ice cream, and yoghurt were taken from some of the goat farms in Sleman district-Yogyakarta. The samples were tested for the presence of pathogenic bacteria and for its resistance to several kinds of antibiotics. The result of the prevalence of pathogenic bacteria in goat fresh milk and other dairy products was 15% Escherichia coli and had multi resistance to multiple antibiotics, namely ampicillin, colistin sulphate, cefixime, kanamycin, oxytetracycline, tetracycline and sulfonamide.

  6. Clustering of antibiotic resistance of E. coli in couples: suggestion for a major role of conjugal transmission

    Directory of Open Access Journals (Sweden)

    von Baum Heike

    2006-07-01

    Full Text Available Abstract Background Spread of antibiotic resistance in hospitals is a well-known problem, but studies investigating the importance of factors potentially related to the spread of resistant bacteria in outpatients are sparse. Methods Stool samples were obtained from 206 healthy couples in a community setting in Southern Germany in 2002–2003. E. coli was cultured and minimal inhibition concentrations were tested. Prevalences of E. coli resistance to commonly prescribed antibiotics according to potential risk factors were ascertained. Results Prevalences of ampicillin resistance were 15.7% and 19.4% for women and men, respectively. About ten percent and 15% of all isolates were resistant to cotrimoxazole and doxycycline, respectively. A partner carrying resistance was the main risk factor for being colonized with resistant E. coli. Odds ratios (95% CI for ampicillin and cotrimoxazole resistance given carriage of resistant isolates by the partner were 6.9 (3.1–15.5 and 3.3 (1.5–18.0, respectively. Conclusion Our data suggest that conjugal transmission may be more important for the spread of antibiotic resistance in the community setting than commonly suspected risk factors such as previous antibiotic intake or hospital contacts.

  7. Prevalence, seasonal occurrence and antimicrobial resistance of Salmonella in poultry retail products in Greece.

    Science.gov (United States)

    Zdragas, A; Mazaraki, K; Vafeas, G; Giantzi, V; Papadopoulos, T; Ekateriniadou, L

    2012-10-01

    To detect the prevalence, the seasonal occurrence and distribution of Salmonella serotypes in poultry products and to determine the resistance profile of Salmonella isolates. A total of 96 skin-on chicken carcasses and 30 liver samples were analysed between May 2007 and May 2009 from twenty-two different commercial farm brands found in retail market countrywide. Salmonella was isolated from 38 (39·5%) of 96 chicken carcasses and from 10 (33·3%) of 30 liver samples. Higher isolation rate (60·4%) was observed in carcasses detected during summer (May to October), and lower isolation rate (18·7%) was observed in carcasses detected during winter (November to April); in liver samples, the positive rates were 53·4 and 13·2%, respectively. Twelve serotypes were detected with the serotypes Hadar, Enteritidis and Blockley being the most prevalent at 29·2, 22·9 and 12·5%, respectively. Nine of 11 Salm. Enteritidis isolates occurred during summer. Of 48 isolates, 38 (79%) were resistant to one or more of the antimicrobial agents used. The highest resistance rates were found to the following antimicrobials: streptomycin (64·5%), tetracycline (56·2%), nalidixic acid (39·5%), ampicillin and rifampicin (33·3%). The relatively high Salmonella spp. contamination rates of raw chicken meat and liver have been detected. Salm. Enteritidis isolates peaked in summer, increasing the risk to human health. Antibiotic resistance of Salmonella still remains a threat as resistance plasmids may be extensively shared between animal and humans. The study enabled us to improve the data on the seasonal occurrence of Salmonella and to determine the antimicrobial pattern profile and trends in Salmonella strains isolated from poultry retail products in Greece. © 2012 The Authors. Letters in Applied Microbiology © 2012 The Society for Applied Microbiology.

  8. Serogroup prevalence of Shigellae in Bombay.

    Directory of Open Access Journals (Sweden)

    Sonawala M

    1995-10-01

    Full Text Available Prevalence of Shigellae serotypes in Bombay was studied from June 1988 to May 1991. A total of 2758 faecal specimens were collected from paediatric patients (< 12 yrs with acute gastroenteritis. A total of 90 Shigella were isolated giving the isolation rate of 3.2%. Shigella flexneri was the predominant serogroup (73.3% followed by Shigella dysenteriae (16.6%. All the isolates were sensitive to nalidixic acid. Eighty percent of the Shigellae were multidrug resistant. Present data were compared with the study carried out during the period of 1983-87 from the same institute. A change in the serogroup prevalence was noted wherein Shigella flexneri dominated over Shigella dysenteriae since 1985. Increase in resistance to ampicillin and cotrimoxazole was seen in Shigella flexneri strains as compared to previous years.

  9. PREVALENCE OF ANTIBIOTIC RESISTANT STRAINS OF ESCHERICHIA COLI AND ENTEROCOCCUS SPP. IN ROE DEER (CAPREOLUS CAPREOLUS AND RED DEER (CERVUS ELAPHUS AT THE PARCO NAZIONALE DEI MONTI SIBILLINI, ITALY

    Directory of Open Access Journals (Sweden)

    I. Pisano

    2009-09-01

    Full Text Available A case control study was performed in the Parco Nazionale dei Monti Sibillini, Italy, to find out whether roe deer (Capreolus capreolus and red deer (Cervus elaphus were more likely to harbour antibiotic resistant Escherichia coli in their faeces, compared to Enterococcus spp. Ten areas were selected and samples were collected during a fourmonths (May to August, 2008 sampling period. Samples of water (n=12 and feces (n=59, collected at 10 different sites, were cultured for E. coli and Enterococcus spp. The resulting colonies were screened for tetracycline, ampicillin and kanamycin resistance using the Lederberg Replica Plating method (breakpoint 4 μg/ml. All resistant isolates were then selected, and subjected to the CLSI antimicrobial plate susceptibility test (7. Among the water specimens contaminated by E. coli, 80% were found to be resistant to ampicillin, 80% to tetracycline and 40% to kanamycin. Among the water specimens contaminated by Enterococcus spp., 14.29% were found to be resistant to ampicillin, 14.29% to tetracycline and 71.3% to kanamycin. Among the 39 strains of E. coli isolated from red deer feces, 12 were resistant to ampicillin (30.77%, 5 to tetracycline (12,82% and 3 to kanamycin (7.69%. Among the 19 strains of Enterococcus spp. isolated from red deer feces, 0 were resistant to ampicillin (0%, 1 to tetracycline (5.26% and 19 to kanamycin (100. These are significant findings, indicating that antibiotic resistance can be found in naïve animal populations and that red deer and fallow deer could act as sentinels for antimicrobial resistance. Key words Antibiotic-resistance, red deer, fallow deer, Escherichia

  10. Prevalence and Antibiotic Resistance against Tetracycline in Campylobacter jejuni and C. coli in Cattle and Beef Meat from Selangor, Malaysia

    Directory of Open Access Journals (Sweden)

    Jayasekara M. K. J. K. Premarathne

    2017-12-01

    Full Text Available Campylobacter is a major foodborne pathogen frequently associated with human bacterial gastroenteritis in the world. This study was conducted to determine the prevalence and antibiotic resistance of Campylobacter spp. in the beef food system in Malaysia. A total of 340 samples consisting of cattle feces (n = 100, beef (n = 120 from wet markets and beef (n = 120 from hypermarkets were analyzed for Campylobacter spp. The overall prevalence of Campylobacter was 17.4%, consisting of 33% in cattle fecal samples, 14.2% in raw beef from wet market and 7.5% in raw beef from the hypermarket. The multiplex-polymerase chain reaction (PCR identified 55% of the strains as C. jejuni, 26% as C. coli, and 19% as other Campylobacter spp. A high percentage of Campylobacter spp. were resistant to tetracycline (76.9% and ampicillin (69.2%, whilst low resistance was exhibited to chloramphenicol (7.6%. The MAR Index of Campylobacter isolates from this study ranged from 0.09 to 0.73. The present study indicates the potential public health risk associated with the beef food system, hence stringent surveillance, regulatory measures, and appropriate interventions are required to minimize Campylobacter contamination and prudent antibiotic usage that can ensure consumer safety.

  11. Characterization of Vibrio parahaemolyticus isolated from oysters in Korea: Resistance to various antibiotics and prevalence of virulence genes.

    Science.gov (United States)

    Kang, Chang-Ho; Shin, YuJin; Jang, SeokCheol; Yu, HongSik; Kim, SuKyung; An, Sera; Park, Kunbawui; So, Jae-Seong

    2017-05-15

    Vibrio parahaemolyticus, found frequently in oysters, is the most prevalent gastroenteritis-causing pathogen in Korea and in several other Asian countries. This study monitored changes in the environmental parameters and occurrence of V. parahaemolyticus in oyster aquaculture sites. Of the 44 presumed V. parahaemolyticus isolates obtained, when tested against 16 antibiotics, 90.9, 86.4, and 75.0% of the 44 isolates exhibited resistance to vancomycin, ampicillin, and streptomycin, respectively. PCR analysis for the presence of the toxR gene confirmed 31 of the 44 isolates as being positive V. parahaemolyticus strains. The toxR positive isolates were tested for the presence of thermostable direct hemolysin (tdh) and tdh-related hemolysin (trh) virulence genes. Only 9.1% toxR positive isolate exhibit the trh gene and none of the isolates were tested positive for tdh. The occurrence of multi drug resistance strains in the environment could be an indication of excessive usage of antibiotics in agriculture and aquaculture fields. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Antimicrobial resistance of bacterial enteropathogens isolated from stools in Madagascar.

    Science.gov (United States)

    Randrianirina, Frederique; Ratsima, Elisoa Hariniana; Ramparany, Lova; Randremanana, Rindra; Rakotonirina, Hanitra Clara; Andriamanantena, Tahiry; Rakotomanana, Fanjasoa; Rajatonirina, Soatiana; Richard, Vincent; Talarmin, Antoine

    2014-02-25

    Diarrheal diseases are a major public health problem in developing countries, and are one of the main causes of hospital admissions in Madagascar. The Pasteur Institute of Madagascar undertook a study to determine the prevalence and the pathogenicity of bacterial, viral and protozoal enteropathogens in diarrheal and non-diarrheal stools of children aged less than 5 years in Madagascar. We present here the results of the analysis of antimicrobial susceptibility of the bacteria isolated during this study. The study was conducted in the community setting in 14 districts of Madagascar from October 2008 to May 2009. Conventional methods and PCR were used to identify the bacteria; antimicrobial susceptibility was determined using an agar diffusion method for enterobacteriaceae and MICs were measured by an agar dilution method for Campylobacter sp. In addition to the strains isolated during this study, Salmonella sp and Shigella sp isolated at the Pasteur Institute of Madagascar from 2005 to 2009 were included in the analysis to increase the power of the study. Twenty-nine strains of Salmonella sp, 35 strains of Shigella sp, 195 strains of diarrheagenic E. coli, 203 strains of C. jejuni and 71 strains of C. coli isolated in the community setting were tested for antibiotic resistance. Fifty-five strains of Salmonella sp and 129 strains of Shigella sp isolated from patients referred to the Pasteur Institute of Madagascar were also included in the study. Many E. coli and Shigella isolates (around 80%) but fewer Salmonella isolates were resistant to ampicillin and trimethoprim/sulfamethoxazole. A small proportion of strains of each species were resistant to ciprofloxacin and only 3% of E. coli strains presented a resistance to third generation cephalosporins due to the production of extended-spectrum beta-lactamases. The resistance of Campylobacter sp to ampicillin was the most prevalent, whereas less than 5% of isolates were resistant to each of the other antibiotics. The

  13. Prevalence, PFGE typing, and antibiotic resistance of Bacillus cereus group isolated from food in Morocco.

    Science.gov (United States)

    Merzougui, Souad; Lkhider, Mustapha; Grosset, Noel; Gautier, Michel; Cohen, Nozha

    2014-02-01

    This article reports the prevalence and antibiotic resistance of the Bacillus cereus group isolated from different foods (milk and dairy products, spices, and rice salad) in Morocco. In total, 402 different food samples collected from 2008 to 2010 were analyzed by microbiological methods to isolate B. cereus. The strains were subjected to a polymerase chain reaction test in order to verify whether they belonged to the B. cereus group. Sixty-four of all isolates (15.9%) were found to be positive. Among the sources, B. cereus strains from milk and dairy products constituted the largest proportion of isolates (33/64; 51.6%) followed by spices (22/64; 34.4%) and salad with rice (9/64; 14.1%). The genetic diversity of the strains of B. cereus group was examined by pulsed-field gel electrophoresis (PFGE) of chromosomal DNA digested with SmaI. The enzyme restriction profiles showed a high degree of polymorphism among the strains. The results showed that PFGE analysis could reveal the genetic differences among B. cereus strains. Investigation of antibiotic-resistance profiles showed that isolates were resistant to ampicillin (98.4%), tetracycline (90.6%), oxacillin (100%), cefepime (100%), and penicillin (100%), and were susceptible to chloramphenicol (67.2%), erythromycin (84.4%), and gentamicin (100%). The results of this study indicated that B. cereus could be a significant etiological agent of food poisoning in Morocco because of its high prevalence. Also, we demonstrated that the majority of strains came from milk and dairy products. However, additional research involving cytotoxicity tests is needed to more evaluate this sanitary risk.

  14. Phenotypic and genotypic profiling of antimicrobial resistance in enteric Escherichia coli communities isolated from finisher pigs in Australia.

    Science.gov (United States)

    Smith, M G; Jordan, D; Gibson, J S; Cobbold, R N; Chapman, T A; Abraham, S; Trott, D J

    2016-10-01

    To assess herd-to-herd variation in antimicrobial resistance phenotypes and associated antimicrobial resistance genes (ARGs) in faecal commensal Escherichia coli communities isolated from Australian slaughter-age pigs. Hydrophobic grid-membrane filtration (HGMF) was used to screen populations of E. coli isolated from faecal samples obtained from pigs prior to or at slaughter. Multiplex PCRs were applied to the pooled DNA extracted from the samples to identify specific ARGs. Pooled faecal samples from 30 finishers, from 72 different Australian pig farms, produced 5003 isolates for screening. HGMF techniques and image analysis were used to confirm E. coli resistance phenotypes to four antimicrobial agents (ampicillin, gentamicin, florfenicol and ceftiofur) using selective agars. Multiplex PCRs were performed on DNA from pooled samples for 35 ARGs associated with seven chemical classes. The prevalence of E. coli isolates showing no resistance to any of the drugs was 50.2% (95% confidence interval (CI) 41.8-58.6%). Ceftiofur resistance was very low (1.8%; CI 0.8-3.9%) and no ARGs associated with 3rd-generation cephalosporin resistance were detected. By contrast, ampicillin (29.4%, CI 22.8-37.0%), florfenicol (24.3%, CI 17.8-32.3%) and gentamicin (CI 17.5%, 10.7-27.2%) resistance prevalence varied greatly between farms and associated ARGs were common. The most common combined resistance phenotype was ampicillin-florfenicol. The use of registered antimicrobials in Australian pigs leads to the enteric commensal populations acquiring associated ARGs. However, despite a high intensity of sampling, ARGs imparting resistance to the critically important 3rd-generation cephalosporins were not detected. © 2016 Australian Veterinary Association.

  15. Prevalence and Antimicrobial Resistance of Vibrio spp. in Retail and Farm Shrimps in Ecuador.

    Science.gov (United States)

    Sperling, L; Alter, T; Huehn, S

    2015-11-01

    The aim of this study was to investigate the prevalence of Vibrio spp. in shrimp at retail and in shrimp farms in Ecuador and to determine the antimicrobial agent resistance patterns of farm isolates. The presence of genes linked to early mortality syndrome (EMS) or acute hepatopancreatic necrosis disease (AHPND) also was evaluated. Vibrio spp. were isolated from retail shrimps in Cuenca, Ecuador, and farm shrimps originating from provinces El Oro and Guayas, Ecuador. A total of 229 shrimp samples were collected, of which 71 originated from retail markets in Cuenca and 158 came from shrimp farms. Overall, 219 (95.6%) samples tested positive for Vibrio spp. Vibrio parahaemolyticus (80.8%) was the most common species detected, followed by Vibrio alginolyticus (50.2%), Vibrio cholerae (11.3%), and Vibrio vulnificus (3.5%). None of the V. parahaemolyticus isolates carried the virulence-associated tdh and trh genes. In V. parahaemolyticus shrimp farm isolates, high resistance was found to ampicillin (92.2%), and intermediate resistance was found to tetracycline (51.3%) and amikacin (22.1%). Of the V. parahaemolyticus strains, 68 were resistant to at least three antimicrobial agents, and 2 were resistant to seven antimicrobial agents simultaneously. Up to 18 resistant isolates were found for V. alginolyticus, whereas V. vulnificus and V. cholerae isolates were more susceptible. None of the V. parahaemolyticus isolates carried the EMS-AHPND plasmid. The results of this study revealed the ubiquitous occurrence of Vibrio spp. in shrimps at retail and on shrimp farms in Ecuador.

  16. Prevalence, Antimicrobial Resistance, and Genetic Diversity of Listeria spp. Isolated from Raw Chicken Meat and Chicken-Related Products in Malaysia.

    Science.gov (United States)

    Chin, Pui San; Ang, Geik Yong; Yu, Choo Yee; Tan, Eng Lee; Tee, Kok Keng; Yin, Wai Fong; Chan, Kok Gan; Tan, Geok Yuan Annie

    2018-02-01

    Listeria spp. are ubiquitous in nature and can be found in various environmental niches such as soil, sewage, river water, plants, and foods, but the most frequently isolated species are Listeria monocytogenes and Listeria innocua. In this study, the presence of Listeria spp. in raw chicken meat and chicken-related products sold in local markets in Klang Valley, Malaysia was investigated. A total of 44 Listeria strains (42 L. innocua and 2 L. welshimeri) were isolated from 106 samples. Antibiotic susceptibility tests of the L. innocua strains revealed a high prevalence of resistance to clindamycin (92.9%), ceftriaxone (76.2%), ampicillin (73.8%), tetracycline (69%), and penicillin G (66.7%). Overall, 31 L. innocua and 1 L. welshimeri strain were multidrug resistant, i.e., nonsusceptible to at least one antimicrobial agent in three or more antibiotic classes. The majority of the L. innocua strains were placed into five AscI pulsogroups, and overall 26 distinct AscI pulsotypes were identified. The detection of multidrug-resistant Listeria strains from different food sources and locations warrants attention because these strains could serve as reservoirs for antimicrobial resistance genes and may facilitate the spread and emergence of other drug-resistant strains.

  17. Role of nutrient limitation and stationary-phase existence in Klebsiella pneumoniae biofilm resistance to ampicillin and ciprofloxacin.

    Science.gov (United States)

    Anderl, Jeff N; Zahller, Jeff; Roe, Frank; Stewart, Philip S

    2003-04-01

    Biofilms formed by Klebsiella pneumoniae resisted killing during prolonged exposure to ampicillin or ciprofloxacin even though these agents have been shown to penetrate bacterial aggregates. Bacteria dispersed from biofilms into medium quickly regained most of their susceptibility. Experiments with free-floating bacteria showed that stationary-phase bacteria were protected from killing by either antibiotic, especially when the test was performed in medium lacking carbon and nitrogen sources. These results suggested that the antibiotic tolerance of biofilm bacteria could be explained by nutrient limitation in the biofilm leading to stationary-phase existence of at least some of the cells in the biofilm. This mechanism was supported by experimental characterization of nutrient availability and growth status in biofilms. The average specific growth rate of bacteria in biofilms was only 0.032 h(-1) compared to the specific growth rate of planktonic bacteria of 0.59 h(-1) measured in the same medium. Glucose did not penetrate all the way through the biofilm, and oxygen was shown to penetrate only into the upper 100 micro m. The specific catalase activity was elevated in biofilm bacteria to a level similar to that of stationary-phase planktonic cells. Transmission electron microscopy revealed that bacteria were affected by ampicillin near the periphery of the biofilm but were not affected in the interior. Taken together, these results indicate that K. pneumoniae in this system experience nutrient limitation locally within the biofilm, leading to zones in which the bacteria enter stationary phase and are growing slowly or not at all. In these inactive regions, bacteria are less susceptible to killing by antibiotics.

  18. Prevalence of antimicrobial resistant Escherichia coli from patients with suspected urinary tract infection in primary care, Denmark.

    Science.gov (United States)

    Córdoba, Gloria; Holm, Anne; Hansen, Frank; Hammerum, Anette M; Bjerrum, Lars

    2017-10-10

    Escherichia coli is the most common pathogen causing Urinary Tract Infections (UTI). Data from the current National Surveillance program in Denmark (DANMAP) may not accurately represent the prevalence of resistant E. coli in primary care, because only urine samples from complicated cases may be forwarded to the microbiological departments at hospitals for diagnostic examination. The aim of this study was to assess the prevalence of resistant E. coli to the most commonly used antimicrobial agents in primary care in a consecutive sample of patients from general practice. Observational study carried out from December 2014 to December 2015. Thirty-nine general practices from The Capital Region of Denmark included adult patients with urinary tract symptoms and suspected UTI. All urine samples were sent to the central laboratory Statens Serum Institut (SSI). Significant bacteriuria was interpreted according to the European Urinalysis Standards. Susceptibility testing was performed and interpreted according to the European Committee on Antimicrobial Susceptibility Testing (EUCAST) standards. From the 39 general practices 505 patients were recruited. Completed data were obtained from 485 (96%) patients. According to the European Urinalysis Standards, 261 (54%) patients had positive bacteriuria. The most common uropathogen in patients with uncomplicated (uUTI) and complicated (cUTI) urinary tract infection was E. coli 105 (69%) and 76 (70%), respectively. Eighty-two (45%) of 181 E. coli isolates were resistant to at least one of the tested antibiotics and 50 out of 82 isolates were resistant to two or more antimicrobial agents. The highest resistance-rate was found against ampicillin 34% (95% CI 24;42) in uUTI and 36% (24;46) in cUTI. There were no differences in the distribution of resistance between uncomplicated and complicated cases. The prevalence of resistance was similar to the one reported in DANMAP 2014. In E. coli from uUTI there is high resistance rates to

  19. Multidrug-Resistant Salmonella enterica Serovar Muenchen from Pigs and Humans and Potential Interserovar Transfer of Antimicrobial Resistance

    OpenAIRE

    Gebreyes, Wondwossen A.; Thakur, Siddhartha

    2005-01-01

    Salmonella serovars are important reservoirs of antimicrobial resistance. Recently, we reported on multidrug-resistant (MDR) Salmonella enterica serovar Typhimurium strains among pigs with resistance to ampicillin, kanamycin, streptomycin, sulfamethoxazole, and tetracycline (resistance [R] type AKSSuT) and resistance to amoxicillin-clavulanic acid, ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (R type AxACSSuT). In the present study, 67 isolates (39 from humans...

  20. Prevalence and Antibiotic Resistance of Gram-Negative Pathogenic Bacteria Species Isolated from Periplaneta americana and Blattella germanica in Varanasi, India.

    Directory of Open Access Journals (Sweden)

    D Leshan Wannigama

    2014-06-01

    Full Text Available Cockroaches are among the medically important pests found within the human habitations that cause serious public health problems. They may harbor a number of pathogenic bacteria on the external surface with antibiotic resistance. Hence, they are regarded as major microbial vectors. This study investigates the prevalence and antibiotic resistance of Gram-negative pathogenic bacteria species isolated from Periplaneta americana and Blattella germanica in Varanasi, India.Totally, 203 adult cockroaches were collected form 44 households and 52 food-handling establishments by trapping. Bacteriological examination of external surfaces of Pe. americana and Bl. germanica were carried out using standard method and antibiotics susceptibility profiles of the isolates were determined using Kirby-Bauer disc diffusion methods.Among the places, we found that 54% had cockroache infestation in households and 77% in food- handling establishments. There was no significant different between the overall bacteria load of the external surface in Pe. americana (64.04% and Bl. germanica (35.96%. However the predominant bacteria on cockroaches were Klebsiella pneumonia, Escherichia coli, Enterobacter aerogenes, and Pseudomonas aeruginosa. However, Kl. pneumoniae and Ps. aeruginosa were the most prevalent, drug-resistant strains were isolated from the cockroaches with 100% resistance to sulfamethoxazole/trimethoprim and ampicillin. For individual strains of bacteria, Escherichia coli was found to have multi-resistance to four antibiotic tested, Citrobacter freundii four, Enterobacter aerogenes and Proteus mirabilis to three.Cockroaches are uniformly distributed in domestic environment, which can be a possible vector for transmission of drug-resistant bacteria and food-borne diseases.

  1. Association between antimicrobial resistance in Escherichia coli isolates from food animals and blood stream isolates from humans in Europe: an ecological study.

    Science.gov (United States)

    Vieira, Antonio R; Collignon, Peter; Aarestrup, Frank M; McEwen, Scott A; Hendriksen, Rene S; Hald, Tine; Wegener, Henrik C

    2011-12-01

    In addition to medical antimicrobial usage, the use of antimicrobials in food animals contributes to the occurrence of resistance among some bacterial species isolated from infections in humans. Recently, several studies have indicated that a large proportion of Escherichia coli causing infections in humans, especially those resistant to antimicrobials, have an animal origin. We analyzed the correlation between the prevalence of antimicrobial resistance in E. coli isolates from blood stream infections in humans and in E. coli isolates from poultry, pigs, and cattle between 2005 and 2008 for 11 countries, using available surveillance data. We also assessed the correlation between human antimicrobial usage and the occurrence of resistance in E. coli isolates from blood stream infections. Strong and significant correlations between prevalences of resistance to ampicillin (r=0.94), aminoglycosides (r=0.72), third-generation cephalosporins (r=0.76), and fluoroquinolones (r=0.68) were observed for human and poultry E. coli isolates. Similar significant correlations were observed for ampicillin (r=0.91), aminoglycosides (r=0.73), and fluoroquinolone resistance (r=0.74) in pig and human isolates. In cattle isolates, only ampicillin resistance (r=0.72) was significantly correlated to human isolates. When usage of antimicrobials in humans was analyzed with antimicrobial resistance among human isolates, only correlations between fluoroquinolones (r=0.90) and third-generation cephalosporins (r=0.75) were significant. Resistance in E. coli isolates from food animals (especially poultry and pigs) was highly correlated with resistance in isolates from humans. This supports the hypothesis that a large proportion of resistant E. coli isolates causing blood stream infections in people may be derived from food sources.

  2. Prevalence and characterization of multidrug-resistant zoonotic Enterobacter spp. in poultry of Bangladesh.

    Science.gov (United States)

    Nandi, Shuvro Prokash; Sultana, Munawar; Hossain, M Anwar

    2013-05-01

    Poultry and poultry products are major contributors of zoonotic pathogens. Limited data are available on Enterobacter spp. as a potent zoonotic pathogen in poultry. The present study is a first endeavor on the emergence of multidrug-resistant zoonotic Enterobacter spp. and its prevalence arising from poultry in Bangladesh. Cloacal swabs from poultry samples of five different farms at Savar, Dhaka, Bangladesh were collected and from 106 isolates, 18 presumptive Enterobacter spp. were obtained. Antibiogram using 19 used antibiotics belonging to 15 major groups revealed that all of the 18 isolates were completely resistant to penicillin and rifampicin, but differed in their drug resistance pattern against ampicillin (94.4%), clindamycin (94.4%), erythromycin (94.4%), vancomycin (88.9%), sulfonamides (72.2%), imipenem (66.6%), streptomycin (55.6%), nitrofurantoin (33.3%), doxycycline (33.3%), tetracyclines (33.3%), cefepime (11.1%), and gentamicin (5.6%). All Enterobacter spp. were found to be plasmid free, implying that multidrug-resistant properties are chromosomal borne. The vanA and sulI were detected by polymerase chain reaction assay in 17 and 13 isolates, respectively. Amplified ribosomal DNA restriction analysis and randomly amplified polymorphic DNA distributed the 18 multidrug-resistant Enterobacter spp. into three genotypes. Phylogenetic analysis of the representatives of the three genotypes using partial 16S rRNA gene sequence (approximately 900 bp) showed that the genotypically diverse groups belonged to Enterobacter hormaechei, E. cloacae, and E. cancerogenus, respectively. The clinical significance of the close relative Enterobacter spp. is indicative of their zoonotic potential. Therefore, urgent intervention is required to limit the emergence and spread of these bacteria in poultry feed as well as prudent use of antibiotics among poultry farmers in Bangladesh.

  3. Antibiotic resistance in children with complicated urinary tract infection

    International Nuclear Information System (INIS)

    Yildiz, B.; Kural, N.; Yarar, C.; Ak, I.; Akcar, N.

    2007-01-01

    Objective was to determine the resistance of antibiotics for complicated urinary tract infection (UTI), including urinary tract anomaly (UTA), for empirical antibiotic therapy of complicated UTI. Four hundred and twenty two urine isolates were obtained from 113 patients with recurrent UTI, who used prophylactic antibiotics between February 1999 and November 2004 in the Eskisehir Osmangazi University, Eskisehir, Turkey. Reflux was found to be most important predisposing factor for recurrent UTI (31.9%). Renal scar was detected more in patients with UTA than without UTA (59.2% versus 12.4%, p<0.05). Gram-negative organisms were dominant in patients with and without UTA (91.5% and 79.2%). Enterococci and Candida spp. were more prevalent in children with UTA than without UTA (p<0.001). Isolates were significantly more resistant to ampicillin, trimethoprim-sulfamethoxazole, amikacin, co-amoxiclav, ticarcillin-clvalanate and piperacillin-tazobactam in patients with UTA than without UTA. We found low resistance to ciprofloxacin and nitrofurantoin in UTI with and without UTA. Enterococci spp. was highly resistance to ampicillin and amikacin in patients with UTA. Aztreonam, meropenem and ciprofloxacin seemed to be the best choice for treatment of UTI with UTA due to Escherichia coli and Klebsiella spp. Nitrofurantoin and nalidixic acid may be first choice antibiotics for prophylaxis in UTI with and without UTA. The UTI with UTA caused by Enterococci spp. might not benefit from a combination of amikacin and ampicillin, it could be treated with glycopeptides. (author)

  4. Simultaneous delivery of antibiotics neomycin and ampicillin in drinking water inhibits fermentation of resistant starch in rats.

    Science.gov (United States)

    Carvajal-Aldaz, Diana G; Guice, Justin L; Page, Ryan C; Raggio, Anne M; Martin, Roy J; Husseneder, Claudia; Durham, Holiday A; Geaghan, James; Janes, Marlene; Gauthier, Ted; Coulon, Diana; Keenan, Michael J

    2017-03-01

    Antibiotics ampicillin 1 g/L and neomycin 0.5 g/L were added to drinking water before or during feeding of resistant starch (RS) to rats to inhibit fermentation. In a preliminary study, antibiotics and no RS were given prior to rats receiving a transplant of cecal contents via gavage from donor rats fed RS (without antibiotics) or a water gavage before feeding resistant starch to both groups. Antibiotics given prior to feeding RS did not prevent later fermentation of RS regardless of either type of gavage. In the second study, antibiotics were given simultaneously with feeding of RS. This resulted in inhibition of fermentation of RS with cecal contents pH >8 and low amounts of acetate and butyrate. Rats treated with antibiotics had reduced Bifidobacteria spp., but similar Bacteroides spp. to control groups to reduce acetate and butyrate and preserve the production of propionate. Despite reduced fermentation, rats given antibiotics had increased glucagon-like peptide 1 (GLP-1) and cecum size, measures that are usually associated with fermentation. A simultaneous delivery of antibiotics inhibited fermentation of RS. However, increased GLP-1 and cecum size would be confounding effects in assessing the mechanism for beneficial effects of dietary RS by knocking out fermentation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Ampicillin and Sulbactam Injection

    Science.gov (United States)

    ... in a class of medications called penicillin-like antibiotics. It works by stopping the growth of bacteria. Sulbactam is ... It works by preventing bacteria from destroying ampicillin. Antibiotics will not work for colds, flu, or other viral infections.

  6. Substitutions in PBP3 confer resistance to both ampicillin and extended-spectrum cephalosporins in Haemophilus parainfluenzae as revealed by site-directed mutagenesis and gene recombinants

    DEFF Research Database (Denmark)

    Wienholtz, Nanna H; Ciechanowski, Aynur Barut; Nørskov-Lauritsen, Niels

    2017-01-01

    using site-directed mutagenesis. Recombinants were also generated using PCR-amplified ftsI from clinical strains encoding multiple amino acid substitutions. MICs of ampicillin, cefuroxime, cefotaxime and ceftriaxone were determined using Etest ® . Results: Transformation of a susceptible strain with fts...... for recombinants were lower than those for the donor strains. Using site-directed mutagenesis, no single substitution conferred resistance to the tested β-lactams, although V511A increased the MIC of cefuroxime to the intermediate category for intravenous administration. Recombinants encoding N526K...

  7. Prevalence of resistance to 11 antimicrobials among Campylobacter coill isolated from pigs on 80 grower-finisher farms in Ontario.

    Science.gov (United States)

    Varela, Norma P; Friendship, Robert; Dewey, Cate

    2007-07-01

    We carried out a cross-sectional study to investigate antimicrobial resistance patterns of Campylobacter coli isolated from Ontario grower-finisher pigs. From January to June 2004, 1200 samples were collected from 80 farms by obtaining a constant number (15) of fecal samples per farm. Susceptibility of the isolates to 11 antimicrobial drugs was determined by the agar-dilution technique. The overall prevalence of resistance to 1 or more antimicrobials among the isolates was 99.2%. High levels of resistance were observed for azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline: 91.7%, 82.5%, 81.4%, 70.7%, and 63.7%, respectively. For sulfamethoxazole, ampicillin, and nalidixic acid, resistance was observed in 40.3%, 26.6%, and 22.7% of the isolates, respectively. Although at very low levels, resistance was observed for ciprofloxacin (a fluoroquinolone), chloramphenicol, and gentamicin: in 2.4%, 1.7%, and 0.2%, respectively. Many of the isolates (29.7%) were resistant to 5 antimicrobials, the most common being azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline. Isolates from the same farm showed at least 5 patterns of resistance. Results from this study indicate high levels of resistance to the antimicrobial drugs most commonly used in the Canadian swine industry (macrolides, lincosamides, and tetracyclines) among C. coli isolated from grower-finisher pigs in Ontario. Macrolides and fluoroquinolones are the drugs most commonly used to treat severe human campylobacteriosis. Fortunately, at present, there is little resistance to fluoroquinolones among C. coli from pigs in Ontario.

  8. Vaginal versus Obstetric Infection Escherichia coli Isolates among Pregnant Women: Antimicrobial Resistance and Genetic Virulence Profile.

    Directory of Open Access Journals (Sweden)

    Emma Sáez-López

    Full Text Available Vaginal Escherichia coli colonization is related to obstetric infections and the consequent development of infections in newborns. Ampicillin resistance among E. coli strains is increasing, which is the main choice for treating empirically many obstetric and neonatal infections. Vaginal E. coli strains are very similar to extraintestinal pathogenic E. coli with regards to the virulence factors and the belonging to phylogroup B2. We studied the antimicrobial resistance and the genetic virulence profile of 82 E. coli isolates from 638 vaginal samples and 63 isolated from endometrial aspirate, placental and amniotic fluid samples from pregnant women with obstetric infections. The prevalence of E. coli in the vaginal samples was 13%, which was significant among women with associated risk factors during pregnancy, especially premature preterm rupture of membranes (p<0.0001. Sixty-five percent of the strains were ampicillin-resistant. The E. coli isolates causing obstetric infections showed higher resistance levels than vaginal isolates, particularly for gentamicin (p = 0.001. The most prevalent virulence factor genes were those related to the iron uptake systems revealing clear targets for interventions. More than 50% of the isolates belonged to the virulent B2 group possessing the highest number of virulence factor genes. The ampicillin-resistant isolates had high number of virulence factors primarily related to pathogenicity islands, and the remarkable gentamicin resistance in E. coli isolates from women presenting obstetric infections, the choice of the most appropriate empiric treatment and clinical management of pregnant women and neonates should be carefully made. Taking into account host-susceptibility, the heterogeneity of E. coli due to evolution over time and the geographical area, characterization of E. coli isolates colonizing the vagina and causing obstetric infections in different regions may help to develop interventions and avoid the

  9. Clinical efficacy of ampicillin in treatment of acute odontogenic abscess

    Directory of Open Access Journals (Sweden)

    Matijević Stevo

    2009-01-01

    Full Text Available Background/Aim. Antibiotics choice and the duration of their application in the therapy of acute odontogenic abscess is considered to be controversial. The aim of this study was to investigate the clinical efficacy of ampicillin in treatment of acute odontogenic abscess and to assess the antimicrobial susceptibility of the isolated bacteria in early phase of abscess development. Methods. This study included 60 patients with acute odontogenic abscess who were surgically treated (extraction of teeth and/or abscess incision divided into two groups, ampicillin group and surgical group (without antibiotic treatment. Results. In the ampicillin group of patients treatment lasted on the average 4.67 days, while in the surgical group 6.17 days. A total of 78 bacterial strains were isolated from 60 patients. The most often bacteria were found to be Gram-positive facultative anaerobs (68/78. The most common bacteria isolated were Viridans streptococci (43/78. Susceptibility of isolated bacteria to ampicillin were 70.5%. Conclusion. Peroral use of ampicillin, after surgical treatment in an early phase of dentoalveolar abscess development, statistically significantly reduced the time of clinical symptoms of acute odontogenic abscess in comparison to surgical treatment only. The isolated bacterial strains in an early phase of dentoalveolar abscess development showed a high sensitivity to ampicillin.

  10. Using data on resistance prevalence per sample in the surveillance of antimicrobial resistance

    DEFF Research Database (Denmark)

    Vieira, Antonio; Shuyu, Wu; Jensen, Lars Bogø

    2008-01-01

    Objectives: In most existing antimicrobial resistance monitoring programmes, one single bacterial colony from each collected sample is susceptibility tested against a panel of antimicrobials. Detecting the proportion of colonies resistant to different antimicrobials in each sample can provide...... quantitative data on antimicrobial resistance (resistance prevalence per sample). Methods: In this study, a total of 98 faecal samples from slaughter pigs were tested for tetracycline and sulphonamide resistance in Escherichia coli using the single colony method, and these results were compared...... with the results obtained using the resistance prevalence per sample method. Results: The results obtained by the resistance prevalence per sample method showed a lower occurrence of resistance. Tetracycline resistance in E. coli was found in 36.7% of the samples using the single colony method, while the mean...

  11. Prevalence, antibiotic-resistance properties and enterotoxin gene ...

    African Journals Online (AJOL)

    Prevalence, antibiotic-resistance properties and enterotoxin gene profile of Bacillus cereus strains isolated from milk-based baby foods. ... Conclusion: Considerable prevalence of resistant and toxigenic B. cereus and high consumption of milk-based infant foods in Iran, represent an important public health issue which ...

  12. [Prevalence and antimicrobial behavior of Campylobacter jejuni and Campylobacter coli in children with diarrhea in Loja city, Ecuador].

    Science.gov (United States)

    Simaluiza, Rosa; Toledo, Zorayda; Fernández, Heriberto

    2018-04-01

    Campylobacter is an important agent of diarrhea in humans. In Ecuador, the information on Campylobacter is scarce and there are not antecedents about antimicrobial susceptibility. To describe Campylobacter prevalence in children with diarrhea and their behavior against five antimicrobials in vitro. We studied 253 children with diarrhea aging 7 months to 9 years who consulted for diarrhea in two hospitals in the city of Loja. Fecal samples were cultured and identification by tests by phenotypic tests and multiplex PCR. Susceptibility to 5 antibiotics was determined by the disc-diffusion method. Campylobacter was found in 16 (6.3%) children, being C. jejuni the most frequent one (5.1%), followed by C. coli (1.2%). All strains were susceptible to gentamicin and ampicillin/clavulanic acid, being found low resistance to ampicillin and erythromycin and high resistance to ciprofloxacin.

  13. High prevalence of multiple-antibiotic-resistant (MAR) Escherichia coli in river bed sediments of the Apies River, South Africa.

    Science.gov (United States)

    Abia, Akebe Luther King; Ubomba-Jaswa, Eunice; Momba, Maggy Ndombo Benteke

    2015-10-01

    This study aimed at investigating the presence of antibiotic-resistant Escherichia coli in river bed sediments of the Apies River, Gauteng, South Africa, in order to better inform health management decisions designed to protect users of the river. Overall, 180 water and sediment samples were collected at 10 sites along the Apies River from January to February 2014. E. coli was enumerated using the Colilert® 18/Quanti-Tray® 2000 (IDEXX). Isolates were purified by streaking on eosin methylene blue agar followed by the indole test. Pure E. coli isolates were tested for resistance to nine antibiotics by the Kirby-Bauer disc diffusion method. Over 98% of the isolates were resistant to at least one of the antibiotics tested. The highest resistance was observed against nitrofurantoin (sediments) and ampicillin (water). Over 80% of all resistant isolates showed multiple antibiotic resistance (resistance to ≥3 antibiotics). The abundance of E. coli in the sediments not only adds to the evidence that sediments are a reservoir for bacteria and possibly other pathogens including antibiotic-resistant bacteria but also suggests that antibiotic-resistant genes could be transferred to pathogens due to the high prevalence of multiple-antibiotic-resistant (MAR) strains of E. coli observed in the sediment. Using untreated water from the Apies River following resuspension for drinking and other household purposes could pose serious health risks for users. Our results suggest that river bed sediments could serve as reservoirs for MAR bacteria including pathogens under different climatic conditions and their analysis could provide information of public health concerns.

  14. Assessment of antibiotic resistance of Escherichia coli isolates and screening of Salmonella spp. in wild ungulates from Portugal.

    Science.gov (United States)

    Dias, Diana; Torres, Rita T; Kronvall, Göran; Fonseca, Carlos; Mendo, Sónia; Caetano, Tânia

    2015-09-01

    Antibiotic resistance is an emerging global problem. Wild animals are rarely exposed to antibiotics and therefore low levels of antibiotic resistance are expected. However, the growing interactions of these animals with humans and livestock may have a huge impact on their bacterial flora. This study aimed to assess the levels of antibiotic resistance in Escherichia coli isolated from widespread wild ungulates in Portugal. The interpretation of inhibition zone diameters was performed according to clinical breakpoints and epidemiological cut-offs, determined with the normalized resistance interpretation (NRI) method. For clinical breakpoints, 16% of the isolates were resistant to at least one antibiotic, including ampicillin (10%), tetracycline (9%), streptomycin (5%) co-trimoxazole (4%), amoxicillin/clavulanic acid (1%) and cefoxitin (1%). The levels of resistance detected in E. coli strains isolated from wild boar were statistically different for ampicillin and co-trimoxasol. According to NRI cut-offs, 10% of the population showed a non-wild-type phenotype against at least one antibiotic, also including tetracycline (9%), co-trimoxazole (6%), streptomycin (4%), ampicillin (2%) and amoxicillin/clavulanic acid (1%). Considering this parameter of comparison, no statistically different levels of resistance were identified between E. coli recovered from the three wild ungulates. Screening of Salmonella spp., which can be potentially pathogenic, was also performed, revealing that its prevalence was very low (1.5%). The study demonstrated that wild ungulates from Portugal are also reservoirs of antibiotic-resistant bacteria. Copyright © 2015 Institut Pasteur. Published by Elsevier Masson SAS. All rights reserved.

  15. Resistance of Staphylococcus aureus to antimicrobial agents in Ethiopia: a meta-analysis.

    Science.gov (United States)

    Deyno, Serawit; Fekadu, Sintayehu; Astatkie, Ayalew

    2017-01-01

    Emergence of antimicrobial resistance by Staphylococcus aureus has limited treatment options against its infections. The purpose of this study was to determine the pooled prevalence of resistance to different antimicrobial agents by S. aureus in Ethiopia. Web-based search was conducted in the databases of PubMed, Google Scholar, Hinari, Scopus and the Directory of Open Access Journals (DOAJ) to identify potentially eligible published studies. Required data were extracted and entered into Excel spread sheet. Statistical analyses were performed using Stata version 13.0. The metaprop Stata command was used to pool prevalence values. Twenty-one separate meta-analysis were done to estimate the pooled prevalence of the resistance of S. aureus to twenty-one different antimicrobial agents. Heterogeneity among the studies was assessed using the I 2 statistic and chi-square test. Publication bias was assessed using Egger's test. Because of significant heterogeneity amongst the studies, the random effects model was used to pool prevalence values. The electronic database search yielded 1317 studies among which 45 studies met our inclusion criteria. Our analyses demonstrated very high level of resistance to amoxicillin (77% [95% confidence interval (CI): 68%, 0.85%]), penicillin (76% [95% CI: 67%, 84%]), ampicillin (75% [95% CI: 65%, 85%]), tetracycline (62% [95% CI: 55%, 68%]), methicillin (47% [95% CI: 33%, 61%]), cotrimoxaziole (47% [95% CI: 40%, 55%]), doxycycline (43% [95% CI: 26%, 60%]), and erythromycin (41% [95% CI: 29%, 54%]). Relatively low prevalence of resistance was observed with kanamycin (14% [95% CI: 5%, 25%]) and ciprofloxacin (19% [95% CI: 13%, 26%]). The resistance level to vancomycin is 11% 995% CI: (4%, 20%). High heterogeneity was observed for each of the meta-analysis performed (I 2 ranging from 79.36% to 95.93%; all p -values ≤0.01). Eggers' test did not show a significant publication bias for all antimicrobial agents except for erythromycin and

  16. Resistance pattern of clinical isolates of staphylococcus aureus against five groups of antibiotics

    International Nuclear Information System (INIS)

    Farzana, K.; Hameed, A.

    2006-01-01

    Among the samples received in pathology laboratory, Pakistan institute of Medical Science, Islamabad, 5069 samples had bacterial growth, among these 2580 (51%) samples were Gram-positive cocci and 1688 were Staphylococcus aureus during a period of two years. Out of these Gram-positive cocci 56% were resistant to penicillin group, 27% were resistant to cephalosporin group, 22% were resistant to aminoglycoside group 15% were resistant to quinolone group and 31% were resistant to other antibiotics (cotrimaxazole, erythromycin, aztreonam, vancomycin, nitrofurantion and meropenam). Antibio-grams of Gram-positive cocci were determined against various antibiotics by disc diffusion method. The rate of resistance to most of the antibiotics such as ampicillin, piperacillin, carbenicillin, penicillin, cephradine, cefotaxime, erythromycin, ceclor, ofloxacin, pefloxacin, ciprofloxacin, cotrimexazole (septran), gentamicin, meropenem, ceftazidime, erythromycin, tobramycin, enoxacin was higher when tested against the isolates collected from pus as compared to those from blood and urine. Antibiotic resistant strains were more prevalent in pus samples than other clinical isolates (blood and urine). The randomly selected 155 strains of Staphylococcus aureus when tested against five groups of antibiotics showed resistance rate against ampicillin (92%), cephradine (92%), cephradine (60%), and gentamicin (58%). However intermediate resistance was found in case of vancomicin (38%), in hospitalized and non-hospitalized patients. (author)

  17. Distribution and characterization of ampicillin- and tetracycline-resistant Escherichia coli from feedlot cattle fed subtherapeutic antimicrobials

    Directory of Open Access Journals (Sweden)

    Yanke L Jay

    2011-04-01

    Full Text Available Abstract Background Feedlot cattle in North America are routinely fed subtherapeutic levels of antimicrobials to prevent disease and improve the efficiency of growth. This practice has been shown to promote antimicrobial resistance (AMR in subpopulations of intestinal microflora including Escherichia coli. To date, studies of AMR in feedlot production settings have rarely employed selective isolation, therefore yielding too few AMR isolates to enable characterization of the emergence and nature of AMR in E. coli as an indicator bacterium. E. coli isolates (n = 531 were recovered from 140 cattle that were housed (10 animals/pen in 14 pens and received no dietary antimicrobials (control - 5 pens, CON, or were intermittently administered subtherapeutic levels of chlortetracycline (5 pens-T, chlortetracycline + sulfamethazine (4 pens-TS, or virginiamycin (5 pens-V for two separate periods over a 9-month feeding period. Phenotype and genotype of the isolates were determined by susceptibility testing and pulsed field gel electrophoresis and distribution of characterized isolates among housed cattle reported. It was hypothesized that the feeding of subtherapeutic antibiotics would increase the isolation of distinct genotypes of AMR E. coli from cattle. Results Overall, patterns of antimicrobial resistance expressed by E. coli isolates did not change among diet groups (CON vs. antibiotic treatments, however; isolates obtained on selective plates (i.e., MA,MT, exhibited multi-resistance to sulfamethoxazole and chloramphenicol more frequently when obtained from TS-fed steers than from other treatments. Antibiograms and PFGE patterns suggested that AMR E. coli were readily transferred among steers within pens. Most MT isolates possessed the tet(B efflux gene (58.2, 53.5, 40.8, and 50.6% of isolates from CON, T, TS, and V steers, respectively whereas among the MA (ampicillin-resistant isolates, the tem1-like determinant was predominant (occurring in 50, 66

  18. Changing prevalence and antibiotic drug resistance pattern of pathogens seen in community-acquired pediatric urinary tract infections at a tertiary care hospital of North India.

    Science.gov (United States)

    Patwardhan, Vrushali; Kumar, Dinesh; Goel, Varun; Singh, Sarman

    2017-01-01

    The aim and objective of this study was to assess the temporal changes in the microbiological profiles and antimicrobial resistance patterns of uropathogens in pediatric community-acquired UTI. This is a retrospective analysis of data collected over a Scattered period of 5 years. The baseline data collected were from January to December 2009, and the second period considered for comparison was from January to December 2014. Urine specimens from children (Antibiotic sensitivity was put up by Kirby-Bauer disc diffusion method as per the Clinical and Laboratory Standard Institute guidelines. In the year 2009, 340 of 2104 (16.15%) urine specimens yielded significant colony count, whereas in 2014, it was 407 of 2212 (18.39%) ( P = 0.051). Escherichia coli was the predominant pathogen and was significantly more prevalent in girls than in boys ( P resistance to ampicillin (from 40.29% to 58.72%), amoxyclav (from 26.17% to 40.54%), nitrofurantoin (from 28.82% to 39.06%), and norfloxacin (from 30% to 41.42%). However, the maximum increase in the resistance was noted for co-trimoxazole from 35.58% in 2009 to 63.39% in 2014 ( P = 0.0000058). The prevalence of extended-spectrum beta-lactamases (ESBLs) has also significantly increased from 21.7% to 33.16% ( P = 0.0045). Although E. coli remains the prime pathogen in pediatric UTI, the prevalence of resistance has dramatically increased over the 5-year study period. Our study highlights the emergence of community-acquired ESBL-producing uropathogens in children proclaiming treatment challenges.

  19. Apparent and true resistant hypertension: definition, prevalence and outcomes.

    Science.gov (United States)

    Judd, E; Calhoun, D A

    2014-08-01

    Resistant hypertension, defined as blood pressure (BP) remaining above goal despite the use of > or =3 antihypertensive medications at maximally tolerated doses (one ideally being a diuretic) or BP that requires > or =4 agents to achieve control, has received more attention with increased efforts to improve BP control rates and the emergence of device-based therapies for hypertension. This classically defined resistant group consists of patients with true resistant hypertension, controlled resistant hypertension and pseudo-resistant hypertension. In studies where pseudo-resistant hypertension cannot be excluded (for example, 24-h ambulatory BP not obtained), the term apparent resistant hypertension has been used to identify 'apparent' lack of control on > or =3 medications. Large, well-designed studies have recently reported the prevalence of resistant hypertension. Pooling prevalence data from these studies and others within North America and Europe with a combined sample size of >600,000 hypertensive participants, the prevalence of resistant hypertension is 14.8% of treated hypertensive patients and 12.5% of all hypertensives. However, the prevalence of true resistant hypertension, defined as uncontrolled both by office and 24-h ambulatory BP monitoring with confirmed medication adherence, may be more meaningful in terms of identifying risk and estimating benefit from newer therapies like renal denervation. Rates of cardiovascular events and mortality follow mean 24-h ambulatory BPs in patients with resistant hypertension, and true resistant hypertension represents the highest risk. The prevalence of true resistant hypertension has not been directly measured in large trials; however, combined data from smaller studies suggest that true resistant hypertension is present in half of the patients with resistant hypertension who are uncontrolled in the office. Our pooled analysis shows prevalence rates of 10.1% and 7.9% for uncontrolled resistant hypertension among

  20. Presence and potential for horizontal transfer of antibiotic resistance in oxidase-positive bacteria populating raw salad vegetables.

    Science.gov (United States)

    Bezanson, G S; MacInnis, R; Potter, G; Hughes, T

    2008-09-30

    To assess whether domestically grown fresh salad vegetables constitute a possible reservoir of antibiotic resistance for Canadian consumers, aerobic bacteria capable of forming colonies at 30 degrees C on nutrient-limited media were recovered from a single sampling of Romaine lettuce, Savoy spinach and alfalfa sprouts, then examined for their susceptibility to ten antibiotics and the carriage of potentially mobile R-plasmids and integrons. Of the 140 isolates resistant to one or more antibiotic, 93.5 and 90.0% were resistant to ampicillin and cephalothin; 35.7% to chloramphenicol, 10.0% to streptomycin, 4.2% to nalidixic acid, 4.2% to kanamycin, and 2.8% to gentamicin. Gram-positive isolates accounted for less than 4% of the antibiotic resistant strains. A small portion (23.1%) of the predominant oxidase-positive, gram-negative isolates was resistant to two or more antimicrobials. Members of the Pseudomonas fluorescens/putida complex were most prevalent among the 34 resistant strains identified. Sphingobacterium spp. and Acinetobacter baumanni also were detected. Ten of 52 resistant strains carried plasmids, 3 of which were self-transmissible and bore resistance to ampicillin and kanamycin. Eighteen of 48 gave PCR evidence for integron DNA. Class 2 type integrons were the most prevalent, followed by class 1. We conclude that the foods examined here carry antibiotic resistant bacteria at the retail level. Further, our determination that resistant strains contain integron-specific DNA sequences and self-transmissible R-plasmids indicates their potential to influence the pool of antibiotic resistance in humans via lateral gene transfer subsequent to ingestion.

  1. Aeromonas associated diarrhoeal disease in south Brazil: prevalence, virulence factors and antimicrobial resistance Aeromonas associadas a diarréias no sul do Brasil: prevalência, fatores de virulência, e resistência a antibiótico

    Directory of Open Access Journals (Sweden)

    Ivani M.F. Guerra

    2007-12-01

    Full Text Available Aeromonas were isolated from 27 (6.6% of 408 patients admitted with acute gastroenteritis in two hospitals at Rio Grande do Sul, Brazil. Isolates were classified as A. hydrophila (51.8%, A. caviae (40.8%, and A. veronii biotype sobria (7.4%. The highest prevalence of Aeromonas associated infections occurred in lactants and children. Virulence genes (aerA -aerolysin/hemolysin, ahpA -serine-protease, satA - glycerophospholipid-cholesterol acyltransferase, lipA -lipase, and ahyB -elastase and virulence factors (hemolytic, proteolitic, lipolitic activities, and biofilm formation were identified in most A. hydrophila and A. veronii biotype sobria isolates, with lower frequencies on A. caviae. All Aeromonas isolates were resistant to ampicillin, ticarcillin/clavulanic acid, cephalotin, and cephazolin, and most of them (>70% exhibited resistance to imipenem, carbenicillin, amoxillin/sulbactan, and piperacillin. Multiple-resistance, more than four antibiotics, was evidenced in 29.6% of the isolates. The most efficient antibiotics were the quinolones (ciprofloxacin and norfloxacin, and the aminoglycosides (amikacin and netilmicin.Aeromonas foram isoladas de 27 (6.6% dos 408 pacientes admitidos com gastroenterite aguda em dois hospitais do Rio Grande do Sul, Brasil. Os isolados foram classificados com A. hydrophila (51.8%, A. caviae (40.8%, e A. veronii biotype sobria (7.4%. A maior prevalência de Aeromonas ocorreu em lactantes e crianças. Genes (aerA -aerolisina/hemolisina, ahpA -serina-protease, satA - glicerofosfolipidio-colesterol aciltransferase, lipA -lipase, e ahyB -elastase e factores (atividade hemolítica, proteolítica, lipolítica, e formação de biofilme de virulência foram identificados na maioria dos isolados de A. hydrophila e A. veronii biotype sobria, com freqüências menores em A. caviae. Todos os isolados de Aeromonas apresentaram resistência a ampicilina, ticarcilina/ácido clavulânico, cefalotina e cefazolina, e a maior parte

  2. Antibiotic resistance and virulence traits in clinical and environmental Enterococcus faecalis and Enterococcus faecium isolates.

    Science.gov (United States)

    Rathnayake, I U; Hargreaves, M; Huygens, F

    2012-07-01

    This study compared virulence and antibiotic resistance traits in clinical and environmental Enterococcus faecalis and Enterococcus faecium isolates. E. faecalis isolates harboured a broader spectrum of virulence determinants compared to E. faecium isolates. The virulence traits Cyl-A, Cyl-B, Cyl-M, gel-E, esp and acm were tested and environmental isolates predominantly harboured gel-E (80% of E. faecalis and 31.9% of E. faecium) whereas esp was more prevalent in clinical isolates (67.8% of E. faecalis and 70.4% of E. faecium). E. faecalis and E. faecium isolated from water had different antibiotic resistance patterns compared to those isolated from clinical samples. Linezolid resistance was not observed in any isolates tested and vancomycin resistance was observed only in clinical isolates. Resistance to other antibiotics (tetracycline, gentamicin, ciprofloxacin and ampicillin) was detected in both clinical and water isolates. Clinical isolates were more resistant to all the antibiotics tested compared to water isolates. Multi-drug resistance was more prevalent in clinical isolates (71.2% of E. faecalis and 70.3% of E. faecium) compared to water isolates (only 5.7% E. faecium). tet L and tet M genes were predominantly identified in tetracycline-resistant isolates. All water and clinical isolates resistant to ciprofloxacin and ampicillin contained mutations in the gyrA, parC and pbp5 genes. A significant correlation was found between the presence of virulence determinants and antibiotic resistance in all the isolates tested in this study (pantibiotic resistant enterococci, together with associated virulence traits, in surface recreational water could be a public health risk. Copyright © 2012 Elsevier GmbH. All rights reserved.

  3. Prevalence of Methicillin-Resistant Staphylococcus aureus among ...

    African Journals Online (AJOL)

    Purpose: To determine the prevalence of methicillin-resistant Staphylococcus aureus (MRSA) in apparently healthy ... treatment failures is vital. Keywords: Methicillin-resistant Staphylococcus aureus, Nasal swabs, Multidrug resistance, Rational .... defined as resistance to three or more classes of antibiotics other than the ...

  4. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human

    DEFF Research Database (Denmark)

    Shuyu, Wu; Dalsgaard, A.; Hammerum, A. M.

    2010-01-01

    isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids...... and humans) were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids...

  5. Prevalence of colibacillosis in chickens in greater Mymensingh district of Bangladesh

    Directory of Open Access Journals (Sweden)

    Md. Abdul Matin

    2017-01-01

    Full Text Available Aim: This study was conducted for determination of the prevalence of colibacillosis in chicken in poultry farms in Mymensingh and Tangail districts. Isolation, identification, and antibiogram profile of Escherichia coli were also performed. Materials and Methods: A total of 25 chickens manifested clinical signs of colibacillosis were collected from five different poultry farms during natural outbreaks. Results: In broiler, the prevalence of colibacillosis was 0.84%, and in layer, prevalence was 0.80%. The prevalence of colibacillosis was 1.0% and 0.5% in 25-30 days old and 31-35 days old broiler, respectively. In case of layer birds, the prevalence was 0.6% in 40-45 days old bird and 1% in 46-50 days old bird. Identity of the E. coli isolate of chicken was confirmed by sugar fermentation, biochemical tests, and polymerase chain reaction assay. Antibiogram profile of E. coli isolate of chicken revealed that it was multidrug resistant (resistant against two antibiotics, such as ampicillin and cefalexin. Conclusion: Data of this study suggest that colibacillosis is prevalent in the study areas which underscore the need of implementation of prevention and control measure against this disease.

  6. Prevalence of community-associated methicillin–resistant ...

    African Journals Online (AJOL)

    Background: Community-associated methicillin-resistant Staphylococcus aureus is increasingly reported. This study investigated its prevalence in urine of healthy women and resistance pattern to other antibiotics. Methods: Urine samples collected from healthy women volunteers in Federal Capital Territory were cultured ...

  7. Prevalence and antimicrobial susceptibility of Vibrio parahaemolyticus isolated from seafoods in Lagos Lagoon Nigeria

    Directory of Open Access Journals (Sweden)

    Chigozie Oramadike

    2015-12-01

    Full Text Available In this study, a total of 90 seafood samples; croaker fish (Pseudotolithus senegalensis, shrimps (Penaeus notialis and blue crab (Callinectes sapidus collected from landing sites along the Lagos Lagoon in Nigeria were examined for the prevalence of Vibrio parahaemolyticus using both biochemical and molecular methods. Biochemical identification of the isolates was confirmed by Polymerase Chain Reaction (PCR. The presence of the virulence-associated tdh (thermostable direct haemolysin, trh1 (thermostable-related haemolysin and trh2 genes in the V. parahaemolyticus isolates was also detected by the PCR method. PCR products from the V.16S primers were sequenced. Antibiotics susceptibility of the isolates was also determined. About, eight isolates were presumptively identified as V. parahaemolyticus, PCR identified five and none of the isolates were positive for the genes tdh or trh. The five isolates sequenced were identified as different strains of V. parahaemolyticus. V. parahaemolyticus_RIMD_2210633 = 2MKSHa remained resistant to all antimicrobials tested. However, only V. parahaemolyticus_MP-2_AY911391 = TBSHy showed strong sensitivity to all the antimicrobials with ampicillin (minimum inhibitory concentration-4 μg/ml. In addition, the other three isolates showed sensitivity for Tetracycline, Ciprofloxacin, Gentamicin and Ceftazidime. Ampicillin resistance in most of the isolates suggests low efficiency of ampicillin in management of V. parahaemolyticus infection.

  8. Prevalence of chlorhexidine-resistant methicillin-resistant Staphylococcus aureus following prolonged exposure.

    Science.gov (United States)

    Schlett, Carey D; Millar, Eugene V; Crawford, Katrina B; Cui, Tianyuan; Lanier, Jeffrey B; Tribble, David R; Ellis, Michael W

    2014-08-01

    Chlorhexidine has been increasingly utilized in outpatient settings to control methicillin-resistant Staphylococcus aureus (MRSA) outbreaks and as a component of programs for MRSA decolonization and prevention of skin and soft-tissue infections (SSTIs). The objective of this study was to determine the prevalence of chlorhexidine resistance in clinical and colonizing MRSA isolates obtained in the context of a community-based cluster-randomized controlled trial for SSTI prevention, during which 10,030 soldiers were issued chlorhexidine for body washing. We obtained epidemiological data on study participants and performed molecular analysis of MRSA isolates, including PCR assays for determinants of chlorhexidine resistance and high-level mupirocin resistance and pulsed-field gel electrophoresis (PFGE). During the study period, May 2010 to January 2012, we identified 720 MRSA isolates, of which 615 (85.4%) were available for molecular analysis, i.e., 341 clinical and 274 colonizing isolates. Overall, only 10 (1.6%) of 615 isolates were chlorhexidine resistant, including three from the chlorhexidine group and seven from nonchlorhexidine groups (P > 0.99). Five (1.5%) of the 341 clinical isolates and five (1.8%) of the 274 colonizing isolates harbored chlorhexidine resistance genes, and four (40%) of the 10 possessed genetic determinants for mupirocin resistance. All chlorhexidine-resistant isolates were USA300. The overall prevalence of chlorhexidine resistance in MRSA isolates obtained from our study participants was low. We found no association between extended chlorhexidine use and the prevalence of chlorhexidine-resistant MRSA isolates; however, continued surveillance is warranted, as this agent continues to be utilized for infection control and prevention efforts. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  9. Prevalence and antimicrobial resistance profiles of S a l m o n e l l a isolates in apparently healthy slaughtered food animals at Maiduguri central abattoir, Nigeria

    Directory of Open Access Journals (Sweden)

    Saleh Mohammed Jajere

    2015-12-01

    Full Text Available Objective: To determine the prevalence and antimicrobial resistance profiles of Salmonella isolates in the mesenteric lymph nodes of apparently healthy slaughtered food animals at Maiduguri central abattoir, Nigeria. Methods: A total of 154 lymph nodes (cattle-54, camel-22, sheep-12, goats-66 were collected from slaughtered animals and analysed using standard microbiological and biochemical methods. Results: An overall prevalence of 39.0% [95% confidence interval (CI: 31.3–46.7] was obtained. The prevalence rate across studied species ranged from 24.2% (95% CI: 13.9–34.5 in goats to 61.1% (95% CI: 48.1–74.1 in cattle. There was statistically significant association between Salmonella infection and species of food animals (P 0.05. Younger animals had slightly higher prevalence (44.0%; 95% CI: 24.5–63.5 compared with adults (38.0%; 95% CI: 29.6–46.4 (P > 0.05. All isolates showed marked susceptibility to ciprofloxacin, cotrimoxazole and chloramphenicol. Whereas, high resistance patterns to ampicillin, kanamycin and streptomycin, and moderate resistance patterns to kanamycin and tetracycline were observed from camels. Conclusions: Salmonella is high in the mesenteric lymph nodes of apparently healthy slaughtered food animals in Maiduguri. Therefore, it is recommended that further studies should be carried out to identify the serotypes and phage typing of the isolates, and hazard analysis and critical control point should be applied in handling of meat and meat products to avoid the risk of foodborne salmonellosis as well as appropriate use of antibiotics like ciprofloxacin in food animals.

  10. Resistance of Staphylococcus aureus to antimicrobial agents in Ethiopia: a meta-analysis

    Directory of Open Access Journals (Sweden)

    Serawit Deyno

    2017-08-01

    Full Text Available Abstract Background Emergence of antimicrobial resistance by Staphylococcus aureus has limited treatment options against its infections. The purpose of this study was to determine the pooled prevalence of resistance to different antimicrobial agents by S. aureus in Ethiopia. Methods Web-based search was conducted in the databases of PubMed, Google Scholar, Hinari, Scopus and the Directory of Open Access Journals (DOAJ to identify potentially eligible published studies. Required data were extracted and entered into Excel spread sheet. Statistical analyses were performed using Stata version 13.0. The metaprop Stata command was used to pool prevalence values. Twenty-one separate meta-analysis were done to estimate the pooled prevalence of the resistance of S. aureus to twenty-one different antimicrobial agents. Heterogeneity among the studies was assessed using the I2 statistic and chi-square test. Publication bias was assessed using Egger’s test. Because of significant heterogeneity amongst the studies, the random effects model was used to pool prevalence values. Results The electronic database search yielded 1317 studies among which 45 studies met our inclusion criteria. Our analyses demonstrated very high level of resistance to amoxicillin (77% [95% confidence interval (CI: 68%, 0.85%], penicillin (76% [95% CI: 67%, 84%], ampicillin (75% [95% CI: 65%, 85%], tetracycline (62% [95% CI: 55%, 68%], methicillin (47% [95% CI: 33%, 61%], cotrimoxaziole (47% [95% CI: 40%, 55%], doxycycline (43% [95% CI: 26%, 60%], and erythromycin (41% [95% CI: 29%, 54%]. Relatively low prevalence of resistance was observed with kanamycin (14% [95% CI: 5%, 25%] and ciprofloxacin (19% [95% CI: 13%, 26%]. The resistance level to vancomycin is 11% 995% CI: (4%, 20%. High heterogeneity was observed for each of the meta-analysis performed (I2 ranging from 79.36% to 95.93%; all p-values ≤0.01. Eggers’ test did not show a significant publication bias for all

  11. Prevalence of plasmid-mediated quinolone resistance determinants among oxyiminocephalosporin-resistant Enterobacteriaceae in Argentina

    Directory of Open Access Journals (Sweden)

    Giovanna Rincon Cruz

    2013-11-01

    Full Text Available High quinolone resistance rates were observed among oxyiminocephalosporin-resistant enterobacteria. In the present study, we searched for the prevalence of plasmid-mediated quinolone resistance (PMQR genes within the 55 oxyiminocephalosporin-resistant enterobacteria collected in a previous survey. The main PMQR determinants were aac(6'-Ib-cr and qnrB, which had prevalence rates of 42.4% and 33.3%, respectively. The aac(6'-Ib-cr gene was more frequently found in CTX-M-15-producing isolates, while qnrB was homogeneously distributed among all CTX-M producers.

  12. Antibiotic resistance of Clostridium perfringens isolates from broiler chickens in Egypt.

    Science.gov (United States)

    Osman, K M; Elhariri, M

    2013-12-01

    The use of antibiotic feed additives in broiler chickens results in a high prevalence of resistance among their enteric bacteria, with a consequent emergence of antibiotic resistance in zoonotic enteropathogens. Despite growing concerns about the emergence of antibiotic-resistant strains, which show varying prevalences in different geographic regions, little work has been done to investigate this issue in the Middle East. This study provides insight into one of the world's most common and financially crippling poultry diseases, necrotic enteritis caused by Clostridium perfringens. The study was designed to determine the prevalence of antibiotic resistance in C. perfringens isolates from clinical cases of necrotic enteritis in broiler chickens in Egypt. A total of 125 isolates were obtained from broiler flocks in 35 chicken coops on 17 farms and were tested using the disc diffusion method. All 125 isolates were resistant to gentamicin, streptomycin, oxolinic acid, lincomycin, erythromycin and spiramycin. The prevalence of resistance to other antibiotics was also high: rifampicin (34%), chloramphenicol (46%), spectinomycin (50%), tylosin-fosfomycin (52%), ciprofloxacin (58%), norfloxacin (67%), oxytetracycline (71%), flumequine (78%), enrofloxacin (82%), neomycin (93%), colistin (94%), pefloxacin (94%), doxycycline (98%) and trimethoprim-sulfamethoxazole (98%). It is recommended that C. perfringens infections in Egypt should be treated with antibiotics for which resistant isolates are rare at present; namely, amoxicillin, ampicillin, cephradine, fosfomycin and florfenicol.

  13. Effect of an organic acids based feed additive and enrofloxacin on the prevalence of antibiotic-resistant E. coli in cecum of broilers.

    Science.gov (United States)

    Roth, Nataliya; Mayrhofer, Sigrid; Gierus, Martin; Weingut, Christine; Schwarz, Christiane; Doupovec, Barbara; Berrios, Roger; Domig, Konrad J

    2017-09-01

    Increasing antibiotic resistance is a major public health concern. Fluoroquinolones are used to treat and prevent poultry diseases worldwide. Fluoroquinolone resistance rates are high in their countries of use. The aim of this study was to evaluate the effect of an acids-based feed additive, as well as fluoroquinolone antibiotics, on the prevalence of antibiotic-resistant E. coli. A total of 480 broiler chickens (Ross 308) were randomly assigned to 3 treatments: a control group receiving a basal diet; a group receiving a feed additive (FA) based on formic acid, acetic acid and propionic acid; and an antibiotic enrofloxacin (AB) group given the same diet, but supplemented with enrofloxacin in water. A pooled fecal sample of one-day-old chicks was collected upon arrival at the experimental farm. On d 17 and d 38 of the trial, cecal samples from each of the 8 pens were taken, and the count of E. coli and antibiotic-resistant E. coli was determined.The results of the present study show a high prevalence of antibiotic-resistant E. coli in one-day-old chicks. Supplementation of the diet with FA and treatment of broilers with AB did not have a significant influence on the total number of E. coli in the cecal content on d 17 and d 38 of the trial. Supplementation with FA contributed to better growth performance and to a significant decrease (P ≤ 0.05) in E. coli resistant to ampicillin and tetracycline compared to the control and AB groups, as well as to a decrease (P ≤ 0.05) in sulfamethoxazole and ciprofloxacin-resistant E. coli compared to the AB group. Treatment with AB increased (P ≤ 0.05) the average daily weight compared to the control group and increased (P ≤ 0.05) the number of E. coli resistant to ciprofloxacin, streptomycin, sulfamethoxazole and tetracycline; it also decreased (P ≤ 0.05) the number of E. coli resistant to cefotaxime and extended spectrum beta-lactamase- (ESBL-) producing E. coli in the ceca of broilers. © 2017 Poultry Science

  14. Prevalence and Antimicrobial Resistance of Enterobacteriaceae in Shell Eggs from Small-Scale Poultry Farms and Farmers' Markets.

    Science.gov (United States)

    Kilonzo-Nthenge, A; Nahashon, S N; Godwin, S; Liu, S; Long, D

    2016-12-01

    Public health concerns over the emergence of antimicrobial resistant bacteria have increased recently. The purpose of this study was to investigate the prevalence of antimicrobial resistant Enterobacteriaceae in shell eggs purchased from small poultry farms and farmers' markets. A total of 504 eggs were pooled to make 252 composite samples, consisting of 2 eggs per composite. The microbial quality of shell eggs was determined by standard quantitative, biochemical, and PCR techniques. Susceptibility to 13 antimicrobial agents was determined by the Kirby-Bauer disk diffusion technique, and results were interpreted based on Clinical and Laboratory Standards Institute values. Shell eggs and egg contents were positive for Escherichia coli (11.9 and 5.2%, respectively), Enterobacter (9.1 and 7.9%), and Serratia (11.5 and 4.8%). Salmonella was isolated from 3.6% of egg shells but not from egg contents. Mean (±SD) Enterobacteriaceae levels (4.4 ± 2.0 log CFU per eggshell) on shell eggs from poultry farms was significantly higher (P ≤ 0.05) than that on shell eggs from farmers' markets (2.1 ± 1.3 log CFU per eggshell). Of the 134 isolates recovered, resistance among isolates from farm and market shell eggs to erythromycin was most common (48.5 and 32.8%, respectively) followed by ampicillin (44.8 and 17.2%), and tetracycline (29.9 and 17.2%). The multiple antibiotic resistance index value for E. coli and Pantoea was 0.62, and that for Salmonella and Klebsiella terrigena was 0.08, indicating that Enterobacteriaceae in shell eggs can be resistant to multiple antimicrobial agents. These data reveal that shell eggs from small poultry farms and farmers' markets can harbor antimicrobial resistant pathogenic and commensal bacteria. Thus, failure to properly handle shell eggs poses a potential health hazard to consumers.

  15. Determination of the prevalence of subclinical endometritis and evaluation of molecular characterization of Escherichia coli (E-coli separated of them in mares repeat breeder in Yazd province

    Directory of Open Access Journals (Sweden)

    Taktaz Hafshejani Taghi

    2016-11-01

    Full Text Available Escherichia coli are known as the most common cause of reproductive tract infection in mare. Due to the progressive process of antibiotics use and increasing prevalence of antibiotic resistance, the aim of this study is evaluate the prevalence of subclinical endometritis and antibiotic resistance genes in Escherichia coli isolated. In this study, 60 mares were used with infertility background. Diagnosis of endometritis was performed using history and ultrasonography. Cytology, culture, Antibiogram were done of samples and PCR test was used to examine the gene virulence and antibiotic resistance. E-coli bacteria was isolated 48/33 % from sample culture. In PCR test 66/21 % of bacteria had virulence gene. It was determined, the lowest resistance to chloramphenicol about 38/15% and greatest resistance into ampicillin, tetracycline and streptomycin with 23/69 percent, respectively. 93% samples cytology had neutrophil more than two and the agent of 50% showed E. coli. The cause of half of subclinical endometritis in infertile maresis E-coli bacteriaEscherichia coli are known as the most common cause of reproductive tract infection in mare. Due to the progressive process of antibiotics use and increasing prevalence of antibiotic resistance, the aim of this study is evaluate the prevalence of subclinical endometritis and antibiotic resistance genes in Escherichia coli isolated. In this study, 60 mares were used with infertility background. Diagnosis of endometritis was performed using history and ultrasonography. Cytology, culture, Antibiogram were done of samples and PCR test was used to examine the gene virulence and antibiotic resistance. E-coli bacteria was isolated 48/33 % from sample culture. In PCR test 66/21 % of bacteria had virulence gene. It was determined, the lowest resistance to chloramphenicol about 38/15% and greatest resistance into ampicillin, tetracycline and streptomycin with 23/69 percent, respectively. 93% samples cytology had

  16. Poverty and prevalence of antimicrobial resistance in invasive isolates.

    Science.gov (United States)

    Alvarez-Uria, Gerardo; Gandra, Sumanth; Laxminarayan, Ramanan

    2016-11-01

    To evaluate the association between the income status of a country and the prevalence of antimicrobial resistance (AMR) in the three most common bacteria causing infections in hospitals and in the community: third-generation cephalosporin (3GC)-resistant Escherichia coli, methicillin-resistant Staphylococcus aureus (MRSA), and 3GC-resistant Klebsiella species. Using 2013-2014 country-specific data from the ResistanceMap repository and the World Bank, the association between the prevalence of AMR in invasive samples and the gross national income (GNI) per capita was investigated through linear regression with robust standard errors. To account for non-linear association with the dependent variable, GNI per capita was log-transformed. The models predicted an 11.3% (95% confidence interval (CI) 6.5-16.2%), 18.2% (95% CI 11-25.5%), and 12.3% (95% CI 5.5-19.1%) decrease in the prevalence of 3GC-resistant E. coli, 3GC-resistant Klebsiella species, and MRSA, respectively, for each log GNI per capita. The association was stronger for 3GC-resistant E. coli and Klebsiella species than for MRSA. A significant negative association between GNI per capita and the prevalence of MRSA and 3GC-resistant E. coli and Klebsiella species was found. These results underscore the urgent need for new policies aimed at reducing AMR in resource-poor settings. Copyright © 2016 The Authors. Published by Elsevier Ltd.. All rights reserved.

  17. Association Between Antimicrobial Resistance in Escherichia coli Isolates from Food Animals and Blood Stream Isolates from Humans in Europe: An Ecological Study

    DEFF Research Database (Denmark)

    Vieira, Antonio; Collignon, Peter; Aarestrup, Frank Møller

    2011-01-01

    Background: In addition to medical antimicrobial usage, the use of antimicrobials in food animals contributes to the occurrence of resistance among some bacterial species isolated from infections in humans. Recently, several studies have indicated that a large proportion of Escherichia coli causing...... infections in humans, especially those resistant to antimicrobials, have an animal origin.Methods: We analyzed the correlation between the prevalence of antimicrobial resistance in E. coli isolates from blood stream infections in humans and in E. coli isolates from poultry, pigs, and cattle between 2005...... and 2008 for 11 countries, using available surveillance data. We also assessed the correlation between human antimicrobial usage and the occurrence of resistance in E. coli isolates from blood stream infections.Results: Strong and significant correlations between prevalences of resistance to ampicillin (r...

  18. Efficacy and safety of Sultamicillin (Ampicillin/Sulbactan) and Amoxicillin/Clavulanic acid in the treatment of upper respiratory tract infections in adults--an open-label, multicentric, randomized trial.

    Science.gov (United States)

    Ferreira, João Batista; Rapoport, Priscila Bogar; Sakano, Eulália; Kós, Arthur Octávio De Avila; Piltcher, Otávio B; Pignatari, Shirley Shizue Nagata; Pinheiro, Sebastião Diógenes; Mocellin, Marcos

    2006-01-01

    Upper respiratory tract infections are the most common causes of medical visits in children and adults, demanding massive use of antibiotics. Bacterial resistance caused by beta-lactamase is one of the most serious problems in this matter. Sultamicillin, a double pro-drug of Ampicillin/Sulbactan, is a potent beta-lactamase inhibitor which can face this challenge. Evaluate efficacy, safety and tolerability of Ampicillin/Sulbactan compared to Amoxicillin/Clavulanate in upper respiratory tract infections in adults. 102 patients were enrolled and randomized to receive Ampicillin/Sulbactan or Amoxicillin/Clavulanate during 10 days. They were evaluated 10 and 30 days after treatment to learn about the therapeutic response. There were no differences between the two groups respecting cure at the end of treatment (visit 2) or at the end of the study (visit 3). Cure ratio was 61.7% and 93.2% (visits 2 and 3) in the Amoxicillin/Clavulanate group compared to 64.4% and 97.4%, respectively, in Ampicillin/Sulbactan group. The adverse events ratio for the two groups was the same (p=0.940). The number of patients with diarrhea was greater in the group of patients receiving Amoxicillin/Clavulanate (70.6%) than in the group receiving Ampicillin/Sulbactan (29.4%) (p=0.0164). Ampicillin/Sulbactan is as safe and efficient as Amoxicillin/Clavulanate in the empiric treatment of upper respiratory infections in adults. The low occurrence of diarrhea in the group receiving Ampicillin/Sulbactan needs confirmation in other studies.

  19. Multidrug resistance in pediatric urinary tract infections.

    Science.gov (United States)

    Gaspari, Romolo J; Dickson, Eric; Karlowsky, James; Doern, Gary

    2006-01-01

    Urinary tract infections (UTIs) represent a common infection in the pediatric population. Escherichia coli is the most common uropathogen in children, and antimicrobial resistance in this species complicates the treatment of pediatric UTIs. Despite the impact of resistance on empiric antibiotic choice, there is little data on multidrug resistance in pediatric patients. In this paper, we describe characteristics of multidrug-resistant E. coli in pediatric patients using a large national database of uropathogens antimicrobial sensitivities. Antimicrobial susceptibility patterns to commonly prescribed antibiotics were performed on uropathogens isolated from children presenting to participating hospitals between 1999 and 2001. Data were analyzed separately for four pediatric age groups. Single and multidrug resistance to ampicillin, amoxicillin-clavulanate, cefazolin, ciprofloxacin, nitrofurantoin, and trimethoprim-sulfamethoxazole (TMP-SMX) were performed on all specimens. There were a total of 11,341 E. coli urine cultures from 343 infants (0-4 weeks), 1,801 toddlers (5 weeks-24 months), 6,742 preteens (2-12 years), and 2,455 teens (13-17 years). E. coli resistance to ampicillin peaked in toddlers (52.8%) but was high in preteens (52.1%), infants (50.4%), and teens (40.6%). Resistance to two or more antibiotics varied across age groups, with toddlers (27%) leading preteens (23.1%), infants (21%), and teens (15.9%). Resistance to three or more antibiotics was low in all age groups (range 3.1-5.2%). The most common co-resistance in all age groups was ampicillin/TMP-SMZ. In conclusion, less than half of all pediatric UTIs are susceptible to all commonly used antibiotics. In some age groups, there is a significant percentage of co-resistance between the two most commonly used antibiotics (ampicillin and TMP-SMZ).

  20. Antimicrobial susceptibility against penicillin, ampicillin and vancomycin of viridans group Streptococcus in oral microbiota of patients at risk of infective endocarditis.

    Science.gov (United States)

    Süzük, Serap; Kaşkatepe, Banu; Çetin, Mustafa

    2016-09-01

    The viridans group Streptococci (VGS) are most abundant in the mouth; in some instances they might emerge as pathogens particularly in infective endocarditis (IE). In this study, we aimed to define and determine the susceptibility against antibiotics of VGS that are members of the oral microbiota of patients exhibiting a risk of developing IE. Forty-nine patients at risk of infective endocarditis were included in the study. Identification of the bacteria was performed using API STREP (bioMérieux, France). Gradient test strips (E-Test, France) were used to determine MIC of the bacteria against penicillin, ampicillin, and vancomycin. The distribution of the isolated VGS groups was determined as follows: Streptococcus mitis 32.6% and anginosus group - 32.6%, S. sanguinis group - 16.3%, S. mutans group - 12.2%, and S. salivarius group - 6.1%. The rates of resistance and reduced sensitivity of the isolates for penicillin and ampicillin were determined at 61.2% and 55.1%, respectively. However, all isolates were found to be susceptible to vancomycin. We conclude that the antimicrobial resistance of VGS should be determined on a regular basis locally, and decisions on therapeutic and prophylactic interventions should be given taking this resistance into consideration.

  1. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human.

    Science.gov (United States)

    Wu, Shuyu; Dalsgaard, Anders; Hammerum, Anette M; Porsbo, Lone J; Jensen, Lars B

    2010-07-30

    Sulfonamide resistance is very common in Escherichia coli. The aim of this study was to characterize plasmids carrying sulfonamide resistance genes (sul1, sul2 and sul3) in E. coli isolated from pigs and humans with a specific objective to assess the genetic diversity of plasmids involved in the mobility of sul genes. A total of 501 E. coli isolates from pig feces, pig carcasses and human stools were tested for their susceptibility to selected antimicrobial. Multiplex PCR was conducted to detect the presence of three sul genes among the sulfonamide-resistant E. coli isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids carrying sul genes were characterized by PCR-based replicon typing to allow a comparison of the types of sul genes, the reservoir and plasmid present. A total of 109/501 isolates exhibited sulfonamide resistance. The relative prevalences of sul genes from the three reservoirs (pigs, pig carcasses and humans) were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids and 100% of sul3-carrying plasmids, but only in 5% of sul2-carrying plasmids. The sul plasmids ranged from 33 to 160-kb in size and belonged to nine different incompatibility (Inc) groups: FII, FIB, I1, FIA, B/O, FIC, N, HI1 and X1. IncFII was the dominant type in sul2-carrying plasmids (52%), while IncI1 was the most common type in sul1 and sul3-carrying plasmids (33% and 45%, respectively). Multireplicons were found associated with all three sul genes. Sul genes were distributed widely in E. coli isolated

  2. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human

    Directory of Open Access Journals (Sweden)

    Hammerum Anette M

    2010-07-01

    Full Text Available Abstract Background Sulfonamide resistance is very common in Escherichia coli. The aim of this study was to characterize plasmids carrying sulfonamide resistance genes (sul1, sul2 and sul3 in E. coli isolated from pigs and humans with a specific objective to assess the genetic diversity of plasmids involved in the mobility of sul genes. Methods A total of 501 E. coli isolates from pig feces, pig carcasses and human stools were tested for their susceptibility to selected antimicrobial. Multiplex PCR was conducted to detect the presence of three sul genes among the sulfonamide-resistant E. coli isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids carrying sul genes were characterized by PCR-based replicon typing to allow a comparison of the types of sul genes, the reservoir and plasmid present. Results A total of 109/501 isolates exhibited sulfonamide resistance. The relative prevalences of sul genes from the three reservoirs (pigs, pig carcasses and humans were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids and 100% of sul3-carrying plasmids, but only in 5% of sul2-carrying plasmids. The sul plasmids ranged from 33 to 160-kb in size and belonged to nine different incompatibility (Inc groups: FII, FIB, I1, FIA, B/O, FIC, N, HI1 and X1. IncFII was the dominant type in sul2-carrying plasmids (52%, while IncI1 was the most common type in sul1 and sul3-carrying plasmids (33% and 45%, respectively. Multireplicons were found associated with all three sul genes

  3. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    Directory of Open Access Journals (Sweden)

    Eliana Biondi Medeiros Guidoni

    Full Text Available Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A high prevalence of resistance was observed against ampicillin and TMP/SMX (55% and 51%. The antibiotic resistance rates for E. coli were: nitrofurantoin (6%, nalidixic acid (14%, 1st generation cephalosporin (13%, 3rd generation cephalosporins (5%, aminoglycosides (2%, norfloxacin (9% and ciprofloxacin (4%. We found that E. coli was the predominant bacterial pathogen of community-acquired UTIs. We also detected increasing resistance to TMP/SMX among UTI pathogens in this population.

  4. Staphylococcus aureus ampicillin-resistant from the odontological clinic environment Staphylococcus aureus resistente à ampicilina em ambiente de Clínica Odontológica

    Directory of Open Access Journals (Sweden)

    Wagner Luis de Carvalho Bernardo

    2005-02-01

    Full Text Available The aim of this research was to evaluate the prevalence of Sthaphylococcus spp. and S. aureus in the odontological clinic environment (air, their production of beta-lactamase and antibacterial susceptibility to the major antibiotics utilized in medical particle. During 12 months of samples collect were isolated 9775 CFU by MSA medium suggesting a high amount of Staphylococcus spp. in the clinic environment which can appear through aerosols. A total of 3149 colonies (32.2% were suggestive of pathogenic staphylococci. Gram coloration, catalase test, colony-mallow growing on chromogenic medium, and coagulase test confirmed the identity of 44 (0.45% S. aureus isolates. Of these, 35 isolates (79.5% showed production of beta-lactamase by CefinaseTM discs and resistance to ampicillin, erythromycin (7 isolates and tetracycline (1 isolate suggesting the existence of multiresistant isolates. The evaluation of the oxacillin MIC by Etest® assays showed susceptibility patterns suggesting the inexistence of the mecA gene in chromosomal DNA. These results point out to the need of a larger knowledge on the contamination means and propagation of this microorganism into the odontological clinic.Foi avaliada a prevalência de Staphylococcus spp. e S. aureus no ambiente clínico odontológico, a produção de beta-lactamase e a susceptibilidade antibacteriana aos principais antibióticos utilizados na prática clínica. Durante 12 meses de coleta de amostras foram isolados 9775 UFC no meio de cultura AMS, demonstrando uma elevada quantidade de Staphylococcus spp. no ambiente clínico, provavelmente em decorrência da propagação de aerossóis. Um total de 3149 colônias (32,2% foi sugestivo de estafilococos patogênicos. Coloração de Gram, teste de catalase, crescimento de colônias-malva sobre meio cromogênico e teste de coagulase confirmaram a identidade de 44 (0,45% isolados de S. aureus. Destes, 35 isolados (79,5% mostraram produção de beta

  5. Persistence of Escherichia coli clones and phenotypic and genotypic antibiotic resistance in recurrent urinary tract infections in childhood

    DEFF Research Database (Denmark)

    Kõljalg, Siiri; Truusalu, Kai; Vainumäe, Inga

    2009-01-01

    . Altogether, 78 urinary E. coli isolates from 27 children, who experienced recurrences during a 1-year follow-up after the first attack of acute pyelonephritis, were investigated. The MICs of sulfamethoxazole, trimethoprim-sulfamethoxazole (SXT), ampicillin, cefuroxime, cefotaxime, and gentamicin...... and the presence or absence of the intI gene for class 1 integrons and the sulfamethoxazole resistance-encoding genes sul1, sul2, and sul3 were determined. All E. coli strains were genotyped by pulsed-field gel electrophoresis. There were no significant differences in the prevalences of resistance to beta...

  6. Prevalence and Antimicrobial Susceptibility of Vibrio parahaemolyticus Isolated from Short Mackerels (Rastrelliger brachysoma) in Malaysia.

    Science.gov (United States)

    Tan, Chia W; Malcolm, Tan T H; Kuan, Chee H; Thung, Tze Y; Chang, Wei S; Loo, Yuet Y; Premarathne, Jayasekara M K J K; Ramzi, Othman B; Norshafawatie, Mohd F S; Yusralimuna, Nordin; Rukayadi, Yaya; Nakaguchi, Yoshitsugu; Nishibuchi, Mitsuaki; Radu, Son

    2017-01-01

    Numerous prevalence studies and outbreaks of Vibrio parahaemolyticus infection have been extensively reported in shellfish and crustaceans. Information on the quantitative detection of V. parahaemolyticus in finfish species is limited. In this study, short mackerels ( Rastrelliger brachysoma ) obtained from different retail marketplaces were monitored with the presence of total and pathogenic strains of V. parahaemolyticus . Out of 130 short mackerel samples, 116 (89.2%) were detected with the presence of total V. parahaemolyticus and microbial loads of total V. parahaemolyticus ranging from 10 5 MPN/g. Prevalence of total V. parahaemolyticus was found highest in wet markets (95.2%) followed by minimarkets (89.1%) and hypermarkets (83.3%). Pathogenic V. parahaemolyticus strains ( tdh + and/or trh +) were detected in 16.2% (21 of 130) of short mackerel samples. The density of tdh + V. parahaemolyticus strains were examined ranging from 3.6 to >10 5 MPN/g and microbial loads of V. parahaemolyticus strains positive for both tdh and trh were found ranging from 300 to 740 MPN/g. On the other hand, antibiotic susceptibility profiles of V. parahaemolyticus strains isolated from short mackerels were determined through disc diffusion method in this study. Assessment of antimicrobial susceptibility profile of V. parahaemolyticus revealed majority of the isolates were highly susceptible to ampicillin sulbactam, meropenem, ceftazidime, and imipenem, but resistant to penicillin G and ampicillin. Two isolates (2.99%) exhibited the highest multiple antibiotic resistance (MAR) index value of 0.41 which shown resistance to 7 antibiotics. Results of the present study demonstrated that the occurrence of pathogenic V. parahaemolyticus strains in short mackerels and multidrug resistance of V. parahaemolyticus isolates could be a potential public health concerns to the consumer. Furthermore, prevalence data attained from the current study can be further used to develop a microbial risk

  7. Prevalence and Antimicrobial Susceptibility of Vibrio parahaemolyticus Isolated from Short Mackerels (Rastrelliger brachysoma in Malaysia

    Directory of Open Access Journals (Sweden)

    Chia W. Tan

    2017-06-01

    Full Text Available Numerous prevalence studies and outbreaks of Vibrio parahaemolyticus infection have been extensively reported in shellfish and crustaceans. Information on the quantitative detection of V. parahaemolyticus in finfish species is limited. In this study, short mackerels (Rastrelliger brachysoma obtained from different retail marketplaces were monitored with the presence of total and pathogenic strains of V. parahaemolyticus. Out of 130 short mackerel samples, 116 (89.2% were detected with the presence of total V. parahaemolyticus and microbial loads of total V. parahaemolyticus ranging from <3 to >105 MPN/g. Prevalence of total V. parahaemolyticus was found highest in wet markets (95.2% followed by minimarkets (89.1% and hypermarkets (83.3%. Pathogenic V. parahaemolyticus strains (tdh+ and/or trh+ were detected in 16.2% (21 of 130 of short mackerel samples. The density of tdh+ V. parahaemolyticus strains were examined ranging from 3.6 to >105 MPN/g and microbial loads of V. parahaemolyticus strains positive for both tdh and trh were found ranging from 300 to 740 MPN/g. On the other hand, antibiotic susceptibility profiles of V. parahaemolyticus strains isolated from short mackerels were determined through disc diffusion method in this study. Assessment of antimicrobial susceptibility profile of V. parahaemolyticus revealed majority of the isolates were highly susceptible to ampicillin sulbactam, meropenem, ceftazidime, and imipenem, but resistant to penicillin G and ampicillin. Two isolates (2.99% exhibited the highest multiple antibiotic resistance (MAR index value of 0.41 which shown resistance to 7 antibiotics. Results of the present study demonstrated that the occurrence of pathogenic V. parahaemolyticus strains in short mackerels and multidrug resistance of V. parahaemolyticus isolates could be a potential public health concerns to the consumer. Furthermore, prevalence data attained from the current study can be further used to develop a

  8. Prevalence and Antimicrobial Susceptibility of Vibrio parahaemolyticus Isolated from Short Mackerels (Rastrelliger brachysoma) in Malaysia

    Science.gov (United States)

    Tan, Chia W.; Malcolm, Tan T. H.; Kuan, Chee H.; Thung, Tze Y.; Chang, Wei S.; Loo, Yuet Y.; Premarathne, Jayasekara M. K. J. K.; Ramzi, Othman B.; Norshafawatie, Mohd F. S.; Yusralimuna, Nordin; Rukayadi, Yaya; Nakaguchi, Yoshitsugu; Nishibuchi, Mitsuaki; Radu, Son

    2017-01-01

    Numerous prevalence studies and outbreaks of Vibrio parahaemolyticus infection have been extensively reported in shellfish and crustaceans. Information on the quantitative detection of V. parahaemolyticus in finfish species is limited. In this study, short mackerels (Rastrelliger brachysoma) obtained from different retail marketplaces were monitored with the presence of total and pathogenic strains of V. parahaemolyticus. Out of 130 short mackerel samples, 116 (89.2%) were detected with the presence of total V. parahaemolyticus and microbial loads of total V. parahaemolyticus ranging from 105 MPN/g. Prevalence of total V. parahaemolyticus was found highest in wet markets (95.2%) followed by minimarkets (89.1%) and hypermarkets (83.3%). Pathogenic V. parahaemolyticus strains (tdh+ and/or trh+) were detected in 16.2% (21 of 130) of short mackerel samples. The density of tdh+ V. parahaemolyticus strains were examined ranging from 3.6 to >105 MPN/g and microbial loads of V. parahaemolyticus strains positive for both tdh and trh were found ranging from 300 to 740 MPN/g. On the other hand, antibiotic susceptibility profiles of V. parahaemolyticus strains isolated from short mackerels were determined through disc diffusion method in this study. Assessment of antimicrobial susceptibility profile of V. parahaemolyticus revealed majority of the isolates were highly susceptible to ampicillin sulbactam, meropenem, ceftazidime, and imipenem, but resistant to penicillin G and ampicillin. Two isolates (2.99%) exhibited the highest multiple antibiotic resistance (MAR) index value of 0.41 which shown resistance to 7 antibiotics. Results of the present study demonstrated that the occurrence of pathogenic V. parahaemolyticus strains in short mackerels and multidrug resistance of V. parahaemolyticus isolates could be a potential public health concerns to the consumer. Furthermore, prevalence data attained from the current study can be further used to develop a microbial risk

  9. Depletion of long-acting ampicillin in goat milk following intramuscular administration.

    Science.gov (United States)

    Ferrini, Anna Maria; Trenta, Simona; Mannoni, Veruscka; Rosati, Remo; Coni, Ettore

    2010-12-08

    Although goat milk production represents today a very small percentage of the world milk market, this percentage has been growing continuously during the past 20 years. Goat milk is the basic milk supply in many developing countries and provides tasteful derivative products in developed countries. Goats, as well as all milk-producing animals, can be affected by mastitis, but goats being considered a minor species, few drugs are specifically registered for these animals; most, at least for mastitis treatment, are usually tested and registered for use in cows. This situation leads often to the adoption for goat milk of withdrawal periods defined for cows even if these extrapolations prove almost never valid for goats. In the present study, the elimination of the β-lactam antibacterial agent ampicillin in goat milk was investigated. Ampicillin was chosen because it is one of the most common antibiotics used by goat farmers against mastitis due to the fact that it is well tolerated and has short elimination times in cows. Goats were treated with long-acting ampicillin at 15 mg (kg of body weight)(-1) by double intramuscular injection at 72 h interval. Milk was collected in a 12 h milking scheme. The method used to determine the levels of ampicillin in goat milk was based on a liquid-liquid extraction of this drug from the matrix, successive derivatization with formaldehyde, and final separation by HPLC with fluorescence detection. The results point out a slow depletion of ampicillin and, consequently, a withdrawal period (13 milkings) longer than that extrapolated and authorized for cows and sheep.

  10. Outbreak of Ampicillin/Piperacillin-Resistant Klebsiella Pneumoniae in a Neonatal Intensive Care Unit (NICU: Investigation and Control Measures

    Directory of Open Access Journals (Sweden)

    Patrizia Farruggia

    2013-02-01

    Full Text Available Klebsiella pneumoniae is a frequent cause of infectious outbreaks in Neonatal Intensive Care Units (NICUs. The aim of this paper is to describe an outbreak occurred in a 13-bed NICU and the control measures adopted in order to interrupt the chain of transmission. We described the microbiological investigations, the NICU staff compliance to the infection control measures by means of a specifically designed check-list and the control measures adopted. Six cases of primary bloodstream infections sustained by ampicillin/piperacillin-resistant Klebsiella pneumoniae were observed over a two-month period. One culture obtained from a 12% saccarose multiple-dose solution allowed the growth of Klebsiella pneumoniae. During the inspections performed by the Hospital Infection Control Team, using the check-list for the evaluation of the NICU staff compliance to the infection control measures, several breaches in the infection control policy were identified and control measures were adopted. In our case the definition of a specific check-list led to the adoption of the correct control measures. Further studies would be helpful in order to develop a standard check-list able to identify critical flows in the adhesion to the guidelines. It could be used in different NICUs and allow to obtain reproducible levels of infection control.

  11. Outbreak of ampicillin/piperacillin-resistant Klebsiella pneumoniae in a neonatal intensive care unit (NICU): investigation and control measures.

    Science.gov (United States)

    Fabbri, Giuliana; Panico, Manuela; Dallolio, Laura; Suzzi, Roberta; Ciccia, Matilde; Sandri, Fabrizio; Farruggia, Patrizia

    2013-02-26

    Klebsiella pneumoniae is a frequent cause of infectious outbreaks in Neonatal Intensive Care Units (NICUs). The aim of this paper is to describe an outbreak occurred in a 13-bed NICU and the control measures adopted in order to interrupt the chain of transmission. We described the microbiological investigations, the NICU staff compliance to the infection control measures by means of a specifically designed check-list and the control measures adopted. Six cases of primary bloodstream infections sustained by ampicillin/piperacillin-resistant Klebsiella pneumoniae were observed over a two-month period. One culture obtained from a 12% saccarose multiple-dose solution allowed the growth of Klebsiella pneumoniae. During the inspections performed by the Hospital Infection Control Team, using the check-list for the evaluation of the NICU staff compliance to the infection control measures, several breaches in the infection control policy were identified and control measures were adopted. In our case the definition of a specific check-list led to the adoption of the correct control measures. Further studies would be helpful in order to develop a standard check-list able to identify critical flows in the adhesion to the guidelines. It could be used in different NICUs and allow to obtain reproducible levels of infection control.

  12. Prevalence of Antibiotic-Resistant Escherichia coli in Drinking Water Sources in Hangzhou City

    Directory of Open Access Journals (Sweden)

    Zhaojun Chen

    2017-06-01

    Full Text Available This study investigated the distribution of antibiotic resistant Escherichia coli (E. coli and examined the possible relationship between water quality parameters and antibiotic resistance from two different drinking water sources (the Qiantang River and the Dongtiao Stream in Hangzhou city of China. E. coli isolates were tested for their susceptibility to 18 antibiotics. Most of the isolates were resistant to tetracycline (TE, followed by ampicillin (AM, piperacillin (PIP, trimethoprim/sulfamethoxazole (SXT, and chloramphenicol (C. The antibiotic resistance rate of E. coli isolates from two water sources was similar; For E. coli isolates from the Qiantang River, their antibiotic resistance rates decreased from up- to downstream. Seasonally, the dry and wet season had little impact on antibiotic resistance. Spearman's rank correlation revealed significant correlation between resistance to TE and phenicols or ciprofloxacin (CIP, as well as quinolones (ciprofloxacin and levofloxacin and cephalosporins or gentamicin (GM. Pearson's chi-square tests found certain water parameters such as nutrient concentration were strongly associated with resistance to some of the antibiotics. In addition, tet genes were detected from all 82 TE-resistant E. coli isolates, and most of the isolates (81.87% contained multiple tet genes, which displayed 14 different combinations. Collectively, this study provided baseline data on antibiotic resistance of drinking water sources in Hangzhou city, which indicates drinking water sources could be the reservoir of antibiotic resistance, potentially presenting a public health risk.

  13. Rapid determination of ampicillin in bovine milk by liquid chromatography with fluorescence detection

    Energy Technology Data Exchange (ETDEWEB)

    Ang, C.Y.W.; Luo, Wenhong [National Center for Toxicological Research, Jefferson, AR (United States)

    1997-01-01

    A rapid and sensitive liquid chromatographic (LC) method was developed for the determination of ampicillin residues in raw bovine milk, processed skim milk, and pasteurized, homogenized whole milk with vitamin D. Milk samples were deproteinized with trichloroacetic acid (TCA) and acetonictrile. After centrifugation, the clear supernatant was reacted with formaldehyde and TCA under heat. The major fluorescent derivative of ampicillin was then determined by reversed-phase LC with fluorescence detection. Average recoveries of ampicillin fortified at 5, 10, and 20 ppb (ng/mL) were all >85% with coefficients of variation <10%. Limits of detection ranged from 0.31 to 0.51 ppb and limits of quantitation, from 0.66 to 1.2 ppb. After appropriate validation, this method should be suitable for rapid analysis of milk for ampicillin residues at the tolerance level of 10 ppb. 16 refs., 4 figs., 3 tabs.

  14. Prevalence of Salmonella spp., in mesenteric pig’s ganglia at Colombian benefit plants

    Directory of Open Access Journals (Sweden)

    Carlos Ayala-Romero

    2018-01-01

    Full Text Available Objective. To determine the prevalence of Salmonella spp., in pigs mesenteric ganglion, from different regions of Colombia. Materials and Methods. A stratified sampling by proportional fixation was carried out at benefit plants of each of the 13 participating departments, whose pork production volume is representative at national level. Sampling was performed during five months, for a total of 457 samples analyzed. Salmonella spp., identification was performed by the MDS Molecular System, later isolates were confirmed in Maldi-TOF MS. Antimicrobial susceptibility of the isolates was determined using the B1016-180 panel and statistical analysis was performed in Whonet 2016, some of the multi-resistant isolates were them serotyped by Kauffman-White method. Results. National prevalence was 28.2%, with the presence of S. Typhimurium, S. Agama, S. London, S. Agona, S. Haifa and S. 1,4,12: i: -. Resistance to antibiotics frequently used in human (23.6% Trimethoprim/Sulfamethoxazole, 2.7% Cefotaxime (CTX, 11.8% Ampicillin (AMP and 1.8% Ciprofloxacin was found. Conclusion. The prevalence of Salmonella in mesenteric ganglia was 28.2%, being the Huila region the one with the highest prevalence, recovering atypical serotypes such as S. London and S. Haifa.

  15. The Kinetics of Ampicillin Release from Hydroxyapatite for Bones Regeneration

    Directory of Open Access Journals (Sweden)

    Giovanilton Ferreira da Silva

    2009-01-01

    Full Text Available Semisynthetic beta-lactam antibiotics are among the most used pharmaceuticals. Their use in veterinary and human medicine is in continuous expansion. There is a growing need for developing bioactive implants. Advantages of implantable drug delivery tools can include high release efficiency, precise dose control, low toxicity, and allow to overcome disadvantages connected with conventional methods. In this respect, hydroxyapatite (HA is an elective material. It enables to produce architectures similar to those of real bones. Here we studied a kinetic model to describe ampicillin release from HA. In the course of adsorption experiment, ampicillin was dissolved, maintained at 30∘C and shaken at 60 strokes/minute. Samples were withdrawn periodically for analysis and then returned to the mixture. Adsorbed amounts were measured by the difference of the concentration of the antibiotics before and after adsorption using UV adsorption at 225 nm. The aim of this work was to evaluate its application as ampicillin delivery carrier.

  16. Ampicillin potentials as Corrosion Inhibitor: fukui function ...

    African Journals Online (AJOL)

    The experimental study was carried out using gravimetric and Fourier transform infrared spectroscopy methods of monitoring corrosion while the computational study was carried out using quantum chemical approach via Hyperchem program suit. The results obtained showed that various concentrations of ampicillin ...

  17. Retrospective Study on the Prevalence and Antibiotic Resistance Pattern of Staphylococcus Aureus and Staphylococcus Epidermidis Among Patients Suspicious of Bacteremia During 2006 - 2011

    Directory of Open Access Journals (Sweden)

    Mohammad Ali Mohaghegh

    2015-05-01

    Full Text Available Background: Staphylococci bacteria cause different diseases, varies from mild skin infections to serious bacteremia. Also they are a major cause of nosocomial and community-acquired infections globally. Staphylococcus aureus and Staphylococcus epidermidis are the two important opportunistic pathogens of the staphylococci that both can cause bacteremia. Objectives: The aim of the present study was to investigate the prevalence and antibiotic resistance pattern of S. aureus and S. epidermidis among blood culture of patients of Ghaem Educational, Research and Treatment Center, Mashhad, Iran, during 6 years (2006 - 2011. Patients and Methods: In this retrospective study, hospital medical records of 28000 patients referred to Ghaem Educational, Research and Treatment Center, Mashhad, Iran, who were suspicious of blood infections during 6 years (2005-2011, were extracted. The patient’s blood culture with staphylococcal growth and their antibiogram results during 2006 - 2011 were collected and studied. Results: Staphylococcus spp. were isolated from 600 (2.14% out of 28000 blood cultures. Furthermore, 420 (70%, 170 (28.3% and 10 (1.7% out of 600 bacterial isolates identified as S. epidermidis, S. aureus and other Staphylococcus spp., respectively. Ampicillin, amoxicillin, cefixime, ceftazidime, penicillin, oxacillin, nalidixic acid and cephepime were the most antibiotics that the isolates were resistant against. Also vancommycin and chloramphenicol were the most effective antibiotics against S. epidermidis and S. aureus, respectively. Conclusions: Prevalence of Staphylococcal bacteremia caused by S. epidermidis is fairly high comparing to S. aureus among patients referred to Ghaem Educational, Research and Treatment Center, Mashhad, Iran. Also the resistance rate of Staphylococcus spp. isolated from blood against commonly used antibiotic is high, but there are some highly sensitive antibiotic against the infection.

  18. Bioavailability of pivampicillin and ampicillin trihydrate administered as an oral paste in horses

    NARCIS (Netherlands)

    Ensink, JM; Mol, A; Vulto, AG; Tukker, JJ

    1996-01-01

    Pivampicillin was administered as an oral paste to five healthy adult horses, and an oral paste with ampicillin trihydrate was administered to three horses, Pivampicillin was administered to both starved and fed horses, ampicillin trihydrate was administered to fed horses only, The dose of

  19. Prevalence and antimicrobial resistance in Salmonella enterica isolated from broiler chickens, pigs and meat products in Thailand-Cambodia border provinces.

    Science.gov (United States)

    Trongjit, Suthathip; Angkititrakul, Sunpetch; Tuttle, R Emerson; Poungseree, Jiratchaya; Padungtod, Pawin; Chuanchuen, Rungtip

    2017-01-01

    This study aimed to examine the prevalence and antimicrobial resistance (AMR) of Salmonella isolates from broiler chickens, pigs and their associated meat products in the Thailand-Cambodia border provinces. A total of 941 samples were collected from pigs and broiler chickens at slaughter houses and from carcasses at local fresh markets in Sa Kaeo, Thailand (n = 554) and Banteay Meanchey, Cambodia (n = 387) in 2014 and 2015. From these samples, 345 Salmonella isolates were collected from Sa Keao (n = 145; 23%) and Banteay Meanchey (n = 200; 47%) and assayed for antimicrobial susceptibility, class 1 integrons and extended-spectrum β-lactamase (ESBL) genes. Serovars Typhimurium (29%) and Rissen (29%) were the most common serotypes found in Thai and Cambodian isolates, respectively. Multidrug resistance was detected in 34% and 52% of isolates from Sa Keao and Banteay Meanchey, respectively. The majority of the Thai isolates were resistant to ampicillin (72.4%), whereas most Cambodian isolates were resistant to sulfamethoxazole (71%). Eleven isolates from Sa Keao and 44 from Banteay Meanchey carried class 1 integrons comprising resistance gene cassettes. The most common gene cassette array was dfrA12-aadA2 (61.1%). Six isolates were ESBL producers. The β-lactamase genes found included bla TEM-1 , bla CTX-M-55 and bla CMY-2 . Some of these class 1 integrons and ESBL genes were located on conjugative plasmid. In conclusion, multidrug-resistant Salmonella are common in pigs, chickens and their products in the Thailand-Cambodia border provinces. Our findings indicate that class 1 integrons play a role in spread of AMR in the strains in this study. © 2017 The Societies and John Wiley & Sons Australia, Ltd.

  20. Antimicrobial resistance trends among Salmonella isolates obtained from horses in the northeastern United States (2001-2013).

    Science.gov (United States)

    Cummings, Kevin J; Perkins, Gillian A; Khatibzadeh, Sarah M; Warnick, Lorin D; Aprea, Victor A; Altier, Craig

    2016-05-01

    OBJECTIVE To describe the antimicrobial resistance patterns of Salmonella isolates obtained from horses in the northeastern United States and to identify trends in resistance to select antimicrobials over time. SAMPLE 462 Salmonella isolates from horses. PROCEDURES Retrospective data were collected for all Salmonella isolates obtained from equine specimens that were submitted to the Cornell University Animal Health Diagnostic Center between January 1, 2001, and December 31, 2013. Temporal trends in the prevalence of resistant Salmonella isolates were investigated for each of 13 antimicrobials by use of the Cochran-Armitage trend test. RESULTS The prevalence of resistant isolates varied among antimicrobials and ranged from 0% (imipenem) to 51.5% (chloramphenicol). During the observation period, the prevalence of resistant isolates decreased significantly for amoxicillin-clavulanic acid, ampicillin, cefazolin, cefoxitin, ceftiofur, chloramphenicol, and tetracycline and remained negligible for amikacin and enrofloxacin. Of the 337 isolates for which the susceptibility to all 13 antimicrobials was determined, 138 (40.9%) were pansusceptible and 192 (57.0%) were multidrug resistant (resistant to ≥ 3 antimicrobial classes). The most common serovar isolated was Salmonella Newport, and although the annual prevalence of that serovar decreased significantly over time, that decrease had only a minimal effect on the observed antimicrobial resistance trends. CONCLUSIONS AND CLINICAL RELEVANCE Results suggested that current antimicrobial use in horses is not promoting the emergence and dissemination of antimicrobial-resistant Salmonella strains in the region served by the laboratory.

  1. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  2. Prevalence of aspirin resistance in patients with an evolving acute myocardial infarction

    DEFF Research Database (Denmark)

    Poulsen, Tina Svenstrup; Jørgensen, Bo; Korsholm, Lars

    2007-01-01

    OBJECTIVE: To study the prevalence and importance of aspirin resistance in patients with an evolving acute myocardial infarction (AMI) by use of the Platelet Function Analyzer-100. INTRODUCTION: Previous studies have demonstrated the existence of aspirin resistance, but the clinical relevance...... of the phenomenon remains to be clarified. If aspirin resistant patients comprise a high-risk subgroup, it might be expected that the prevalence of aspirin resistance in patients with AMI would be higher than in patients without AMI. We hypothesized that the prevalence of aspirin resistance in patients with AMI...... was twice the prevalence in patients without AMI. METHODS: We included 298 consecutive patients with known cardiovascular disease who were admitted to hospital with symptoms suggestive of an AMI. All had been taking aspirin 150 mg/day for at least 7 days prior to hospital admission. Platelet function...

  3. [Bactericidal activity of serum and chemotherapy in sensitive and resistant exciter (author's transl)].

    Science.gov (United States)

    Eyer, H; Metz, H; Preac-Mursic, V

    1975-11-21

    Comparing examinations with Ampicillin sensitive and resistant bacteria-strains show that the bactericidal activity of serum is dependent on the bacteria-strains, on the Ampicillin sensitivity of the particular exciter and on the number of bacteria/ml (germ count). Bactericide effect could always be obtained with sensitive strains as a result of additional chemotherapy. With several resistant strains a bactericide effect could not be obtained in this case the continuous optimal Ampicillin addition was the decisive factor. Because of the extremely complicated process of the bactericide one should not make general conclusions from the individual experimental results.

  4. Degradation of amoxicillin, ampicillin and cloxacillin antibiotics in aqueous solution by the UV/ZnO photocatalytic process

    International Nuclear Information System (INIS)

    Elmolla, Emad S.; Chaudhuri, Malay

    2010-01-01

    The study examined the effect of operating conditions (zinc oxide concentration, pH and irradiation time) of the UV/ZnO photocatalytic process on degradation of amoxicillin, ampicillin and cloxacillin in aqueous solution. pH has a great effect on amoxicillin, ampicillin and cloxacillin degradation. The optimum operating conditions for complete degradation of antibiotics in an aqueous solution containing 104, 105 and 103 mg/L amoxicillin, ampicillin and cloxacillin, respectively were: zinc oxide 0.5 g/L, irradiation time 180 min and pH 11. Under optimum operating conditions, complete degradation of amoxicillin, ampicillin and cloxacillin occurred and COD and DOC removal were 23.9 and 9.7%, respectively. The photocatalytic reactions under optimum conditions approximately followed a pseudo-first order kinetics with rate constant (k) 0.018, 0.015 and 0.029 min -1 for amoxicillin, ampicillin and cloxacillin, respectively. UV/ZnO photocatalysis can be used for amoxicillin, ampicillin and cloxacillin degradation in aqueous solution.

  5. Antimicrobial Resistance Percentages of Salmonella and Shigella in Seafood Imported to Jordan: Higher Percentages and More Diverse Profiles in Shigella.

    Science.gov (United States)

    Obaidat, Mohammad M; Bani Salman, Alaa E

    2017-03-01

    This study determined the prevalence and antimicrobial resistance of human-specific ( Shigella spp.) and zoonotic ( Salmonella enterica ) foodborne pathogens in internationally traded seafood. Sixty-four Salmonella and 61 Shigella isolates were obtained from 330 imported fresh fish samples from Egypt, Yemen, and India. The pathogens were isolated on selective media, confirmed by PCR, and tested for antimicrobial resistance. Approximately 79 and 98% of the Salmonella and Shigella isolates, respectively, exhibited resistance to at least one antimicrobial, and 8 and 49% exhibited multidrug resistance (resistance to three or more antimicrobial classes). Generally, Salmonella exhibited high resistance to amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; very low resistance to kanamycin, tetracycline, gentamicin, chloramphenicol, nalidixic acid, sulfamethoxazole-trimethoprim, and ciprofloxacin; and no resistance to ceftriaxone. Meanwhile, Shigella spp. exhibited high resistance to tetracycline, amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; low resistance to kanamycin, nalidixic acid, sulfamethoxazole-trimethoprim, and ceftriaxone; and very low resistance to gentamicin and ciprofloxacin. Salmonella isolates exhibited 14 resistance profiles, Shigella isolates 42. This study is novel in showing that a human-specific pathogen has higher antimicrobial resistance percentages and more diverse profiles than a zoonotic pathogen. Thus, the impact of antimicrobial use in humans is as significant as, if not more significant than, it is in animals in spreading antibiotic resistance through food. This study also demonstrates that locally derived antimicrobial resistance can spread and pose a public health risk worldwide through seafood trade and that high resistance would make a possible outbreak difficult to control. So, capacity building and monitoring harvest water areas are encouraged in fish producing countries.

  6. Regional, Seasonal, and Temporal Variations in the Prevalence of Antimicrobial-Resistant Escherichia coli Isolated from Pigs at Slaughter in Denmark (1997-2005)

    DEFF Research Database (Denmark)

    Abatih, E. N.; Emborg, Hanne-Dorthe; Jensen, Vibeke Frøkjær

    2009-01-01

    The aim of this study was to analyze and discuss regional, seasonal, and temporal trends in the occurrence of antimicrobial-resistant Escherichia coli isolated from pigs at slaughter in Denmark between 1997 and 2005. Data on antimicrobial-resistant E. coli were obtained from the Danish Integrated...... Antimicrobial Resistance Monitoring and Research Programme database. The Cochran-Armitage trend test was used to detect the presence and evaluate the significance of regional, seasonal, and annual trends in the occurrence of antimicrobial-resistant E. coli for four drugs. Associations between resistance...... of resistant E. coli as compared to the other seasons of the year. Our study provides evidence of statistically significant regional, seasonal, and temporal variations for ampicillin- and streptomycin-resistant E. coli isolated from pigs at slaughter in Denmark between 1997 and 2005....

  7. Vaginal versus Obstetric Infection Escherichia coli Isolates among Pregnant Women: Antimicrobial Resistance and Genetic Virulence Profile.

    Science.gov (United States)

    Sáez-López, Emma; Guiral, Elisabet; Fernández-Orth, Dietmar; Villanueva, Sonia; Goncé, Anna; López, Marta; Teixidó, Irene; Pericot, Anna; Figueras, Francesc; Palacio, Montse; Cobo, Teresa; Bosch, Jordi; Soto, Sara M

    2016-01-01

    Vaginal Escherichia coli colonization is related to obstetric infections and the consequent development of infections in newborns. Ampicillin resistance among E. coli strains is increasing, which is the main choice for treating empirically many obstetric and neonatal infections. Vaginal E. coli strains are very similar to extraintestinal pathogenic E. coli with regards to the virulence factors and the belonging to phylogroup B2. We studied the antimicrobial resistance and the genetic virulence profile of 82 E. coli isolates from 638 vaginal samples and 63 isolated from endometrial aspirate, placental and amniotic fluid samples from pregnant women with obstetric infections. The prevalence of E. coli in the vaginal samples was 13%, which was significant among women with associated risk factors during pregnancy, especially premature preterm rupture of membranes (pinfections showed higher resistance levels than vaginal isolates, particularly for gentamicin (p = 0.001). The most prevalent virulence factor genes were those related to the iron uptake systems revealing clear targets for interventions. More than 50% of the isolates belonged to the virulent B2 group possessing the highest number of virulence factor genes. The ampicillin-resistant isolates had high number of virulence factors primarily related to pathogenicity islands, and the remarkable gentamicin resistance in E. coli isolates from women presenting obstetric infections, the choice of the most appropriate empiric treatment and clinical management of pregnant women and neonates should be carefully made. Taking into account host-susceptibility, the heterogeneity of E. coli due to evolution over time and the geographical area, characterization of E. coli isolates colonizing the vagina and causing obstetric infections in different regions may help to develop interventions and avoid the aetiological link between maternal carriage and obstetric and subsequent puerperal infections.

  8. Zinc Finger Nuclease: A New Approach to Overcome Beta-Lactam Antibiotic Resistance

    Science.gov (United States)

    Shahbazi Dastjerdeh, Mansoureh; Kouhpayeh, Shirin; Sabzehei, Faezeh; Khanahmad, Hossein; Salehi, Mansour; Mohammadi, Zahra; Shariati, Laleh; Hejazi, Zahra; Rabiei, Parisa; Manian, Mostafa

    2016-01-01

    Background: The evolution of antibiotic-resistant bacteria (ARB) and antibiotic-resistance genes (ARGs) has been accelerated recently by the indiscriminate application of antibiotics. Antibiotic resistance has challenged the success of medical interventions and therefore is considered a hazardous threat to human health. Objectives: The present study aimed to describe the use of zinc finger nuclease (ZFN) technology to target and disrupt a plasmid-encoded β-lactamase, which prevents horizontal gene transfer-mediated evolution of ARBs. Materials and Methods: An engineered ZFN was designed to target a specific sequence in the ampicillin resistance gene (ampR) of the pTZ57R plasmid. The Escherichia coli bacteria already contained the pZFN kanamycin-resistant (kanaR) plasmid as the case or the pP15A, kanaR empty vector as the control, were transformed with the pTZ57R; the ability of the designed ZFN to disrupt the β-lactamase gene was evaluated with the subsequent disturbed ability of the bacteria to grow on ampicillin (amp) and ampicillin-kanamycin (amp-kana)-containing media. The effect of mild hypothermia on the ZFN gene targeting efficiency was also evaluated. Results: The growth of bacteria in the case group on the amp and amp-kana-containing media was significantly lower compared with the control group at 37°C (P < 0.001). Despite being more efficient in hypothermic conditions at 30°C (P < 0.001), there were no significant associations between the incubation temperature and the ZFN gene targeting efficiency. Conclusions: Our findings revealed that the ZFN technology could be employed to overcome ampicillin resistance by the targeted disruption of the ampicillin resistance gene, which leads to inactivation of β-lactam synthesis. Therefore, ZFN technology could be engaged to decrease the antibiotic resistance issue with the construction of a ZFN archive against different ARGs. To tackle the resistance issue at the environmental level, recombinant phages

  9. Neonatal bacteriemia isolates and their antibiotic resistance pattern in neonatal insensitive care unit (NICU at Beasat Hospital, Sanandaj, Iran.

    Directory of Open Access Journals (Sweden)

    Parvin Mohammadi

    2014-05-01

    Full Text Available Bacteremia continues to result in significant morbidity and mortality, particularly among neonates. There is scarce data on neonatal bacteremia in among Iranian neonates. In this study, we determined neonatal bacteremia isolates and their antibiotic resistance pattern in neonatal insensitive care unit at Beasat hospital, Sanandaj, Iran. During one year, all neonates admitted to the NICU were evaluated. Staphylococcal isolates were subjected to determine the prevalence of MRS and mecA gene. A total of 355 blood cultures from suspected cases of sepsis were processed, of which 27 (7.6% were positive for bacterial growth. Of the 27 isolates, 20 (74% were Staphylococcus spp as the leading cause of bacteremia. The incidence of Gram negative bacteria was 04 (14.8%. The isolated bacteria were resistant to commonly used antibiotics. Maximum resistance among Staphylococcus spp was against Penicillin, and Ampicillin. In our study, the isolated bacteria were 7.5 % Vancomycin and Ciprofloxacin sensitive. Oxacillin disk diffusion and PCR screened 35% and 30% mec a positive Staphylococcus spp. The spectrum of neonatal bacteremia as seen in NICU at Beasat hospital confirmed the importance of pathogens such as Staphylococcus spp. Penicillin, Ampicillin and Cotrimoxazol resistance was high in theses isolates with high mecA gene carriage, probably due to antibiotic selection.

  10. Low prevalence of primary HIV resistance in western Massachusetts.

    Science.gov (United States)

    Iarikov, Dmitri E; Irizarry-Acosta, Melina; Martorell, Claudia; Hoffman, Robert P; Skiest, Daniel J

    2010-01-01

    Most studies of primary antiretroviral (ARV) resistance have been conducted in large metropolitan areas with reported rates of 8% to 25%. We collected data on 99 HIV-1-infected antiretroviral-naive patients from several sites in Springfield, MA, who underwent genotypic resistance assay between 2004 and 2008. Only major resistance mutations per International AIDS Society-USA (IAS-USA) drug resistance mutations list were considered. The prevalence of resistance was 5% (5 of 99). Three patients had one nonnucleoside reverse transcriptase inhibitor (NNRTI) mutation: 103N, 103N, and 190A, 1 patient had a protease inhibitor (PI) mutation: 90M; and 1 patient had 3-class resistance with NNRTI: 181C, 190A, PI: 90M, and nucleoside analogue reverse transcriptase inhibitor (NRTI): 41L, 210W. Mean time from HIV diagnosis to resistance testing was shorter in patients with resistance versus those without: 9 (range 0.3-42 months) versus 27 (range 0.1-418 months), P = .11. There was a trend to lower mean CD4 count in those with resistance, 170 versus 318 cells/mm(3), P = .06. No differences were noted in gender, age, HIV risk category, or HIV RNA level. The low prevalence of primary resistance may be explained by differences in demographic and risk factors or may reflect the time from infection to resistance testing. Our findings emphasize the importance of continued resistance surveillance.

  11. Phenotypic and Genotypic Antimicrobial Resistance of Lactococcus Sp. Strains Isolated from Rainbow Trout (Oncorhynchus Mykiss

    Directory of Open Access Journals (Sweden)

    Ture Mustafa

    2015-04-01

    Full Text Available A current profile of antimicrobial resistance and plasmid of 29 Lactococcus garvieae and one Lactococcus lactis strains isolated from rainbow trouts (Oncorhynchus mykiss from farms throughout Turkey were investigated. All isolates were sensitive to penicillin G (90%, ampicillin (86.7%, florfenicol (83.3%, amoxicillin (80.1%, and tetracycline (73.4%, and resistant to trimethoprim+sulfamethoxazole (86.6% and gentamycin (46.6% by disc diffusion method. Twenty-eight (93% isolates had two to seven antibiotic resistance genes (ARGs determined by PCR. The most prevalent ARGs were tetracycline (tetB, erythromycin (ereB, and β-lactam (blaTEM. Bacterial strains were also screened for plasmid DNA by agarose gel electrophoresis and two strains harboured plasmids, with sizes ranging from 3 to 9 kb.

  12. Prevalence and Antibiotic Resistance of Non-typhoidal Salmonella Isolated from Raw Chicken Carcasses of Commercial Broilers and Spent Hens in Tai’an, China

    Directory of Open Access Journals (Sweden)

    Song Li

    2017-10-01

    Full Text Available The present study was aimed to determine the prevalence and characteristics of Salmonella isolated from meat samples of commercial broilers (CB and spent hens (SH. Between March and June 2016, 200 retail raw chicken carcasses (100 from CB and 100 from SH were obtained from local supermarkets in Tai’an city of China, and Salmonella isolates were then analyzed for antibiotic resistance, serotype, β-lactamase genes, and the presence of class 1 integron. Forty Salmonella strains were obtained in this study (CB: 21/100, 21%; SH: 19/100, 19%. Three serotypes were identified in 40 Salmonella, and S. Enteritidis (CB: 15/21, 71.4%; SH: 10/19, 52.6% was the dominant serotype, followed by S. Typhimurium (CB: 4/21, 19%; SH: 6/19, 31.6% and S. Derby (CB: 2/21, 9.5%; SH: 3/19, 15.8%. Among 21 Salmonella isolated from CB, high antibiotic resistance rates were found for ampicillin (20/21, 95.2%, nalidixic acid (18/21, 85.7%, cefotaxime (17/21, 81%, and tetracycline (13/21, 61.9%; class 1 integron was observed in seven isolates (7/21, 33.3%, and gene cassettes included an empty integron (0.15 kb, n = 1, aadA2 (1.2 kb, n = 3, drfA1-aadA1 (1.4 kb, n = 1, and drfA17-aadA5 (1.7 kb, n = 2; blaTEM-1 was the dominant β-lactamase gene (21/21, 100%, followed by blaCTX-M-55 (7/21, 33.3%. Among 19 Salmonella isolated from SH, high antibiotic resistance rates were found for nalidixic acid (19/19, 100%, tetracycline (19/19, 100%, ampicillin (18/19, 94.7%, and ciprofloxacin (13/19, 68.4%; class 1 integron was observed in two isolates (2/19, 10.5%, and gene cassettes included drfA17-aadA5 (1.7 kb, n = 1 and drfA1-aadA1 (1.4 kb, n = 1; blaTEM-1 was the dominant β-lactamase gene (19/19, 100%, followed by blaCTX-M-55 (2/19, 10.5% and blaCMY-2 (1/19, 5.3%. Collectively, antibiotic-resistant Salmonella can be widely detected in retail raw chicken carcasses of CB and SH, and therefore can pose a serious risk to public health.

  13. Prevalence and anthelmintic resistance of strongyle parasites in ...

    African Journals Online (AJOL)

    Prevalence of infection and level of anthelmintic resistance (AR) of strongyle nematodes to ivermectin (IV), albendazole (AB) and levamisole (LV) in Dorper lambs were determined. The overall prevalence was 67.0% and mean eggs per gram (EPG) of faeces was 357. Infection was light in 92.5%, moderate in 4.5% and high ...

  14. Mercury and antibiotic resistance in Enterobacteriaceae: an experimental study on pigs

    Energy Technology Data Exchange (ETDEWEB)

    Laub-Kupersztejn, R; Thomas, J; Pohl, P

    1974-01-01

    Tests on faeces from 5 different groups of pigs, showed that 47.2% of the coliforms present were resistant to mercury ions. None of the 3127 bacteria examined were resistant to cadmium ions. The resistance of these strains to mercury was mainly associated with resistance to one or more antibiotics (98%). Feeding the animals with ampicillin (20 ppm) led to modification of the Escherichia coli in the alimentary tract, with ampicillin and mercury resistant strains emerging in great number. These resistance characters could be wholly, or partially, transferred to a sensitive strain of E. coli, thus suggesting that they were mediated by R-factors. The existence of a plasmid resistant only to mercury ions was demonstrated. 9 references, 4 tables.

  15. Decreasing prevalence of multi-drugs resistant Mycobacterium tuberculosis in Nashik City, India

    Directory of Open Access Journals (Sweden)

    Arun P. More

    2013-03-01

    Full Text Available Objective: In India, increasing prevalence of multi-drug resistant tuberculosis (MDR has aggravated the control oftuberculosis problem. In many urban and semi-urban regions of India, no surveillance data of multidrug resistance inMycobacterium tuberculosisis available.Methods: A surveillance study on multidrug resistance was carried out in semi-urban and rural regions in and aroundNashik City of Maharashtra, India. The surveillance study was conducted in this region found that the prevalence ofcombined resistance to first and second-line anti-tuberculosis drugs is remarkably high. The isolates of M. tuberculosiswas identified and subjected to drug susceptibility testing. The patterns of drug susceptibility of isolates of M. tuberculosisduring the periods 2000 and 2004 were compared with drug susceptibility patterns of the organisms during theperiod 2008 to 2011.Results: The 260 isolates identified as M. tuberculosis show mean drug resistance prevalence of 45.6% for more than anytwo drugs and the MDR rate as 37% in the years 2000 to 2004 whereas 305 isolates of the organism show mean drugresistance prevalence of 30.2% and the MDR rate as 25% in the years 2008 to 2011.Conclusion: The researcher found that, though the prevalence of multidrug resistance to the drugs tested is remarkablyhigh, it has come down noticeably during the past seven years due to efforts of State Government and strict implementationof treatment guidelines of WHO by the physicians. J Microbiol Infect Dis 2013; 3(1: 12-17Key words: MDR-TB, XDR-TB, DOTS, drug-resistance prevalence rate.

  16. 21 CFR 520.90a - Ampicillin capsules.

    Science.gov (United States)

    2010-04-01

    .... Use of the drug is contraindicated in animals with a history of an allergic reaction to any of the... 21 Food and Drugs 6 2010-04-01 2010-04-01 false Ampicillin capsules. 520.90a Section 520.90a Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) ANIMAL...

  17. Evaluation of hard gelatin capsules and hydroxypropyl methylcellulose containing ampicillin

    Directory of Open Access Journals (Sweden)

    Graziella Gonçalves Weigert

    2012-01-01

    Full Text Available This study aims to develop and evaluate formulations containing ampicillin in capsules of gelatin and hydroxypropyl methylcellulose (HPMC. Two formulations (A and B were developed. The final product quality was evaluated by testing for quality control and the results were in agreement with the Brazilian Pharmacopoeia. The formulations with HPMC capsules showed lower percentages of drug dissolved (99.67%, HPMC-A and 87.70%, HPMC-B than the gelatin (100.18%, GEL-A and 101.16% GEL-B. Because of the delay of the ampicillin release observed in the dissolution profiles, it becomes necessary to evaluate the drugs that can be conditioned in the HPMC capsules.

  18. Prevalence of Resistence to Activated Protein C (Apc-Resistance in Blood Donors in Kosovo

    Directory of Open Access Journals (Sweden)

    Ymer Mekaj

    2009-11-01

    Full Text Available AbstractOne of the most frequent hereditary causes of thrombophilia is, without a doubt, resistance to Activated Protein C (APC-resistance, which is a consequence of point mutation in gene coding for coagulation Factor V (Factor V Leiden in 90-95% of cases.The aim of this paper was to determine prevalence of APC-resistance in a group of healthy blood donors. The size of the group is quite representative of Kosovo Albanians.A total of 944 blood donors were examined (537 males and 407 females, for whom APC-resistance was determined by functional methods of coagulation using the kit ACTICLOT® Protein C Resistance. Method is based on the test of APTT determined twice: first in the presence and second in the absence of activated Protein C (APC. The ratio of these two values constitutes is called Activated Protein C - Sensitivity Ratio (APC-SR.From 944 examined donors, pathologic values of APC-SR (1,3-1,9 were found in 32 persons (3,4% of the total number. The distribution among sexes was 3,35% (18/537 in male and 3,43% (14/407 in female subjects. The mean values of APC-SR (1,64 in male and 1,71 in female subjects were not significantly different (P = 0,22.Based on these results, we conclude that the prevalence of APC resistance in Albanian population of Kosovo is within the lower limit of prevalence in general population in different countries of European countries, which, according to some authors ranges is from 3 to 7%.

  19. An 11-year analysis of the prevalent uropathogens and the changing pattern of Escherichia coli antibiotic resistance in 38,530 community urinary tract infections, Dublin 1999-2009.

    Science.gov (United States)

    Cullen, I M; Manecksha, R P; McCullagh, E; Ahmad, S; O'Kelly, F; Flynn, R; McDermott, T E D; Murphy, P; Grainger, R; Fennell, J P; Thornhill, J A

    2013-03-01

    Knowledge of local antimicrobial resistance patterns is essential for evidence-based empirical antibiotic prescribing, and a cutoff point of 20% has been suggested as the level of resistance at which an agent should no longer be used empirically. We sought to identify the changing incidence of causative uropathogens over an 11-year period. We also examined the trends in antibiotic resistance encountered in both the pooled urine samples and those where the causative organism was Escherichia coli. A retrospective analysis of the antimicrobial resistance within the positive community urine isolates over the 11-year period, 1999 to 2009, in a single Dublin teaching hospital was performed. In total 38,530 positive urine samples processed at our laboratory originated in the community of which 23,838 (56.7%) had E. coli as the infecting organism. The prevalence of E. coli has been increasing in recent years in community UTIs with 70.4% of UTIs in the community caused by E.coli in 2009. Ampicillin and trimethoprim were the least-active agents against E. coli with mean 11-year resistance rates of 60.8 and 31.5%, respectively. Significant trends of increasing resistance over the 11-year period were identified for trimethoprim, co-amoxyclav, cefuroxime and gentamicin. Ciprofloxacin remains a reasonable empirical antibiotic choice in this community with an 11-year resistance rate of 10.6%. Higher antibiotic resistance rates were identified in the male population and in children. Resistance rates to commonly prescribed antibiotics are increasing significantly. This data will enable evidence-based empirical prescribing which will ensure more effective treatment and lessen the emergence of resistant uropathogens in the community.

  20. Ampicillin-Ester Bonded Branched Polymers: Characterization, Cyto-, Genotoxicity and Controlled Drug-Release Behaviour

    Directory of Open Access Journals (Sweden)

    Ewa Oledzka

    2014-06-01

    Full Text Available The development and characterization of novel macromolecular conjugates of ampicillin using branched biodegradable polymers has been described in this study. The conjugates have been prepared coupling the β-lactam antibiotic with branched polymer matrices based on the natural oligopeptide core. The cyto- and genotoxicity of the synthesized polymers were evaluated with a bacterial luminescence test, two protozoan assays and Salmonella typhimurium TA1535. The presence of a newly formed covalent bond between the drug and the polymer matrices was confirmed by 1H-NMR and FTIR studies. A drug content (15.6 and 10.2 mole % in the macromolecular conjugates has been determined. The obtained macromolecular products have been subjected to further in vitro release studies. The total percentage of ampicillin released after 21 days of incubation was nearly 60% and 14% and this resulted from the different physicochemical properties of the polymeric matrices. This is the first report on the application of branched biodegradable polymeric matrices for the covalent conjugation of ampicillin. The obtained results showed that the synthesized macromolecular drug-conjugates might slowly release the active drug molecule and improve the pharmacokinetics of ampicillin.

  1. Clostridium difficile Infections: A Global Overview of Drug Sensitivity and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Saeed S. Banawas

    2018-01-01

    Full Text Available Clostridium difficile (C. difficile is the most prevalent causative pathogen of healthcare-associated diarrhea. Notably, over the past 10 years, the number of Clostridium difficile outbreaks has increased with the rate of morbidity and mortality. The occurrence and spread of C. difficile strains that are resistant to multiple antimicrobial drugs complicate prevention as well as potential treatment options. Most C. difficile isolates are still susceptible to metronidazole and vancomycin. Incidences of C. difficile resistance to other antimicrobial drugs have also been reported. Most of the antibiotics correlated with C. difficile infection (CDI, such as ampicillin, amoxicillin, cephalosporins, clindamycin, and fluoroquinolones, continue to be associated with the highest risk for CDI. Still, the detailed mechanism of resistance to metronidazole or vancomycin is not clear. Alternation in the target sites of the antibiotics is the main mechanism of erythromycin, fluoroquinolone, and rifamycin resistance in C. difficile. In this review, different antimicrobial agents are discussed and C. difficile resistance patterns and their mechanism of survival are summarized.

  2. Prevalence and risk factors for methicillin resistant Staphylococcus ...

    African Journals Online (AJOL)

    Prevalence and risk factors for methicillin resistant Staphylococcus aureus carriage among emergency department workers and bacterial contamination on touch surfaces in Erciyes University Hospital, Kayseri, Turkey.

  3. Antimicrobial resistance 1979-2009 at Karolinska hospital, Sweden: normalized resistance interpretation during a 30-year follow-up on Staphylococcus aureus and Escherichia coli resistance development.

    Science.gov (United States)

    Kronvall, Göran

    2010-09-01

    To utilize a material of inhibition zone diameter measurements from disc diffusion susceptibility tests between 1979 and 2009, an objective setting of epidemiological breakpoints was necessary because of methodological changes. Normalized resistance interpretation (NRI) met this need and was applied to zone diameter histograms for Staphylococcus aureus and Escherichia coli isolates. The results confirmed a slow resistance development as seen in Northern countries. The S. aureus resistance levels for erythromycin, clindamycin and fusidic acid in 2009 were 3.2%, 1.8% and 1.4% with denominator correction. A rise in resistance to four antimicrobials in 1983 was probably because of a spread of resistant Methicillin Susceptible Staphylococcus Aureus (MSSA). For E. coli, the denominator-corrected resistance levels in 2009 were 27% for ampicillin, around 3% for third-generation cephalosporins, 0.1% for imipenem, 2.5% for gentamicin, 19% for trimethoprim, 4.5% for co-trimoxazole, 1.2% for nitrofurantoin and 9% for ciprofloxacin. The temporal trends showed a rise in fluoroquinolone resistance from 1993, a parallel increase in gentamicin resistance, a substantial increase in trimethoprim and sulphonamide resistance in spite of decreased consumption, and a steady rise in ampicillin resistance from a constant level before 1989. A short review of global resistance surveillance studies is included.

  4. Study of Sensibility and Antimicrobial Resistance in Escherichia coli Isolated from Urinary Tract Infection in Tabriz City

    Directory of Open Access Journals (Sweden)

    Hamed Molaabaszadeh

    2013-09-01

    Full Text Available  Background & Objective: Urinary infection is one of the most prevalent infectious diseases, and Escherichia coli is the most important cause of urinary infections. This study was done with the aim of surveying the amount of susceptibility and resistance among the strains of Escherichia coli isolated from those who referred to the private laboratories in the Iranian city of Tabriz.   Materials & Methods: This survey was done periodically during the first 6 months of the year 2010. Samples were obtained in a sterile manner and were subjected to all necessary pathological tests. Evaluation of antibiotic susceptibility was conducted with disk diffusion standard method, and the results were analyzed.   Results: Totally, 5701 Escherichia coli strains were identified. The highest sensitivity to Imipenem was 90.95%, Nitrofurantoin 85.97%, and Cefotaxime 71.02% and the highest resistance to Ampicillin was 83.95%, Tetracycline 80.97%, and Co-trimoxazole 63.92%.   Conclusion: Our results suggest that the cause of the high resistance of Escherichia coli strains to Ampicillin and Tetracycline could be the consumption of these antibiotics. Therefore, abstaining from overuse of unnecessary antibiotics and production of new-generation and cost-effective antibiotics are recommend. 

  5. Prevalence and Diversity of Salmonella Serotypes in Ecuadorian Broilers at Slaughter Age.

    Directory of Open Access Journals (Sweden)

    Christian Vinueza-Burgos

    Full Text Available Salmonella is frequently found in poultry and represent an important source for human gastrointestinal infections worldwide. The aim of this study was to investigate the prevalence, genotypes and antimicrobial resistance of Salmonella serotypes in broilers from Ecuador. Caeca content from 388 at random selected broiler batches were collected in 6 slaughterhouses during 1 year and analyzed by the ISO 6579/Amd1 protocol for the isolation for Salmonella. Isolates were serotyped and genotypic variation was acceded by pulsed field gel electrophoresis. MIC values for sulfamethoxazole, gentamicin, ciprofloxacin, ampicillin, cefotaxime, ceftazidime, tetracycline, streptomycin, trimethropim, chloramphenicol, colistin, florfenicol, kanamycin and nalidixic acid were obtained. Presence of blaCTX-M, blaTEM, blaSHV and blaCMY; and mcr-1 plasmid genes was investigated in resistant strains to cefotaxime and colistin respectively. Prevalence at batch level was 16.0%. The most common serotype was S. Infantis (83.9% followed by S. Enteritidis (14.5% and S. Corvallis (1.6%. The pulsed field gel electrophoresis analysis showed that S. Corvallis, S. Enteritidis and S. Infantis isolates belonged to 1, 2 and 12 genotypes respectively. S. Infantis isolates showed high resistance rates to 12 antibiotics ranging from 57.7% (kanamycin up to 98.1% (nalidixic acid and sulfamethoxazole. All S. Enteritidis isolates showed resistance to colistin. High multiresistant patterns were found for all the serotypes. The blaCTX-M gene was present in 33 S. Infantis isolates while mcr-1 was negative in 10 colistin resistant isolates. This study provides the first set of scientific data on prevalence and multidrug-resistant Salmonella coming from commercial poultry in Ecuador.

  6. Antimicrobial resistance in commensal Escherichia coli isolated from animals at slaughter

    Science.gov (United States)

    Wasyl, Dariusz; Hoszowski, Andrzej; Zając, Magdalena; Szulowski, Krzysztof

    2013-01-01

    Monitoring of antimicrobial resistance in commensal Escherichia coli (N = 3430) isolated from slaughtered broilers, laying hens, turkeys, swine, and cattle in Poland has been run between 2009 and 2012. Based on minimal inhibitory concentration (MIC) microbiological resistance to each of 14 tested antimicrobials was found reaching the highest values for tetracycline (43.3%), ampicillin (42.3%), and ciprofloxacin (39.0%) whereas the lowest for colistin (0.9%), cephalosporins (3.6 ÷ 3.8%), and florfenicol (3.8%). The highest prevalence of resistance was noted in broiler and turkey isolates, whereas it was rare in cattle. That finding along with resistance patterns specific to isolation source might reflect antimicrobial consumption, usage preferences or management practices in specific animals. Regression analysis has identified changes in prevalence of microbiological resistance and shifts of MIC values. Critically important fluoroquinolone resistance was worrisome in poultry isolates, but did not change over the study period. The difference (4.7%) between resistance to ciprofloxacin and nalidixic acid indicated the scale of plasmid-mediated quinolone resistance. Cephalosporin resistance were found in less than 3.8% of the isolates but an increasing trends were observed in poultry and MIC shift in the ones from cattle. Gentamycin resistance was also increasing in E. coli of turkey and cattle origin although prevalence of streptomycin resistance in laying hens decreased considerably. Simultaneously, decreasing MIC for phenicols observed in cattle and layers isolates as well as tetracycline values in E. coli from laying hens prove that antimicrobial resistance is multivariable phenomenon not only directly related to antimicrobial usage. Further studies should elucidate the scope of commensal E. coli as reservoirs of resistance genes, their spread and possible threats for human and animal health. PMID:23935596

  7. Antimicrobial resistance in commensal Escherichia coli isolated from animals at slaughter

    Directory of Open Access Journals (Sweden)

    Dariusz eWasyl

    2013-08-01

    Full Text Available Monitoring of antimicrobial resistance in commensal Escherichia coli (N = 3430 isolated from slaughtered broilers, laying hens, turkeys, swine, and cattle in Poland has been run between 2009 and 2012. Based on minimal inhibitory concentration (MIC microbiological resistance to each of 14 tested antimicrobials was found reaching the highest values for tetracycline (43.3%, ampicillin (42.3%, and ciprofloxacin (39.0% whereas the lowest for colistin (0.9%, cephalosporins (3.6 ÷ 3.8%, and florfenicol (3.8%. The highest prevalence of resistance was noted in broiler and turkey isolates, whereas it was rare in cattle. That finding along with resistance patterns specific to isolation source might reflect antimicrobial consumption, usage preferences or management practices in specific animals. Regression analysis has identified changes in prevalence of microbiological resistance and shifts of MIC values. Critically important fluoroquinolone resistance was worrisome in poultry isolates, but did not change over the study period. The difference (4.7% between resistance to ciprofloxacin and nalidixic acid indicated the scale of plasmid-mediated quinolone resistance. Cephalosporin resistance were found in less than 3.8% of the isolates but an increasing trends were observed in poultry and MIC shift in the ones from cattle. Gentamycin resistance was also increasing in E. coli of turkey and cattle origin although prevalence of streptomycin resistance in laying hens decreased considerably. Simultaneously, decreasing MIC for phenicols observed in cattle and layers isolates as well as tetracycline values in E. coli from laying hens prove that antimicrobial resistance is multivariable phenomenon not only directly related to antimicrobial usage. Further studies should elucidate the scope of commensal E. coli as reservoirs of resistance genes, their spread and possible threats for human and animal health.

  8. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    International Nuclear Information System (INIS)

    Tao Ran; Ying Guangguo; Su Haochang; Zhou Hongwei; Sidhu, Jatinder P.S.

    2010-01-01

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  9. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    Energy Technology Data Exchange (ETDEWEB)

    Tao Ran [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Ying Guangguo, E-mail: guangguo.ying@gmail.co [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Su Haochang [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Zhou Hongwei [Department of Environmental Health, School of Public Health and Tropical Medicine, Southern Medical University, 1838 North Guangzhou Street, Baiyun District, Guangzhou 510515 (China); Sidhu, Jatinder P.S. [CSIRO Land and Water, Queensland Bioscience Precinct, 306 Carmody Road, St Lucia QLD 4067 (Australia)

    2010-06-15

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  10. Prevalence, antibiotic-resistance properties and enterotoxin gene ...

    African Journals Online (AJOL)

    milk-based infant foods in Iran, represent an important public health issue which should be considered ... Keywords: Prevalence, Bacillus cereus, Antibiotic resistance, Enterotoxigenic genes, Milk-based infant food. Tropical Journal of Pharmaceutical Research is indexed by Science ..... and cereals collected in Korea.

  11. A cross-sectional study examining the prevalence and risk factors for anti-microbial-resistant generic Escherichia coli in domestic dogs that frequent dog parks in three cities in south-western Ontario, Canada.

    Science.gov (United States)

    Procter, T D; Pearl, D L; Finley, R L; Leonard, E K; Janecko, N; Reid-Smith, R J; Weese, J S; Peregrine, A S; Sargeant, J M

    2014-06-01

    Anti-microbial resistance can threaten health by limiting treatment options and increasing the risk of hospitalization and severity of infection. Companion animals can shed anti-microbial-resistant bacteria that may result in the exposure of other dogs and humans to anti-microbial-resistant genes. The prevalence of anti-microbial-resistant generic Escherichia coli in the faeces of dogs that visited dog parks in south-western Ontario was examined and risk factors for shedding anti-microbial-resistant generic E. coli identified. From May to August 2009, canine faecal samples were collected at ten dog parks in three cities in south-western Ontario, Canada. Owners completed a questionnaire related to pet characteristics and management factors including recent treatment with antibiotics. Faecal samples were collected from 251 dogs, and 189 surveys were completed. Generic E. coli was isolated from 237 of the faecal samples, and up to three isolates per sample were tested for anti-microbial susceptibility. Eighty-nine percent of isolates were pan-susceptible; 82.3% of dogs shed isolates that were pan-susceptible. Multiclass resistance was detected in 7.2% of the isolates from 10.1% of the dogs. Based on multilevel multivariable logistic regression, a risk factor for the shedding of generic E. coli resistant to ampicillin was attending dog day care. Risk factors for the shedding of E. coli resistant to at least one anti-microbial included attending dog day care and being a large mixed breed dog, whereas consumption of commercial dry and home cooked diets was protective factor. In a multilevel multivariable model for the shedding of multiclass-resistant E. coli, exposure to compost and being a large mixed breed dog were risk factors, while consumption of a commercial dry diet was a sparing factor. Pet dogs are a potential reservoir of anti-microbial-resistant generic E. coli; some dog characteristics and management factors are associated with the prevalence of anti-microbial-resistant

  12. Pathogenicity determinants and antibiotic resistance profiles of enterococci from foods of animal origin in Turkey.

    Science.gov (United States)

    Elal Mus, Tulay; Cetinkaya, Figen; Cibik, Recep; Soyutemiz, Gul Ece; Simsek, Husniye; Coplu, Nilay

    2017-12-01

    In this study, the presence of genes responsible for the pathogenicity and antibiotic resistance profile of enterococci isolated from various foodstuffs of animal origin was investigated. The percentage prevalence of enterococci was 54.1% (203/375) and the average count was found to be 3.81 log cfu/ml-g. Species-specific primers revealed Enterococcus faecalis as the predominant species carrying one or more virulence-associated traits of efa, gelE, ace, esp and agg genetic markers. Only one E. faecium isolate (from milk) was positive for the esp gene. Regarding antibiotic resistance, the highest frequency of resistance was observed for tetracycline (21.7%), followed by quinupristin/dalfopristin (13.3%), ciprofloxacin (2.0%), penicillin (2.0%), linezolid (1.0%), ampicillin (1.0%), streptomycin (1.0%), and gentamicin (0.5%). Enterococcus faecalis showed a higher prevalence of antibiotic resistance than other enterococci. The percentage of multidrug resistance among the isolates was 3.4%. Twenty-nine E. faecalis isolates (26.6%) carrying one of the virulence-associated traits were at the same time resistant to at least one antibiotic. Our results show that foods of animal origin, including ready-to-eat products, may be reservoirs of antibiotic-resistant and potentially virulent enterococci.

  13. Prevalence and Antimicrobial Resistance Profile of Listeria Species Isolated from Farmed and On-Sale Rainbow Trout ( Oncorhynchus mykiss) in Western Iran.

    Science.gov (United States)

    Rezai, Ramin; Ahmadi, Elham; Salimi, Behnam

    2018-05-01

    Listeria species are important foodborne pathogens, among which L. monocytogenes and L. ivanovii cause human listeriosis. The purpose of this study was to evaluate the incidence and antimicrobial resistance profiles of Listeria species in farmed and on-sale rainbow trout ( Oncorhynchus mykiss) in Kurdistan province, western Iran. A total of 240 fresh rainbow trout fish (120 samples from farms and 120 samples from retail outlets) were collected and analyzed phenotypically for the presence of Listeria. All Listeria isolates were differentiated with molecular techniques, and L. monocytogenes strains were identified to serotype. The antibiotic susceptibility of all Listeria isolates also was determined. Among the 240 samples, 86 (35.83%) were contaminated with Listeria: 32 samples of farmed fish and 54 samples of on-sale fish. The prevalence among the 240 samples was 9.16% (22 samples) for L. monocytogenes, 6.66% (16 samples) for L. ivanovii, 3.75% (9 samples) for L. welshimeri, 4.99% (12 samples) for L. grayi, 7.5% (18 samples) for L. innocua, and 3.75% (9 samples) for L. seeligeri. The prevalences of the human pathogenic strains L. monocytogenes and L. ivanovii were 4.16% (5 samples) and 14.16% (17 samples) in farmed fish and 5.83% (7 samples) and 7.5% (9 samples) in on-sale fish, respectively. Of the 22 L. monocytogenes isolates, 15, 3, and 4 were identified as serotypes 4b, 1/2a, and 1/2b, respectively. The highest rates of antibiotic resistance among the 86 Listeria isolates was observed against tetracycline (62.79% of all isolates), enrofloxacin (56.97%), and ciprofloxacin (38.37%). Very high resistance was also detected against penicillin (36.04%) and ampicillin (34.88%). These results highlight the potential public health threat posed by fish contaminated with Listeria species, including L. monocytogenes, in the west of Iran. Regular monitoring of Listeria contamination, upgrading of sanitary conditions in the fish industry, and prudent use of antibiotics is

  14. T.E.A. Study: three-day ertapenem versus three-day Ampicillin-Sulbactam.

    Science.gov (United States)

    Catena, Fausto; Vallicelli, Carlo; Ansaloni, Luca; Sartelli, Massimo; Di Saverio, Salomone; Schiavina, Riccardo; Pasqualini, Eddi; Amaduzzi, Annalisa; Coccolini, Federico; Cucchi, Michele; Lazzareschi, Daniel; Baiocchi, Gian Luca; Pinna, Antonio D

    2013-04-30

    Intra-abdominal infections are one of the most common infections encountered by a general surgeon. However, despite this prevalence, standardized guidelines outlining the proper use of antibiotic therapy are poorly defined due to a lack of clinical trials investigating the ideal duration of antibiotic treatment. The aim of this study is to compare the efficacy and safety of a three-day treatment regimen of Ampicillin-Sulbactam to that of a three-day regimen of Ertapenem in patients with localized peritonitis ranging from mild to moderate severity. This study is a prospective, multi-center, randomized investigation performed in the Department of General, Emergency, and Transplant Surgery of St. Orsola-Malpighi University Hospital in Bologna, Italy. Discrete data were analyzed using the Chi-squared and Fisher exact tests. Differences between the two study groups were considered statistically significant for p-values less than 0.05. 71 patients were treated with Ertapenem and 71 patients were treated with Ampicillin-Sulbactam. The two groups were comparable in terms of age and gender as well as the site of abdominal infection. Post-operative infection was identified in 12 patients: 10 with wound infections and 2 with intra-abdominal infections. In the Ertapenem group, 69 of the 71 patients (97%) were treated successfully, while the therapy failed in 2 cases (3%). Therapy failures were more frequent in the Unasyn group, amounting to 10 of 71 cases (p = 0.03). According to these preliminary findings, the authors conclude that a three-day Ertapenem treatment regimen is the most effective antibiotic therapy for patients with localized intra-abdominal infections ranging from mild to moderate severity. Trial registration: ClinicalTrials.gov: NCT00630513.

  15. spa typing and antimicrobial resistance of Staphylococcus aureus from healthy humans, pigs and dogs in Tanzania.

    Science.gov (United States)

    Katakweba, Abdul Sekemani; Muhairwa, Amandus Pachificus; Espinosa-Gongora, Carmen; Guardabassi, Luca; Mtambo, Madundo M A; Olsen, John Elmerdahl

    2016-02-28

    Staphylococcus aureus is an opportunistic pathogen causing infections in humans and animals. Here we report for the first time the prevalence of nasal carriage, spa typing and antimicrobial resistance of S. aureus in a Tanzanian livestock community. Nasal swabs were taken from 100 humans, 100 pigs and 100 dogs in Morogoro Municipal. Each swab was enriched in Mueller Hinton broth with 6.5% NaCl and subcultured on chromogenic agar for S. aureus detection. Presumptive S. aureus colonies were confirmed to the species level by nuc PCR and analysed by spa typing. Antimicrobial susceptibility patterns were determined by disc diffusion method. S. aureus was isolated from 22% of humans, 4% of pigs and 11% of dogs. A total of 21 spa types were identified: 13, 7 and 1 in human, dogs, and pigs, respectively. Three spa types (t314, t223 and t084) were shared between humans and dogs. A novel spa type (t10779) was identified in an isolate recovered from a colonized human. Antimicrobials tested revealed resistance to ampicillin in all isolates, moderate resistances to other antimicrobials with tetracycline resistance being the most frequent. S. aureus carrier frequencies in dogs and humans were within the expected range and low in pigs. The S. aureus spa types circulating in the community were generally not shared by different hosts and majority of types belonged to known clones. Besides ampicillin resistance, moderate levels of antimicrobial resistance were observed irrespective of the host species from which the strains were isolated.

  16. Prevalence and determinants of resistant hypertension among ...

    African Journals Online (AJOL)

    Tropical Journal of Pharmaceutical Research ... Prevalence and determinants of resistant hypertension among hypertensive patients attending a cardiology clinic in ... Obese patients and those suffering from diabetes mellitus, ischemic heart ... By Country · List All Titles · Free To Read Titles This Journal is Open Access.

  17. Prevalence and antimicrobial resistance pattern of coagulase ...

    African Journals Online (AJOL)

    Prevalence and antimicrobial resistance pattern of coagulase negative Staphylococci isolated from pigs and in-contact humans in Jos Metropolis, Nigeria. ... (53/401) of the isolates were CoNS species based on confirmatory test with Microgen biochemical kit and were further subjected to antibiotic susceptibility testing.

  18. Decreasing prevalence of multi-drugs resistant Mycobacterium tuberculosis in Nashik City, India

    OpenAIRE

    More, Arun Punaji; Nagdawane, Ramkrishna Panchamrao; Gangurde, Aniket K

    2013-01-01

    Objective: In India, increasing prevalence of multi-drug resistant tuberculosis (MDR) has aggravated the control oftuberculosis problem. In many urban and semi-urban regions of India, no surveillance data of multidrug resistance inMycobacterium tuberculosisis available.Methods: A surveillance study on multidrug resistance was carried out in semi-urban and rural regions in and aroundNashik City of Maharashtra, India. The surveillance study was conducted in this region found that the prevalence...

  19. Prevalence of drug resistant tuberculosis in Arsi Zone, Ethiopia ...

    African Journals Online (AJOL)

    Background: Wide spread of occurrence of multi-drug resistance tuberculosis is becoming a major challenge to effective tuberculosis control. Thus, it is imperative to monitor the sensitivity of anti-TB drugs regularly. Objective: To determine the prevalence resistance to anti-TB drugs in a well established control program area ...

  20. Aspirin resistance: Prevalence and clinical outcome in Egypt

    Directory of Open Access Journals (Sweden)

    Ahmed Salah

    2015-04-01

    Results: Prevalence of aspirin resistance was 48% in our study group. Aspirin resistance was significantly higher in patients with family history of CAD (p = 0.044, smoking (p = 0.011, history of MI (p = 0.024, history of percutaneous coronary intervention (PCI (p = 0.001, and concomitant NSAIDs intake (p = 0.047. Moreover, aspirin resistance was more common among patients with multi-vessel CAD (p = 0.024. Aspirin-resistant patients had a significantly higher rate of UA (p = 0.001 and all major adverse cardiac events (MACE (p < 0.001.

  1. An evaluation of E. coli in urinary tract infection in emergency department at KAMC in Riyadh, Saudi Arabia: retrospective study.

    Science.gov (United States)

    Alanazi, Menyfah Q; Alqahtani, Fulwah Y; Aleanizy, Fadilah S

    2018-02-09

    Urinary tract infection (UTIS) is a common infectious disease in which level of antimicrobial resistance are alarming worldwide. Therefore, this study aims to describe the prevalence and the resistance pattern of the main bacteria responsible for UTIS Escherichia coli (E. coli). Retrospective chart review for patients admitted to emergency department and diagnosed with UTIS at KAMC, in Riyadh, Saudi Arabia between January to March 2008 was performed. Antimicrobial susceptibility to ampicillin, augmentin (amoxicillin/clavulanate), cefazolin, co-trimoxazole (sulfamethoxazole/trimethoprim), ciprofloxacin, and nitrofurantoin, and cefpodoxime was determined for 101 E. coli urinary isolates. Escherichia coli was the most prevalent pathogen contributing to UTIS representing 93.55, 60.24, and 45.83% of all pathogen isolated from urine culture of pediatric, adult, and elderly, respectively. High rates of resistance to ampicillin (82.76, 58, and 63.64%) and co-trimoxazole (51.72, 42, and 59.09%), among E. coli isolated from pediatric, adult and elderly respectively. Nitrofurantoin was the most active agent, followed by ciprofloxacin, augmentin and cefazolin. 22.77% of E. coli isolates exhibited multiple drug resistance (MDR). Among 66 and 49 isolates resistant to ampicillin and co-trimoxazole, respectively, 34.84 and 42.85% were MDR. In contrast, all isolates resistant to augmentin and nitrofurantoin were MRD, while 72.7 and 82.4% of isolates resistant to ciprofloxacin and cefazolin were MDR. High resistance was observed to ampicillin and co-trimoxazole which commonly used as empirical treatments for UTIS, limiting their clinical use. This necessitates continuous surveillance for resistance pattern of uropathogens against antibiotics.

  2. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    Science.gov (United States)

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  3. Organisms cultured and resistance patterns seen in a secondary ...

    African Journals Online (AJOL)

    21% for piperacillin-tazobactam, 2% for ertapenem and. 19% for meropenem). When looking at individual species, it was noted that K. pneumoniae had high resistance to ampicillin (97%), moderate resistance to co-amoxiclav and ciprofloxacin ...

  4. Prevalence and resistance of commensal Staphylococcus aureus, including meticillin-resistant S aureus, in nine European countries: a cross-sectional study.

    NARCIS (Netherlands)

    Heijer, C.D.J. den; Bijnen, E.M.E. van; Paget, W.J.; Pringle, M.; Goossen, H.; Bruggeman, C.A.; Schellevis, F.G.; Stobberingh, E.E.

    2013-01-01

    Background: Information about the prevalence of Staphylococcus aureus resistance to antimicrobial drugs has mainly been obtained from invasive strains, although the commensal microbiota is thought to be an important reservoir of resistance. We aimed to compare the prevalence of nasal S aureus

  5. Prevalence of antibiotic resistance genes in bacterial communities associated with Cladophora glomerata mats along the nearshore of Lake Ontario.

    Science.gov (United States)

    Ibsen, Michael; Fernando, Dinesh M; Kumar, Ayush; Kirkwood, Andrea E

    2017-05-01

    The alga Cladophora glomerata can erupt in nuisance blooms throughout the lower Great Lakes. Since bacterial abundance increases with the emergence and decay of Cladophora, we investigated the prevalence of antibiotic resistance (ABR) in Cladophora-associated bacterial communities up-gradient and down-gradient from a large sewage treatment plant (STP) on Lake Ontario. Although STPs are well-known sources of ABR, we also expected detectable ABR from up-gradient wetland communities, since they receive surface run-off from urban and agricultural sources. Statistically significant differences in aquatic bacterial abundance and ABR were found between down-gradient beach samples and up-gradient coastal wetland samples (ANOVA, Holm-Sidak test, p Cladophora sampled near the STP had the highest bacterial densities overall, including on ampicillin- and vancomycin-treated plates. However, quantitative polymerase chain reaction analysis of the ABR genes ampC, tetA, tetB, and vanA from environmental communities showed a different pattern. Some of the highest ABR gene levels occurred at the 2 coastal wetland sites (vanA). Overall, bacterial ABR profiles from environmental samples were distinguishable between living and decaying Cladophora, inferring that Cladophora may control bacterial ABR depending on its life-cycle stage. Our results also show how spatially and temporally dynamic ABR is in nearshore aquatic bacteria, which warrants further research.

  6. Prevalence of antimicrobial resistance in fecal Escherichia coli and Salmonella enterica in Canadian commercial meat, companion, laboratory, and shelter rabbits (Oryctolagus cuniculus) and its association with routine antimicrobial use in commercial meat rabbits.

    Science.gov (United States)

    Kylie, Jennifer; McEwen, Scott A; Boerlin, Patrick; Reid-Smith, Richard J; Weese, J Scott; Turner, Patricia V

    2017-11-01

    Antimicrobial resistance (AMR) in zoonotic (e.g. Salmonella spp.), pathogenic, and opportunistic (e.g. E. coli) bacteria in animals represents a potential reservoir of antimicrobial resistant bacteria and resistance genes to bacteria infecting humans and other animals. This study evaluated the prevalence of E. coli and Salmonella enterica, and the presence of associated AMR in commercial meat, companion, research, and shelter rabbits in Canada. Associations between antimicrobial usage and prevalence of AMR in bacterial isolates were also examined in commercial meat rabbits. Culture and susceptibility testing was conducted on pooled fecal samples from weanling and adult commercial meat rabbits taken during both summer and winter months (n=100, 27 farms), and from pooled laboratory (n=14, 8 laboratory facilities), companion (n=53), and shelter (n=15, 4 shelters) rabbit fecal samples. At the facility level, E. coli was identified in samples from each commercial rabbit farm, laboratory facility, and 3 of 4 shelters, and in 6 of 53 companion rabbit fecal samples. Seventy-nine of 314 (25.2%; CI: 20.7-30.2%) E. coli isolates demonstrated resistance to >1 antimicrobial agent. At least one E. coli isolate resistant to at least one antimicrobial agent was present in samples from 55.6% of commercial farms, and from 25% of each laboratory and shelter facilities, with resistance to tetracycline being most common; no resistance was identified in companion animal samples. Salmonella enterica subsp. was identified exclusively in pooled fecal samples from commercial rabbit farms; Salmonella enterica serovar London from one farm and Salmonella enterica serovar Kentucky from another. The S. Kentucky isolate was resistant to amoxicillin/clavulanic acid, ampicillin, cefoxitin, ceftiofur, ceftriaxone, streptomycin, and tetracycline, whereas the S. London isolate was pansusceptible. Routine use of antimicrobials on commercial meat rabbit farms was not significantly associated with the

  7. Prevalence of bovine mastitis and multi-antibiotic resistant ...

    African Journals Online (AJOL)

    Prevalence of bovine mastitis and multi-antibiotic resistant Staphylococcus and ... Bulletin of Animal Health and Production in Africa ... their antibiotic sensitivities and management practices of sahiwal and dairy cattle kept at a centre of Kenya ...

  8. Prevalence, characterization, and antimicrobial resistance of Yersinia species and Yersinia enterocolitica isolated from raw milk in farm bulk tanks.

    Science.gov (United States)

    Jamali, Hossein; Paydar, Mohammadjavad; Radmehr, Behrad; Ismail, Salmah

    2015-02-01

    The aims of this study were to investigate the prevalence and to characterize and determine the antibiotic resistance of Yersinia spp. isolates from raw milk. From September 2008 to August 2010, 446 raw milk samples were obtained from farm bulk milk tanks in Varamin, Iran. Yersinia spp. were detected in 29 (6.5%) samples, out of which 23 (79.3%), 5 (17.2%), and 1 (3.4%) were isolated from cow, sheep, and goat raw milk, respectively. The most common species isolated was Yersinia enterocolitica (65.5%), followed by Yersinia frederiksenii (31%), and Yersinia kristensenii (3.4%). Of the 19 Y. enterocolitica isolates, 14 (73.7%) were grouped into bioserotype 1A/O:9, 4 (21.1%) belonged to bioserotype 1B:O8, 1 (5.3%) belonged to bioserotype 4/O:3, and 1 isolate (biotype 1A) was not typable. All the isolates of biotypes 1B and 4harbored both the ystA and ail genes. However, all the isolates of biotype 1A were only positive for the ystB gene. The tested Yersinia spp. showed the highest percentages of resistance to tetracycline (48.3%), followed by ciprofloxacin and cephalothin (each 17.2%), ampicillin (13.8%), streptomycin (6.9%), and amoxicillin and nalidixic acid (each 3.4%). All of the tested isolates demonstrated significant sensitivity to gentamicin and chloramphenicol. Recovery of potentially pathogenic Y. enterocolitica from raw milk indicates high risks of yersiniosis associated with consumption of raw milk. Copyright © 2015 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  9. Antibiotic resistant bacteria in urban sewage: Role of full-scale wastewater treatment plants on environmental spreading.

    Science.gov (United States)

    Turolla, A; Cattaneo, M; Marazzi, F; Mezzanotte, V; Antonelli, M

    2018-01-01

    The presence of antibiotic resistant bacteria (ARB) in wastewater was investigated and the role of wastewater treatment plants (WWTPs) in promoting or limiting antibiotic resistance was assessed. Escherichia coli (E. coli) and total heterotrophic bacteria (THB) resistance to ampicillin, chloramphenicol and tetracycline was monitored in three WWTPs located in Milan urban area (Italy), differing among them for the operating parameters of biological process, for the disinfection processes (based on sodium hypochlorite, UV radiation, peracetic acid) and for the discharge limits to be met. Wastewater was collected from three sampling points along the treatment sequence (WWTP influent, effluent from sand filtration, WWTP effluent). Antibiotic resistance to ampicillin was observed both for E. coli and for THB. Ampicillin resistant bacteria in the WWTP influents were 20-47% of E. coli and 16-25% of THB counts. A limited resistance to chloramphenicol was observed only for E. coli, while neither for E. coli nor for THB tetracycline resistance was observed. The biological treatment and sand filtration led to a decrease in the maximum percentage of ampicillin-resistant bacteria (20-29% for E. coli, 11-21% for THB). However, the conventionally adopted parameters did not seem adequate to support an interpretation of WWTP role in ARB spread. Peracetic acid was effective in selectively acting on antibiotic resistant THB, unlike UV radiation and sodium hypochlorite. The low counts of E. coli in WWTP final effluents in case of agricultural reuse did not allow to compare the effect of the different disinfection processes on antibiotic resistance. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. [Resistence of Escherichia coli, the most frequent cause of urinary tract infection in children, to antibiotics].

    Science.gov (United States)

    Stojanović, Vesna; Milosević, Biljana

    2010-01-01

    Urinary tract infections (UTI) take the second place in the incidence of bacterial infection in children. Escherichia coli is a cause of infection in 85-90%. A periodic evaluation of the resistance to antimicrobial drugs has to be performed in each geographic region, since investigations confirmed that the resistance of bacteria causing UTI has been in progress. A retrospective investigation has been performed, comprising the two time periods in the range of 10 years in order to identify the prevalence and resistance of the bacteria causing UTI in the patients treated at the Department of Nephrology of Institute for Child and Youth Health Care of Vojvodina. During the first investigated period from January 1996 up to December 1997, there were 163 urin analyses performed vs 134 urine analyses in the second period, starting from January 2006 to December 2007. In both periods, Escherichia coli, was the most frequent cause of UTI (82.1% in 1996/97 vs 86.50% in 2006/07). During this ten-year period, the resistance of Escherichia coli increased both to ampicillin (from 53% to 69% (p > 0.05) and to trimethoprim/sulfamethoxazole (34% vs 55%; p resistance to ceftazidim, gentamycin and nalidixic acid, but significant increase to ampicillin, trimethoprim/sulfamethoxazole and cephalexin. For the initial therapy of UTI in the Province of Vojvodina we recommend: perorally--ephalosporins I, II and III generation, and in case when the child is not capable to get therapy perorally, or in the case of highly febrile infant--ephalosporins III generation parenterally.

  11. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    OpenAIRE

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high lev...

  12. Microarray Evaluation of Antimicrobial Resistance and Virulence of Escherichia coli Isolates from Portuguese Poultry

    Directory of Open Access Journals (Sweden)

    Nuno Mendonça

    2016-01-01

    Full Text Available The presence of antimicrobial resistance and virulence factors of 174 Escherichia coli strains isolated from healthy Portuguese Gallus gallus was evaluated. Resistance profiles were determined against 33 antimicrobials by microbroth dilution. Resistance was prevalent for tetracycline (70% and ampicillin (63%. Extended-spectrum beta-lactamase (ESBL phenotype was observed in 18% of the isolates. Multidrug resistance was found in 56% of isolates. A subset of 74 isolates were screened by DNA microarrays for the carriage of 88 antibiotic resistance genes and 62 virulence genes. Overall, 37 different resistance genes were detected. The most common were tet(A (72%, blaTEM (68%, and sul1 (47%, while 21% isolates harbored an ESBL gene (blaCTX-M group 1, group 2, or group 9. Of these, 96% carried the increased serum survival (iss virulence gene, while 89% presented the enterobactin siderophore receptor protein (iroN, 70% the temperature-sensitive hemagglutinin (tsh, and 68% the long polar fimbriae (lpfA virulence genes associated with extraintestinal pathogenic E. coli. In conclusion, prevalence of antibiotic resistant E. coli from the microbiota of Portuguese chickens was high, including to extended spectrum cephalosporins. The majority of isolates seems to have the potential to trigger extraintestinal human infection due to the presence of some virulence genes. However, the absence of genes specific for enteropathogenic E. coli reduces the risk for human intestinal infection.

  13. Effects of ampicillin/sulbactam and enrofloxacin on the blood pressure of isoflurane anesthetized dogs.

    Science.gov (United States)

    Moorer, Jeremiah D; Towle-Millard, Heather A; Gross, Marjorie E; Payton, Mark E

    2013-01-01

    A blinded, prospective, randomized crossover study was performed to determine the effects of ampicillin Na/sulbactam Na and enrofloxacin on the blood pressure (BP) of healthy anesthetized dogs. Eight dogs were anesthetized three different times. They randomly received enrofloxacin, ampicillin Na/sulbactam Na, and saline. Systolic, diastolic, and mean arterial BPs (SAP, DAP, and MAP, respectively), heart rate (HR), O2 saturation of hemoglobin, end-tidal CO2 (ETCO2) concentration, inspired isoflurane concentration, end-tidal isoflurane (ETiso) concentration, respiratory rate, electrocardiogram, and body temperature were measured for 20 min prior to administration of treatment, during administration over 30 min, and for 30 min after administration. There was no significant difference in the SAP or ETiso. There was no significant change in the arterial pressure values over time in the enrofloxacin and ampicillin Na/sulbactam Na groups. The control group's MAP increased over time and was increased compared with the enrofloxacin group at times 25, 35, 45, and 55. The statistical difference between the enrofloxacin and the control groups was due to an increase in the MAP in the control group, not a decrease in the enrofloxacin group's BP. Neither enrofloxacin nor ampicillin Na/sulbactam Na caused hypotension in healthy dogs anesthetized with isoflurane and fentanyl.

  14. Prophylactic ampicillin versus cefazolin for the prevention of post-cesarean infectious morbidity in Rwanda.

    Science.gov (United States)

    Mivumbi, Victor N; Little, Sarah E; Rulisa, Stephen; Greenberg, James A

    2014-03-01

    To evaluate the efficacy of ampicillin versus cefazolin as prophylactic antibiotics prior to cesarean delivery in Rwanda. In a prospective, randomized, open-label, single-site study conducted between March and May 2012, the effects of prophylactic ampicillin versus cefazolin were compared among women undergoing cesarean delivery at the Centre Hospitalier Universitaire de Kigali, Rwanda. Postoperatively, participants were evaluated daily for infectious morbidity while in the hospital. Follow-up was done by phone and by appointment at the hospital within 2 weeks of delivery. During the study period, there were 578 total deliveries and 234 cesarean deliveries (40.4%). Overall, 132 women were enrolled in the study and randomized to receive either ampicillin (n=66) or cefazolin (n=66). No women were lost to follow-up. The overall infection rate was 15.9% (21/132). The infection rate in the ampicillin group and the cefazolin group was 25.8% (17/66) and 6.1% (4/66), respectively. Implementing a universal protocol in Rwanda of prophylactic cefazolin prior to cesarean delivery might reduce postoperative febrile morbidity, use of postoperative antibiotics, and number of postoperative days in hospital. Copyright © 2013 International Federation of Gynecology and Obstetrics. Published by Elsevier Ireland Ltd. All rights reserved.

  15. Fluorescent ampicillin analogues as multifunctional disguising agents against opsonization

    Science.gov (United States)

    Kotagiri, Nalinikanth; Sakon, Joshua; Han, Haewook; Zharov, Vladimir P.; Kim, Jin-Woo

    2016-06-01

    Cancer nanomedicines are opening new paradigms in cancer management and recent research points to how they can vastly improve imaging and therapy through multimodality and multifunctionality. However, challenges to achieving optimal efficacy are manifold starting from processing materials and evaluating their intended effectiveness on biological tissue, to developing new strategies aimed at improving transport of these materials through the biological milieu to the target tissue. Here, we report a fluorescent derivative of a beta-lactam antibiotic, ampicillin (termed iAmp) and its multifunctional physicobiochemical characteristics and potential as a biocompatible shielding agent and an effective dispersant. Carbon nanotubes (CNTs) were chosen to demonstrate the efficacy of iAmp. CNTs are known for their versatility and have been used extensively for cancer theranostics as photothermal and photoacoustic agents, but have limited solubility in water and biocompatibility. Traditional dispersants are associated with imaging artifacts and are not fully biocompatible. The chemical structure of iAmp is consistent with a deamination product of ampicillin. Although the four-membered lactam ring is intact, it does not retain the antibiotic properties. The iAmp is an effective dispersant and simultaneously serves as a fluorescent label for single-walled CNTs (SWNTs) with minimal photobleaching. The iAmp also enables bioconjugation of SWNTs to bio-ligands such as antibodies through functional carboxyl groups. Viability tests show that iAmp-coated SWNTs have minimal toxicity. Bio-stability tests under physiological conditions reveal that iAmp coating not only remains stable in a biologically relevant environment with high protein and salt concentrations, but also renders SWNTs transparent against nonspecific protein adsorption, also known as protein corona. Mammalian tissue culture studies with macrophages and opsonins validate that iAmp coating affords immunological resistance

  16. Prevalence of the insulin resistance syndrome in obesity

    OpenAIRE

    Viner, R; Segal, T; Lichtarowicz-Kryn..., E; Hindmarsh, P

    2005-01-01

    Aims: To assess prevalence of the insulin resistance syndrome (IRS: obesity, abnormal glucose homoeostasis, dyslipidaemia, and hypertension) in obese UK children and adolescents of different ethnicities and to assess whether fasting data is sufficient to identify IRS in childhood obesity.

  17. Antimicrobial resistance of Campylobacter jejuni and Campylobacter coli from poultry in Italy.

    Science.gov (United States)

    Giacomelli, Martina; Salata, Cristiano; Martini, Marco; Montesissa, Clara; Piccirillo, Alessandra

    2014-04-01

    This study was aimed at assessing the antimicrobial resistance (AMR) of Campylobacter isolates from broilers and turkeys reared in industrial farms in Northern Italy, given the public health concern represented by resistant campylobacters in food-producing animals and the paucity of data about this topic in our country. Thirty-six Campylobacter jejuni and 24 Campylobacter coli isolated from broilers and 68 C. jejuni and 32 C. coli from turkeys were tested by disk diffusion for their susceptibility to apramycin, gentamicin, streptomycin, cephalothin, cefotaxime, ceftiofur, cefuroxime, ampicillin, amoxicillin+clavulanic acid, nalidixic acid, flumequine, enrofloxacin, ciprofloxacin, erythromycin, tilmicosin, tylosin, tiamulin, clindamycin, tetracycline, sulfamethoxazole+trimethoprim, chloramphenicol. Depending on the drug, breakpoints provided by Comité de l'antibiogramme de la Société Française de Microbiologie, Clinical and Laboratory Standards Institute, and the manufacturer were followed. All broiler strains and 92% turkey strains were multidrug resistant. Very high resistance rates were detected for quinolones, tetracycline, and sulfamethoxazole+trimethoprim, ranging from 65% to 100% in broilers and from 74% to 96% in turkeys. Prevalence of resistance was observed also against ampicillin (97% in broilers, 88% in turkeys) and at least three cephalosporins (93-100% in broilers, 100% in turkeys). Conversely, no isolates showed resistance to chloramphenicol and tiamulin. Susceptibility prevailed for amoxicillin+clavulanic acid and aminoglycosides in both poultry species, and for macrolides and clindamycin among turkey strains and among C. jejuni from broilers, whereas most C. coli strains from broilers (87.5%) were resistant. Other differences between C. jejuni and C. coli were observed markedly in broiler isolates, with the overall predominance of resistance in C. coli compared to C. jejuni. This study provides updates and novel data on the AMR of broiler and

  18. Multidrug resistance in Clostridium perfringens isolated from diarrheal neonatal piglets in Thailand.

    Science.gov (United States)

    Ngamwongsatit, Bhinyada; Tanomsridachchai, Wimonrat; Suthienkul, Orasa; Urairong, Supanee; Navasakuljinda, Wichian; Janvilisri, Tavan

    2016-04-01

    Clostridium perfringens causes diarrhea in neonatal piglets, thereby affecting commercial swine farming. The objective of this study was to determine the prevalence and characterize antimicrobial resistance in C. perfringens isolated from diarrheal neonatal piglets in Thailand. A total of 260 rectal swab samples were collected from 13 farms and were subjected to C. perfringens isolation. A total of 148 samples were PCR-positive for C. perfringens toxin genes, from which 122 were recovered. All isolates were cpb2-encoding C. perfringens type A and enterotoxin gene negative. Most of the isolates were susceptible to ampicillin, bacitracin, chlorotetracycline, doxycycline, and oxytetracycline with MIC50 values ranging from 0.32 to 8 μg/ml. The high resistance rates were observed for ceftiofur, enrofloxacin, erythromycin, lincomycin, and tylosin. Among resistant isolates, 82% were resistant to more than one type of antibiotics. The distinct pattern of multiple drug resistance in C. perfringens was observed in different regions, potentially reflecting the farm specific usage of these agents. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. Prevalence and Antimicrobial Susceptibility of Coagulase-Negative Staphylococci isolated from Bovine Mastitis

    Directory of Open Access Journals (Sweden)

    Kaliwal B.B.

    2011-08-01

    Full Text Available The study was carried out to investigate the prevalence and antimicrobial susceptibility of Coagulase-Negative Staphylococci isolated from Bovine Mastitis in and around Dharwad region. A total of 310 samples were screened and 180 confirmed Coagulase-Negative Staphylococci were obtained. The antimicrobial susceptibility of Coagulase-Negative Staphylococci against 10 antimicrobial agents was tested using the disc diffusion method. The highest numbers of Coagulase-Negative Staphylococci were susceptible to ceftriaxone 83.88% followed by cefotaxime 79.41%, methicillin 76.47%, ciprofloxacin 73.52%, erythromycin 70.05%, amikacin 66.11%, gentamycin 42.94%, amoxicillin 36.76%, ampicillin 29.41%, and the lowest susceptibility was shown in penicillin 23.23% . The results indicated that the increase in prevalence and antibiotic resistance pattern of the Coagulase-Negative Staphylococci isolated from bovine mastitis exhibited the highest degree of susceptible to ceftriaxone of all the tested antimicrobial agents. [Vet. World 2011; 4(4.000: 158-161

  20. Study of antagonistic effects of Lactobacillus strains as probiotics on multi drug resistant (MDR) bacteria isolated from urinary tract infections (UTIs).

    Science.gov (United States)

    Naderi, Atiyeh; Kasra-Kermanshahi, Roha; Gharavi, Sara; Imani Fooladi, Abbas Ali; Abdollahpour Alitappeh, Meghdad; Saffarian, Parvaneh

    2014-03-01

    Urinary tract infection (UTI) caused by bacteria is one of the most frequent infections in human population. Inappropriate use of antibiotics, often leads to appearance of drug resistance in bacteria. However, use of probiotic bacteria has been suggested as a partial replacement. This study was aimed to assess the antagonistic effects of Lactobacillus standard strains against bacteria isolated from UTI infections. Among 600 samples; those with ≥10,000 cfu/ml were selected as UTI positive samples. Enterococcus sp., Klebsiella pneumoniae, Enterobacter sp., and Escherichia coli were found the most prevalent UTI causative agents. All isolates were screened for multi drug resistance and subjected to the antimicrobial effects of three Lactobacillus strains by using microplate technique and the MICs amounts were determined. In order to verify the origin of antibiotic resistance of isolates, plasmid curing using ethidium bromide and acridine orange was carried out. No antagonistic activity in Lactobacilli suspension was detected against test on Enterococcus and Enterobacter strains and K. pneumoniae, which were resistant to most antibiotics. However, an inhibitory effect was observed for E. coli which were resistant to 8-9 antibiotics. In addition, L. casei was determined to be the most effective probiotic. RESULTS from replica plating suggested one of the plasmids could be related to the gene responsible for ampicillin resistance. Treatment of E. coli with probiotic suspension was not effective on inhibition of the plasmid carrying hypothetical ampicillin resistant gene. Moreover, the plasmid profiles obtained from probiotic-treated isolates were identical to untreated isolates.

  1. Risk of resistance related to antibiotic use before admission in patients with community-acquired bacteraemia

    DEFF Research Database (Denmark)

    Pedersen, Gitte; Schønheyder, Henrik Carl; Steffensen, Flemming Hald

    1999-01-01

    %), Streptococcus pneumoniae (23%) Staphylococcus aureus (10%). Of the 575 isolates of E. coli, 425 (74%), 432 (75%) and 518 (90%) were susceptible to ampicillin, sulphonamides and trimethoprim, respectively. Previous antibiotic prescriptions were strongly associated with resistance to ampicillin, sulphonamides...... and trimethoprim in E. coli. The association was less pronounced for S. aureus and enteric rods other than E. coli. Antibiotic prescriptions within the last 3 months predicted antibiotic resistance, and this should be taken into account when selecting empirical antibiotic therapy of severe community...... admission and to 37% during the 6 months. The most frequently prescribed antibiotics within 30 days were ampicillin (28%), penicillin G (27%), sulphonamides and/or trimethoprim (16%) and macrolides (14%). The most frequent blood isolates were Escherichia coli (33%), other Enterobacteriaceae 8...

  2. Quantitative resistance level (MIC) of Escherichia coli isolated from calves and pigs suffering from enteritis: national resistance monitoring by the BVL.

    Science.gov (United States)

    Schröer, Ulrike; Kaspar, Heike; Wallmann, Jürgen

    2007-01-01

    National Resistance Monitoring of the Federal Office of Consumer Protection and Food Safety (BVL), which was put into service in 2001, has made it possible to implement a valid and representative database on the basis of which the resistance situation, development and spread in animal pathogens can be evaluated. Escherichia coil (E. coli) strains originating from calves and pigs suffering from enteritis were first included in the investigations in the 2004/2005 study. A total of 258 bovine and 492 porcine E. coli strains were tested using the broth microdilution method to determine the in vitro susceptibility (minimum inhibitory concentration) to 23 (fattening pigs) and 28 (calves, piglets, weaners) different antimicrobial substances. Considerable prevalences of resistance were found for some antimicrobials. The strains originating from both animal species displayed high prevalences of resistance for tetracycline, trimethoprim, trimethoprim/sulfamethoxazole, doxycycline and ampicillin. Reduced susceptibility was detected particularly in the E. coli strains from calves. The data reveal that the resistance level of E. coli strains isolated from cases of enteric disease in calves and pigs is altogether higher than has so far been reported in pathogens causing different diseases and in other food-producing animal species. Based on the results presented, it is possible to assess the current resistance situation for E. coli strains in calves and pigs in Germany. This in turn helps to deduce the necessary management measures that can be taken in order to minimise resistance to antibiotics. Furthermore, the data help to decide on adequate therapy of E. coli infections of the intestinal tract in calves and pigs and encourage the responsible use of antibiotics in the interests of animal health and consumer protection.

  3. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria

    DEFF Research Database (Denmark)

    Adelowo, Olawale O.; Fagade, Obasola E.; Agersø, Yvonne

    2014-01-01

    %, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), str...

  4. Prevalence of Bacterial Vaginosis and Associated Risk Factors among Women Complaining of Genital Tract Infection.

    Science.gov (United States)

    Bitew, Adane; Abebaw, Yeshiwork; Bekele, Delayehu; Mihret, Amete

    2017-01-01

    Bacterial vaginosis is a global concern due to the increased risk of acquisition of sexually transmitted infections. To determine the prevalence of bacterial vaginosis and bacteria causing aerobic vaginitis. A cross-sectional study was conducted among 210 patients between September 2015 and July 2016 at St. Paul's Hospital. Gram-stained vaginal swabs were examined microscopically and graded as per Nugent's procedure. Bacteria causing aerobic vaginitis were characterized, and their antimicrobial susceptibility pattern was determined. The overall prevalence of bacterial vaginosis was 48.6%. Bacterial vaginosis was significantly associated with number of pants used per day ( p = 0.001) and frequency of vaginal bathing ( p = 0.045). Of 151 bacterial isolates, 69.5% were Gram-negative and 30.5% were Gram-positive bacteria. The overall drug resistance level of Gram-positive bacteria was high against penicillin, tetracycline, and erythromycin. Cefoxitin and tobramycin were the most active drugs against Gram-positive bacteria. The overall drug resistance level of Gram-negative bacteria was high against tetracycline, ampicillin, and amoxicillin. Amikacin and tobramycin were the most active drugs against Gram-negative bacteria. The prevalence of bacterial vaginosis was high and was affected by individual hygiene. Routine culture of vaginal samples should be performed on patients with vaginitis and the drug susceptibility pattern of each isolate should be determined.

  5. Prevalence, serotypes and resistance patterns of Salmonella in Danish pig production

    DEFF Research Database (Denmark)

    Arguello, Hector; Sørensen, Gitte; Carvajal, Ana

    2013-01-01

    Typhimurium in finishers and Salmonella Derby in breeding herds while the most prevalent phage types of the S. Typhimurium isolates were DT 12 and DT 120. The antimicrobial resistance analysis yielded a 35.2% of the isolates from the slaughter pigs resistant to one or more antimicrobials while 19.3% were...... resistant to four or more antimicrobials. A significantly higher percentage of resistance to antimicrobials was found in the S. Typhimurium isolates (χ2=4.72, p=0.029), where 42.9% presented resistance to one or more compounds. In breeding herds, just S. Typhimurium and S. 4,5],12:i: – isolates were tested......The objective of this paper is to analyse in further detail the Danish results of the EFSA baseline studies in slaughter pigs and breeding herds, and compare them with the results obtained in (1) the pre-implementation study that was carried out to establish the initial prevalence values...

  6. Acinetobacter baumannii in Southern Croatia: clonal lineages, biofilm formation, and resistance patterns.

    Science.gov (United States)

    Kaliterna, Vanja; Kaliterna, Mariano; Hrenović, Jasna; Barišić, Zvonimir; Tonkić, Marija; Goic-Barisic, Ivana

    2015-01-01

    Acinetobacter baumannii is one of the most prevalent causes of severe hospital-acquired infections and is responsible for the dramatic increase in carbapenem resistance in Croatia in the last 5 years. Such data have encouraged multicenter research focused on the organism's ability to form biofilm, susceptibility to antibiotics, and particular genotype lineage. Biofilm formation in 109 unrelated clinical isolates of A. baumannii recovered in six cities of Southern Croatia was investigated. Genotyping was performed by pulsed-field gel electrophoresis and antibiotic profile was tested by applying the disc diffusion method and confirmed by determining the minimum inhibitory concentrations. The ability to form biofilm in vitro was determined from overnight cultures of the collected isolates on microtiter plates, after staining with crystal violet, and quantified at 570 nm after solubilization with ethanol. The statistical relevance was calculated in an appropriate program with level of statistical confidence. There was no significant difference in biofilm formation due to the genotype lineage. Isolates collected from intensive care units (ICUs) and isolated from respiratory samples were more likely to create a biofilm compared with isolates from other departments and other samples. There was a significant difference in the ability to produce biofilm in relation to antibiotic resistance pattern. A large proportion of A. baumannii isolates that were resistant to ampicillin/sulbactam, carbapenems, and amikacin were found to be biofilm-negative. In contrast, isolates susceptible and intermediately susceptible to ampicillin/sulbactam, carbapenems, and amikacin were biofilm producers. Clinical isolates of A. baumannii from respiratory samples in ICUs with a particular susceptibility pattern are more prone to form biofilm.

  7. Prevalence and Genetic Basis of Antimicrobial Resistance in Non-aureus Staphylococci Isolated from Canadian Dairy Herds

    Science.gov (United States)

    Nobrega, Diego B.; Naushad, Sohail; Naqvi, S. Ali; Condas, Larissa A. Z.; Saini, Vineet; Kastelic, John P.; Luby, Christopher; De Buck, Jeroen; Barkema, Herman W.

    2018-01-01

    Emergence and spread of antimicrobial resistance is a major concern for the dairy industry worldwide. Objectives were to determine: (1) phenotypic and genotypic prevalence of drug-specific resistance for 25 species of non-aureus staphylococci, and (2) associations between presence of resistance determinants and antimicrobial resistance. Broth micro-dilution was used to determine resistance profiles for 1,702 isolates from 89 dairy herds. Additionally, 405 isolates were sequenced to screen for resistance determinants. Antimicrobial resistance was clearly species-dependent. Resistance to quinupristin/dalfopristin was common in Staphylococcus gallinarum (prevalence of 98%), whereas S. cohnii and S. arlettae were frequently resistant to erythromycin (prevalence of 63 and 100%, respectively). Prevalence of resistance was 10% against β-lactams and tetracyclines. In contrast, resistance to antimicrobials critically important for human medicine, namely vancomycin, fluoroquinolones, linezolid and daptomycin, was uncommon (< 1%). Genes encoding multidrug-resistance efflux pumps and resistance-associated residues in deducted amino acid sequences of the folP gene were the most frequent mechanisms of resistance, regardless of species. The estimated prevalence of the mecA gene was 17% for S. epidermidis. Several genes, including blaZ, mecA, fexA, erm, mphC, msrA, and tet were associated with drug-specific resistance, whereas other elements were not. There were specific residues in gyrB for all isolates of species intrinsically resistant to novobiocin. This study provided consensus protein sequences of key elements previously associated with resistance for 25 species of non-aureus staphylococci from dairy cattle. These results will be important for evaluating effects of interventions in antimicrobial use in Canadian dairy herds. PMID:29503642

  8. Prevalence and Genetic Basis of Antimicrobial Resistance in Non-aureus Staphylococci Isolated from Canadian Dairy Herds

    Directory of Open Access Journals (Sweden)

    Diego B. Nobrega

    2018-02-01

    Full Text Available Emergence and spread of antimicrobial resistance is a major concern for the dairy industry worldwide. Objectives were to determine: (1 phenotypic and genotypic prevalence of drug-specific resistance for 25 species of non-aureus staphylococci, and (2 associations between presence of resistance determinants and antimicrobial resistance. Broth micro-dilution was used to determine resistance profiles for 1,702 isolates from 89 dairy herds. Additionally, 405 isolates were sequenced to screen for resistance determinants. Antimicrobial resistance was clearly species-dependent. Resistance to quinupristin/dalfopristin was common in Staphylococcus gallinarum (prevalence of 98%, whereas S. cohnii and S. arlettae were frequently resistant to erythromycin (prevalence of 63 and 100%, respectively. Prevalence of resistance was 10% against β-lactams and tetracyclines. In contrast, resistance to antimicrobials critically important for human medicine, namely vancomycin, fluoroquinolones, linezolid and daptomycin, was uncommon (< 1%. Genes encoding multidrug-resistance efflux pumps and resistance-associated residues in deducted amino acid sequences of the folP gene were the most frequent mechanisms of resistance, regardless of species. The estimated prevalence of the mecA gene was 17% for S. epidermidis. Several genes, including blaZ, mecA, fexA, erm, mphC, msrA, and tet were associated with drug-specific resistance, whereas other elements were not. There were specific residues in gyrB for all isolates of species intrinsically resistant to novobiocin. This study provided consensus protein sequences of key elements previously associated with resistance for 25 species of non-aureus staphylococci from dairy cattle. These results will be important for evaluating effects of interventions in antimicrobial use in Canadian dairy herds.

  9. Prevalence and resistance of commensal Staphylococcus aureus, including meticillin-resistant Staphylococcus aureus: a European cross-sectional study.

    NARCIS (Netherlands)

    Heijer, C.D.J. den; Bijnen, E.M.E. van; Paget, W.J.; Pringle, M.; Goossen, H.; Bruggeman, C.A.; Schellevis, F.G.; Stobberingh, E.E.

    2014-01-01

    Background: Information on the prevalence of Staphylococcus aureus resistance has mainly been obtained from invasive strains, although the commensal flora is considered an important reservoir of resistance. Within ‘The Appropriateness of prescribing antibiotics in primary health care in Europe with

  10. Methods for the evaluation of antibiotic resistance in Lactobacillus isolated from fermented sausages

    Directory of Open Access Journals (Sweden)

    Hanna Lethycia Wolupeck

    Full Text Available ABSTRACT: The present study aimed to assess the antibiotic resistance in 54 indigenous Lactobacillus plantarum isolated from artisanal fermented sausages. The confirmation of the strain species was performed by multiplex-PCR assay. Antibiotic resistance was assessed by disk diffusion (DD and Minimum Inhibitory Concentration (MIC methods. Of 54 L. plantarum, 44 strains were genotypically confirmed as L. plantarum and 3 as Lactobacillus pentosus. The highest resistance rates were to ampicillin and streptomycin. The highest susceptibility rates were shown to tetracycline, chloramphenicol and penicillin G. None of the strains showed multidrug resistance. Resistance rates by DD and MIC were not different (P>0.05 for ampicillin, chloramphenicol, erythromycin and penicillin G. Future research should assess the genetic mechanisms underlying the phenotypic resistance in Lactobacillus strains to screen the potential probiotic strains for the development of functional meat products.

  11. Antibiotic Resistance in Staphylococcus aureus and Coagulase Negative Staphylococci Isolated from Goats with Subclinical Mastitis

    Directory of Open Access Journals (Sweden)

    Salvatore Virdis

    2010-01-01

    Full Text Available Antimicrobial resistance patterns and gene coding for methicillin resistance (mecA were determined in 25 S. aureus and 75 Coagulase Negative Staphylococci (CNS strains isolates from half-udder milk samples collected from goats with subclinical mastitis. Fourteen (56.0% S. aureus and thirty-one (41.3% CNS isolates were resistant to one or more antimicrobial agents. S. aureus showed the highest resistance rate against kanamycin (28.0%, oxytetracycline (16.0%, and ampicillin (12.0%. The CNS tested were more frequently resistant to ampicillin (36.0% and kanamycin (6.7%. Multiple antimicrobial resistance was observed in eight isolates, and one Staphylococcus epidermidis was found to be resistant to six antibiotics. The mecA gene was not found in any of the tested isolates. Single resistance against β-lactamics or aminoglicosides is the most common trait observed while multiresistance is less frequent.

  12. Antibiotic resistance and trend of urinary pathogens in general outpatients from a major urban city

    Directory of Open Access Journals (Sweden)

    Carlos R. Kiffer

    2007-02-01

    Full Text Available OBJECTIVE: We assessed the antimicrobial resistance patterns of pathogens responsible for urinary tract infections (UTI in outpatients in São Paulo, Brazil, as well as the Escherichia coli antimicrobial resistance trend. MATERIALS AND METHODS: Outpatients urine cultures were collected from January 2000 to December 2003. Statistical analysis considered positive results for one bacterial species with colony count > 100,000 CFU/mL. Stratification was done on age group and gender. Statistical tests used included chi-square and the chi-square test for trend to evaluate differences between susceptibility rates among age groups and ordering in the E. coli resistance rates per year, respectively. RESULTS: There were 37,261 positive results with Enterobacteriaceae isolated in 32,530 (87.3% and Gram-positive cocci in 2,570 (6.9% cultures. E. coli had the highest prevalence (71.6%. Susceptibility tests were performed in 31,716 cultures. E. coli had elevated resistance rates (> 30% to ampicillin, trimethoprim-sulfamethoxazole, and tetracycline. Significant differences between age groups and ordering among years were observed. CONCLUSIONS: The use of trimethoprim-sulfamethoxazole is precluded in the population studied due to elevated resistance rates (> 30% among most prevalent pathogens. Significant resistance rate differences among age groups and years were observed, particularly for fluoroquinolones. Fluoroquinolones should be used with caution. Nitrofurantoin should be used as empirical therapy for primary, non-complicated urinary tract infections.

  13. Carriage, antimicrobial susceptibility profiles and genetic diversity of ...

    African Journals Online (AJOL)

    All isolates were susceptible to nitrofurantoin and linezolid and resistant in high numbers (194, 81.9%) to ampicillin. Resistances to amoxicillin-clavulanic acid, erythromycin, chloramphenicol, gentamicin, ciprofloxacin, norfloxacin and trimethoprimsulfamethoxazole were below 20%. The overall prevalence of MRSA among ...

  14. Prevalence of antimicrobial resistance and integrons in Escherichia Coli from Punjab, Pakistan

    Directory of Open Access Journals (Sweden)

    Idrees Muhammad

    2011-06-01

    Full Text Available Antimicrobial resistance was studied in Escherichia coli strains isolated from urine samples of 457 patients suffering from urinary tract infection. High prevalence of class 1 integrons (43.56%, sulfamethoxazole resistance genes sul1 (45.54% and sul2 (51.48% along with occurrence of quinolone resistance genes was detected in multi drug resistance isolates.

  15. Prevalence and bacterial susceptibility of hospital acquired urinary tract infection

    Directory of Open Access Journals (Sweden)

    Dias Neto José Anastácio

    2003-01-01

    Full Text Available PURPOSE: Urinary tract infection is the most common nosocomially acquired infection. It is important to know the etiology and antibiotic susceptibility infectious agents to guide the initial empirical treatment. OBJECTIVE: To determine the prevalence of bacterial strains and their antibiotic susceptibility in nosocomially acquired urinary tract infection in a university hospital between January and June 2003. METHODS: We analyzed the data of 188 patients with positive urine culture (= 10(5 colony-forming units/mL following a period of 48 hours after admission. RESULTS: Half of patients were male. Mean age was 50.26 ± 22.7 (SD, range 3 months to 88 years. Gram-negative bacteria were the agent in approximately 80% of cases. The most common pathogens were E. coli (26%, Klebsiella sp. (15%, P. aeruginosa (15% and Enterococcus sp. (11%. The overall bacteria susceptibility showed that the pathogens were more sensible to imipenem (83%, second or third generation cephalosporin and aminoglycosides; and were highly resistant to ampicillin (27% and cefalothin (30%. It is important to note the low susceptibility to ciprofloxacin (42% and norfloxacin (43%. CONCLUSION: This study suggests that if one can not wait the results of urine culture, the best choices to begin empiric treatment are imipenem, second or third generation cephalosporin and aminoglycosides. Cefalothin and ampicillin are quite ineffective to treat these infections.

  16. Prevalence and Antibiotic Susceptibility of Campylobacter species Isolated From Chicken and Beef Meat

    Directory of Open Access Journals (Sweden)

    Hossein Dabiri

    2014-05-01

    Full Text Available Background: To study prevalence of Campylobacter spp. in chicken and beef meat, and determine the drug susceptibility of strains, 450 samples in Tehran, Iran were investigated. Objectives: This study aimed to determine the prevalence and the antimicrobial resistance of entropathogenic Campylobacter strains ,especially C. jejuni isolated from raw chicken and beef meat in Tehran- Iran. Materials and Methods: Out of 250 chickens and 200 beef meats, 121(26.8 % contaminated cases with Campylobacter strains were isolated. Campylobacter was isolated from a significantly larger number of chickens (44% than beef meats (5.5 % (P < 0.05. Results: From all isolated Campylobacter organisms, 93 (76.8% species were identified as C. jejuni and 28 cases (23.1% as C. coli. Susceptibilities of 121 strains (93 C. jejuni and 28 C. coli were determined against 12 antimicrobial drugs using the disk agar diffusion method. Resistance to nalidixic acid (75% and ciprofloxacin (50% was an alarming finding, moreover, 32.6% of isolates was resistant to tetracycline, 10.8% to ampicillin, 29.3% to colisitin and 26.1% to amoxicillin. The highest sensitivity was seen to erythromycin (95 % and gentamicin (96%. Conclusions: These results showed that a high proportion of chicken and beef meat in Iran is contaminated with Campylobacter, particularly with Campylobacter jejuni. The high rate of contamination, especially chicken is a significant public health concern. Most of the isolates were resistant; therefore, human infection with Campylobacter spp. via consumption of these products is possible.

  17. Antimicrobial resistance in community and nosocomial Escherichia coli urinary tract isolates, London 2005 – 2006

    Directory of Open Access Journals (Sweden)

    Wareham David W

    2008-06-01

    Full Text Available Abstract Background Escherichia coli is the commonest cause of community and nosocomial urinary tract infection (UTI. Antibiotic treatment is usually empirical relying on susceptibility data from local surveillance studies. We therefore set out to determine levels of resistance to 8 commonly used antimicrobial agents amongst all urinary isolates obtained over a 12 month period. Methods Antimicrobial susceptibility to ampicillin, amoxicillin/clavulanate, cefalexin, ciprofloxacin, gentamicin, nitrofurantoin, trimethoprim and cefpodoxime was determined for 11,865 E. coli urinary isolates obtained from community and hospitalised patients in East London. Results Nitrofurantoin was the most active agent (94% susceptible, followed by gentamicin and cefpodoxime. High rates of resistance to ampicillin (55% and trimethoprim (40%, often in combination were observed in both sets of isolates. Although isolates exhibiting resistance to multiple drug classes were rare, resistance to cefpodoxime, indicative of Extended spectrum β-lactamase production, was observed in 5.7% of community and 21.6% of nosocomial isolates. Conclusion With the exception of nitrofurantoin, resistance to agents commonly used as empirical oral treatments for UTI was extremely high. Levels of resistance to trimethoprim and ampicillin render them unsuitable for empirical use. Continued surveillance and investigation of other oral agents for treatment of UTI in the community is required.

  18. Decolonization of Staphylococcus aureus in patients with atopic dermatitis: a reason for increasing resistance to antibiotics?

    Directory of Open Access Journals (Sweden)

    Izabela Błażewicz

    2017-12-01

    Full Text Available Introduction : Exacerbation of atopic dermatitis can be associated with bacterial infection. The skin of patients is colonized with Staphylococcus aureus in 90% of cases. An attempt has been made to demonstrate that eradication significantly reduces the severity of the disease. Studies indicate the efficacy of topical antibiotics, topical corticosteroids and calcineurin inhibitors. Due to increasing resistance to drugs and the defective antimicrobial peptide profile, decolonization is virtually impossible. Aim : To determine the prevalence of S. aureus colonization among patients with atopic dermatitis and to assess antimicrobial susceptibility of isolated strains to antibiotics, especially fusidic acid and mupirocin. Material and methods : One hundred patients with atopic dermatitis and 50 healthy subjects were microbiologically assessed for the carriage of S. aureus . Antimicrobial susceptibility tests were performed using the broth-microdilution method for antibiotics: ampicillin, ciprofloxacin, daptomycin, erythromycin, fusidic acid, linezolid, lincomycin, mupirocin, tetracycline and vancomycin. Results : Staphylococcus aureus strains were isolated from the majority of our patients, either from the skin (71% or the anterior nares (67%. In the present study, 10% of isolations represented methicillin-resistant S. aureus (MRSA. Antibiotics exhibited diverse activities against clinical isolates of S. aureus . Among those tested, the highest rates of resistance were shown for ampicillin – 58.5%, lincomycin – 37.5% and erythromycin – 31.0%. Enhanced resistance levels were expressed to mupirocin (17.5% and fusidic acid (15.5%. Conclusions : According to the increasing rate of resistance and quick recolonization after discontinuation of the treatment, chronic use of topical antibiotics is not recommended and should be limited to exacerbation of atopic dermatitis with clinical signs of bacterial infection.

  19. Multidrug-Resistant Gram-Negative Bacteria Colonization of Healthy US Military Personnel in the US and Afghanistan

    Science.gov (United States)

    2013-02-05

    Afghanistan. The purpose of the study was to determine the prevalence of MDR-GNB across multiple anatomic sites in geographically distinct...Gentamicin Imipenem Levofloxacin Meropenem Moxifloxacin Nitrofurantoin Piperacillin- Tazobactam Tobramycin Trimethoprim - Sulfamethoxazole 6 US MDR S...susceptibilities to ampicillin (72% vs. 49%, pɘ.01), ampicillin-sulbactam (73% vs. 54%, pɘ.01), ciprofloxacin (97% vs. 88%, p=0.05), and trimethoprim

  20. Salmonella Species' Persistence and Their High Level of Antimicrobial Resistance in Flooded Man-Made Rivers in China.

    Science.gov (United States)

    Song, Qifa; Zhang, Danyang; Gao, Hong; Wu, Junhua

    2018-05-11

    Man-made rivers, owing to proximity to human habitats, facilitate transmission of salmonellosis to humans. To determine the contamination situation by Salmonella in flooded man-made rivers and thereafter the exposure risk to public health, we investigated the prevalence of Salmonella species and their antimicrobial resistance in such rivers, as well as the relationship between the incidence of local infectious diarrhea cases and the number of Salmonella isolates from patients. After a heavy flood, 95 isolates of 13 Salmonella serotypes were isolated from 80 river water samples. The two most prevalent serotypes were Typhimurium and Derby. Eight Salmonella serotypes were newly detected after the flood. Overall, 50 isolates were resistant to ampicillin and/or cefotaxime and carried at least bla TEM . Twelve isolates of serotypes Typhimurium, Derby, Rissen, and Indiana were extended-spectrum β-lactamase (ESBL) producing and carried at least one of bla OXA and bla CTX-M-like genes. Twelve isolates of serotypes Typhimurium, Derby, Agona, Rissen, and Indiana were resistant to ciprofloxacin and had gyrA mutations. Isolates of Typhimurium, Derby, and Indiana were concurrently ciprofloxacin resistant and ESBL producing. Pulsed-field gel electrophoresis illustrates the circulation of two dominant clones of Salmonella Typhimurium isolates among patients, river, and food. High prevalence of various highly pathogenic and antimicrobial-resistant Salmonella serotypes shows that man-made rivers are prone to heavy contamination with Salmonella, and as a result put public health at greater risk.

  1. Distribution of phylogroups and co-resistance to antimicrobial agents in ampicillin resistant Escherichia coli isolated from healthy humans and from patients with bacteraemia

    DEFF Research Database (Denmark)

    Haugaard, A.; Hammerum, A. M.; Porsbo, Lone Jannok

    inhibitory concentration to antimicrobial agents and examined by PCR to determine their phylogroups. The phylotyping grouped the faecal samples into A (13%), B1 (10%), B2 (42%), D (19%), NT (16%) while the blood isolates grouped into A (16%), B1 (0%), B2 (48%), D (32%) and NT (3%). The frequency...... of resistance in faecal and blood isolates (F/B) was: tetracycline (48%/48%), gentamicin (0%/10%), ciprofloxacin (3%,13%), sulfonamide (68%/77%) and trimethoprim (39%/39%). Conclusion: B2 was the most prevalent phylogroup found both in faecal isolates collected from healthy humans and in blood isolates from...

  2. First-Line Antimicrobial Resistance Patterns of Escherichia coli in Children With Urinary Tract Infection in Emergency Department and Primary Care Clinics.

    Science.gov (United States)

    Ahmed, M Nadeem; Vannoy, Debby; Frederick, Ann; Chang, Sandy; Lawler, Elisabeth

    2016-01-01

    To identify risk factors for antibiotic resistance to Escherichia coli (E. coli) in children with urinary tract infections (UTIs) in emergency room and primary care clinics. This is a cross-sectional study of children 0 to 18 years of age reported to have E coli-positive UTIs whose medical and laboratory records were systematically reviewed. Compared with girls, boys were 2.29 times (confidence interval [CI] = 1.30-4.02) more likely to have E coli isolates resistant to ampicillin and 2 times more likely (CI = 1.13-3.62) to have isolates resistant to trimethoprim-sulfamethoxazole (TMP/SMX). Patients with genitourinary abnormalities were 1.57 times more likely to be resistant to ampicillin (CI = 1.03-2.41) and 1.86 times to TMP/SMX (CI = 1.18-2.94). Higher rates of ampicillin and TMP/SMX resistant urinary E coli isolates were observed among boys and children with a history of genitourinary abnormality. Age and recent antibiotic prescription are also potential risk factors for resistance. © The Author(s) 2015.

  3. High prevalence of clindamycin resistance in Staphylococcus aureus blood culture isolates in São Paulo, Brazil

    Directory of Open Access Journals (Sweden)

    Felipe S Lupinacci

    2017-01-01

    Conclusions: Our high prevalence of clindamycin resistance highlights the importance of performing D-test in a routine base, as well of maintaining continued surveillance for the prevalence of clindamycin resistance.

  4. Enthalpies of solution of ampicillin, amoxycillin and their binary mixtures at 310.15 K.

    Science.gov (United States)

    Jain, D V; Kashid, N; Kapoor, S; Chadha, R

    2000-05-15

    Enthalpies of solutions of ampicillin, amoxycillin and their binary mixtures have been determined at pH 2, 5, and 7 using C-80 calorimeter. The systems showed endothermic behaviour; molar enthalpies of solutions of ampicillin were determined to be 13.32, 15.89 and 23.21 kJ mol(-1) and amoxycillin were 16.32, 18.45 and 26. 25 kJ mol(-1) at pH 2, 5, and 7, respectively. The excess molar enthalpies of solution have also been calculated to find any interaction between these two drugs.

  5. Antimicrobial prophylaxis for major head and neck surgery in cancer patients: sulbactam-ampicillin versus clindamycin-amikacin.

    Science.gov (United States)

    Phan, M; Van der Auwera, P; Andry, G; Aoun, M; Chantrain, G; Deraemaecker, R; Dor, P; Daneau, D; Ewalenko, P; Meunier, F

    1992-09-01

    A total of 99 patients with head and neck cancer who were to undergo surgery were randomized in a prospective comparative study of sulbactam-ampicillin (1:2 ratio; four doses of 3 g of ampicillin and 1.5 g of sulbactam intravenously [i.v.] every 6 h) versus clindamycin (four doses of 600 mg i.v. every 6 h)-amikacin (two doses of 500 mg i.v. every 12 h) as prophylaxis starting at the induction of anesthesia. The two groups of evaluable patients (43 in the clindamycin-amikacin treatment group and 42 in the sulbactam-ampicillin treatment group) were comparable as far as age (mean, 57 years; range, 21 to 84 years), sex ratio (71 males, 28 females), weight (mean, 66 kg; range, 40 to 69 kg), indication for surgery (first surgery, 48 patients; recurrence, 37 patients), previous anticancer treatment (surgery, radiation therapy, chemotherapy), type of surgery, and stage of cancer. The overall infection rate (wound, bacteremia, and bronchopneumonia) within 20 days after surgery was 20 patients in each group. Wound infections occurred in 14 (33%) sulbactam-ampicillin-treated patients and 9 (21%) clindamycin-amikacin-treated patients (P = 0.19; not significant). The rates of bacteremia were 2 and 4%, respectively. The rates of bronchopneumonia were 14.3 and 23.2%, respectively (P was not significant). Most infections were polymicrobial, but strict anaerobes were recovered only from patients who received sulbactam-ampicillin. Antimicrobial treatment was required within 20 days after surgery for 42% of the sulbactam-ampicillin-treated patients and 44% of the clindamycin-amikacin-treated patients. By comparison with previous studies, we observed a decreased efficacy of antimicrobial prophylaxis in patients with head and neck cancer undergoing surgery because of the increased proportion of patients who were at very high risk for infection (extensive excision and plastic reconstruction in patients with recurrent stage III and IV cancers) and because of the longer duration of

  6. Microbiological and biochemical studies on certain antibiotic-resistant bacteria isolated from certain clinical specimens

    Energy Technology Data Exchange (ETDEWEB)

    Nada, H M.AL.M. [National Center for Radiation Research and Technology, Atomic Energy Authority, Cairo (Egypt)

    2008-07-01

    Infection is a dynamic process involving invasion of the body by pathogenic microorganisms and reactions of the tissues to microorganisms and their toxins. Pathogenic microorganisms isolated from clinical samples are of great threat to human health.The outcome of an infection depends on the virulence of the pathogen and the relative degree of resistance or susceptibility to antimicrobial chemotherapy. Antimicrobial agents interfere with specific processes that are essential for growth and division.Development of antibiotic resistance in bacteria is a problem of great concern. The high prevalence of resistant bacteria seems to be related to uncontrolled usage of antibiotics. B-lactamases are the most common cause of bacterial resistance to B-lactam antimicrobial agents, and it is one of the most important reason for increasing the resistance in pathogenic bacteria against some antibiotics especially those acting on inhibition of cell wall synthesis. One hundred and seven clinical samples and specimens were collected from public, private hospitals and National Cancer Institute (NCI) in Cairo, Egypt. Out of them 72 cases positive for microbial infection. Twelve cases were showed mixed infection. Eighty four isolates of pathogenic bacteria and yeast were collected from single and mixed culture. Susceptibilities of the isolates to 20 different antimicrobial agents were determined according to Kirby-Bauer method. Nine multi-drug resistant gram-negative bacterial strains were identified by (Micro Scan WalkAway 96 SI System). Six of them urine isolates, 2 wound (pus) isolates and one sputum isolate. The identified strains were exposed to in-vitro gamma irradiation at dose level of 24.4 Gy, which is biologically equivalent to the fractionated multiple therapeutic dose used in the protocol of cancer treatment of some patients. The antimicrobial susceptibility of the nine multi-drug resistant strains were carried out by disk diffusion method before and after irradiation

  7. Microbiological and biochemical studies on certain antibiotic-resistant bacteria isolated from certain clinical specimens

    International Nuclear Information System (INIS)

    Nada, H.M.AL.M.

    2008-01-01

    Infection is a dynamic process involving invasion of the body by pathogenic microorganisms and reactions of the tissues to microorganisms and their toxins. Pathogenic microorganisms isolated from clinical samples are of great threat to human health.The outcome of an infection depends on the virulence of the pathogen and the relative degree of resistance or susceptibility to antimicrobial chemotherapy. Antimicrobial agents interfere with specific processes that are essential for growth and division.Development of antibiotic resistance in bacteria is a problem of great concern. The high prevalence of resistant bacteria seems to be related to uncontrolled usage of antibiotics. B-lactamases are the most common cause of bacterial resistance to B-lactam antimicrobial agents, and it is one of the most important reason for increasing the resistance in pathogenic bacteria against some antibiotics especially those acting on inhibition of cell wall synthesis. One hundred and seven clinical samples and specimens were collected from public, private hospitals and National Cancer Institute (NCI) in Cairo, Egypt. Out of them 72 cases positive for microbial infection. Twelve cases were showed mixed infection. Eighty four isolates of pathogenic bacteria and yeast were collected from single and mixed culture. Susceptibilities of the isolates to 20 different antimicrobial agents were determined according to Kirby-Bauer method. Nine multi-drug resistant gram-negative bacterial strains were identified by (Micro Scan WalkAway 96 SI System). Six of them urine isolates, 2 wound (pus) isolates and one sputum isolate. The identified strains were exposed to in-vitro gamma irradiation at dose level of 24.4 Gy, which is biologically equivalent to the fractionated multiple therapeutic dose used in the protocol of cancer treatment of some patients. The antimicrobial susceptibility of the nine multi-drug resistant strains were carried out by disk diffusion method before and after irradiation

  8. Ampicillin-Improved Glucose Tolerance in Diet-Induced Obese C57BL/6NTac Mice Is Age Dependent

    DEFF Research Database (Denmark)

    Rune, I.; Hansen, C. H. F.; Ellekilde, M.

    2013-01-01

    at different ages or not at all. We found that both diet and Ampicillin significantly changed the gut microbiota composition in the animals. Furthermore, there was a significant improvement in glucose tolerance in Ampicillin-treated, five-week-old mice compared to nontreated mice in the control group. At study...... in high-fat diet mice, and a lower tolerogenic dendritic cell percentage was found both in relation to high-fat diet and late Ampicillin treatment. The results support our hypothesis that a "window" exists early in life in which an alteration of the gut microbiota affects glucose tolerance as well...... as development of gut immunity and that this window may disappear after weaning....

  9. Mycoplasma genitalium in Spain: prevalence of genital infection and frequency of resistance to macrolides.

    Science.gov (United States)

    Asenjo, Alejandra; Kusters, Johannes G; Severs, Tim T; Alós, Juan-Ignacio

    2018-03-01

    The aim of this study was to determine the prevalence of Mycoplasma genitalium infection and the resistance to macrolides within a general population in Madrid in 2015. We collected 359 urine samples from a general population with symptoms of sexually transmitted infections (STIs). All samples underwent a real-time PCR. For the detection of macrolide resistance, a 283bp fragment of region V of the 23S rRNA gene of M. genitalium was amplified and sequenced. We found a prevalence of 3.34% of M. genitalium and a macrolide resistance rate of 20%. In males, the prevalence was 6.62% and in women 0.96%, being significantly higher in males. The prevalence obtained shows that it is a pathogen to consider in our environment. These findings stress the need for routine testing of M. genitalium infections and would seem to suggest the advisability of resistance testing. Copyright © 2017 Elsevier España, S.L.U. and Sociedad Española de Enfermedades Infecciosas y Microbiología Clínica. All rights reserved.

  10. Drug Resistance Patterns of Escherichia coli in Ethiopia: A Meta-Analysis.

    Science.gov (United States)

    Tuem, Kald Beshir; Gebre, Abadi Kahsu; Atey, Tesfay Mehari; Bitew, Helen; Yimer, Ebrahim M; Berhe, Derbew Fikadu

    2018-01-01

    Antimicrobial drug resistance is a global threat for treatment of infectious diseases and costs life and money and threatens health delivery system's effectiveness. The resistance of E. coli to frequently utilized antimicrobial drugs is becoming a major challenge in Ethiopia. However, there is no inclusive countrywide study. Therefore, this study intended to assess the prevalence of E. coli resistance and antimicrobial-specific resistance pattern among E. coli clinical isolates in Ethiopia. Articles were retrieved from PubMed, Embase, and grey literature from 2007 to 2017. The main outcome measures were overall E. coli and drug-specific resistance patterns. A random-effects model was used to determine pooled prevalence with 95% confidence interval (CI), using DerSimonian and Laird method. In addition, subgroup analysis was conducted to improve the outcome. The study bias was assessed by Begg's funnel plot. This study was registered in PROSPERO as follows: PROSPERO 2017: CRD42017070106. Of 164 articles retrieved, 35 articles were included. A total of 19,235 study samples participated in the studies and 2,635 E. coli strains were isolated. Overall, E. coli antibacterial resistance was 45.38% (95% confidence interval (CI): 33.50 to 57.27). The resistance pattern ranges from 62.55% in Addis Ababa to 27.51% in Tigray region. The highest resistance of E. coli reported was to ampicillin (83.81%) and amoxicillin (75.79%), whereas only 13.55% of E. coli isolates showed resistance to nitrofurantoin. E. coli antimicrobial resistance remains high with disparities observed among regions. The bacterium was found to be highly resistant to aminopenicillins. The finding implies the need for effective prevention strategies for the E. coli drug resistance and calls for multifaceted approaches with full involvement of all stakeholders.

  11. Prevalence and antibiotic resistance of 15 minor staphylococcal species colonizing orthopedic implants.

    Science.gov (United States)

    Arciola, C R; Campoccia, D; An, Y H; Baldassarri, L; Pirini, V; Donati, M E; Pegreffi, F; Montanaro, L

    2006-04-01

    Several species belonging to Staphylococcus genus (non Sau/ non Sep species) exhibit increasing abilities as opportunistic pathogens in colonisation of periprosthesis tissues. Here we report on antibiotic resistance of 193 strains, belonging to non Sau/ non Sep species, consecutively collected from orthopedic implant infections in a period of about 40 months. The 193 strains (representing 17% of all staphylococci isolated) were analysed for their antibiotic resistance to 16 different drugs. Five species turned out more prevalent, ranging from 1 to 5%: S. hominis (4.2%), S. haemolyticus (3.7%), S. capitis (2.7%), S. warneri (2.6%), and S. cohnii (1.6%). Among these, the prevalence of antibiotic resistance to penicillins was similar, ranging from 51% to 66%. Conversely, significant differences were observed for all the remaining antibiotics. For S. haemolyticus the resistances to oxacillin and imipenem, the four aminoglycosides and erythromycin were at least twice that of the other three species which were compared. S. warneri was on the contrary the species with the lowest occurrence of resistant strains. Ten species appeared only rarely at the infection sites: S. lugdunensis, S. caprae, S. equorum, S. intermedius, S. xylosus, S. simulans, S. saprophyticus, S. pasteuri, S. sciuri, and S. schleiferi. The behaviours of these species, often resistant to penicillins, were individually analysed. Differences in both the frequencies and the panels of antibiotic resistances observed among the non Sau/ non Sep species: i) suggest that horizontal spreading of resistance factors, if acting, was not sufficient per se to level their bio-diversities; ii) highlight and confirm the worrisome appearance within the Staphylococcus genus of emerging "new pathogens", not homogeneous for their virulence and antibiotic resistance prevalence, which deserve to be recognised and treated individually.

  12. Herd-level association between antimicrobial use and antimicrobial resistance in bovine mastitis Staphylococcus aureus isolates on Canadian dairy farms.

    Science.gov (United States)

    Saini, V; McClure, J T; Scholl, D T; DeVries, T J; Barkema, H W

    2012-04-01

    Surveillance of antimicrobial use and resistance is needed to manage antimicrobial resistance in bacteria. In this study, data were collected on antimicrobial use and resistance in Staphylococcus aureus (n=562), isolated from intramammary infections and (sub)clinical mastitis cases on 89 dairy farms in 4 regions of Canada [Alberta, Ontario, Québec, and the Maritime Provinces (Prince Edward Island, Nova Scotia, and New Brunswick)]. Dairy producers were asked to deposit empty drug containers into specially provided receptacles, and antimicrobial drug use rate was calculated to quantify antimicrobial use. Minimum inhibitory concentrations were determined using the Sensititer bovine mastitis plate system (TREK Diagnostic Systems Inc., Cleveland, OH), containing antimicrobials commonly used for mastitis treatment and control. Multivariable logistic regression models were built to determine herd-level risk factors of penicillin, ampicillin, pirlimycin, penicillin-novobiocin combination, tetracycline and sulfadimethoxine resistance in Staph. aureus isolates. Intramammary administration of the penicillin-novobiocin combination for dry cow therapy was associated with penicillin and ampicillin resistance [odds ratio (OR): 2.17 and 3.10, respectively]. Systemic administration of penicillin was associated with penicillin resistance (OR: 1.63). Intramammary administration of pirlimycin for lactating cow mastitis treatment was associated with pirlimycin resistance as well (OR: 2.07). Average herd parity was associated with ampicillin and tetracycline resistance (OR: 3.88 and 0.02, respectively). Average herd size was also associated with tetracycline resistance (OR: 1.02). Dairy herds in the Maritime region had higher odds of penicillin and lower odds of ampicillin resistance than dairy herds in Québec (OR: 2.18 and 0.19, respectively). Alberta dairy herds had lower odds of ampicillin and sulfadimethoxine resistance than dairy herds in Québec (OR: 0.04 and 0.08, respectively

  13. Prevalence, antibiogram, and cdt genes of toxigenic Campylobacter jejuni in salad style vegetables (ulam) at farms and retail outlets in Terengganu.

    Science.gov (United States)

    Khalid, Mohd Ikhsan; Tang, John Yew Huat; Baharuddin, Nabila Huda; Rahman, Nasiha Shakina; Rahimi, Nurul Faizzah; Radu, Son

    2015-01-01

    The present study was conducted to investigate the prevalence and antibiotic resistance among Campylobacter jejuni in ulam at farms and retail outlets located in Kuala Terengganu, Malaysia. A total of 526 samples (ulam, soil, and fertilizer) were investigated for the presence of C. jejuni and the gene for cytolethal distending toxin (cdt) by using a multiplex PCR method. Antibiotic susceptibility to 10 types of antibiotics was determined using the disk diffusion method for 33 C. jejuni isolates. The average prevalence of contaminated samples from farms, wet markets, and supermarkets was 35.29, 52.66, and 69.88%, respectively. The cdt gene was not detected in 24 of the 33 C. jejuni isolates, but 9 isolates harbored cdtC. Antibiotic resistance in C. jejuni isolates was highest to penicillin G (96.97% of isolates) followed by vancomycin (87.88%), ampicillin (75.76%), erythromycin (60.61%), tetracycline (9.09%), amikacin (6.06%), and norfloxacin (3.03%); none of the isolates were resistant to ciprofloxacin, enrofloxacin, and gentamicin. In this study, C. jejuni was present in ulam, and some isolates were highly resistant to some antibiotics but not to quinolones. Thus, appropriate attention and measures are required to prevent C. jejuni contamination on farms and at retail outlets.

  14. Prevalence and Characteristics of Salmonella and Campylobacter in Retail Poultry Meat in Japan.

    Science.gov (United States)

    Furukawa, Ichiro; Ishihara, Tomoe; Teranishi, Hiroshi; Saito, Shioko; Yatsuyanagi, Jun; Wada, Eriko; Kumagai, Yuko; Takahashi, Shiho; Konno, Takayuki; Kashio, Hiroko; Kobayashi, Akihiko; Kato, Naoki; Hayashi, Ken-Ichi; Fukushima, Keisuke; Ishikawa, Kazuhiko; Horikawa, Kazumi; Oishi, Akira; Izumiya, Hidemasa; Ohnishi, Takahiro; Konishi, Yoshiko; Kuroki, Toshiro

    2017-05-24

    This study was performed to determine the prevalence, antimicrobial susceptibility, and genetic relatedness of Salmonella enterica subsp. enterica and Campylobacter spp. in poultry meat, and to analyze the association of genetic types of these bacteria with their geographical distribution and antimicrobial resistance profiles. Salmonella and Campylobacter isolates have been detected, respectively, in 54 and 71 samples out of 100 samples tested. Nine Salmonella serotypes were found, including S. enterica subsp. enterica serovar Infantis (33%), Schwarzengrund (12%), Manhattan (9%), and others. Campylobacter jejuni and C. coli were detected in 64 (64%) and 14 (14%) samples, respectively. S. enterica subsp. enterica isolates were very frequently resistant to tetracycline (78.3%) and streptomycin (68.3%). Many C. jejuni and C. coli isolates were resistant to sulfamethoxazole/trimethoprim (90.5%), nalidixic acid (47.3%), ampicillin (45.9%), and ciprofloxacin (40.5%). Cluster analysis was performed for the Salmonella isolates using pulsed-field gel electrophoresis (PFGE) data. For Campylobacter isolates, the cluster analysis was based on both PFGE and comparative genomic fingerprinting. The molecular typing results were compared with the information about antimicrobial resistance and geographical locations in which the poultry meat was produced. This analysis revealed that C. jejuni strains with a particular genotype and antimicrobial resistance profile are spreading in specific areas of Japan.

  15. Presence of superantigen genes and antimicrobial resistance in Staphylococcus isolates obtained from the uteri of dairy cows with clinical endometritis.

    Science.gov (United States)

    Zhao, J-L; Ding, Y-X; Zhao, H-X; He, X-L; Li, P-F; Li, Z-F; Guan, H; Guo, X

    2014-10-11

    Clinical endometritis is an important disease of dairy cattle and results in decreased reproductive performance. This disease is caused by contamination of the uterus with a broad spectrum of microorganisms after calving. In this study, staphylococcal isolates from the uterus of dairy cows with clinical endometritis were tested for their distribution of superantigen (SAg) genes and antimicrobial resistance. Between the 127 staphylococcal isolates collected in this study, 10 species were identified. The predominant strain identified was Staphylococcus aureus (n=53), followed by Staphylococcus saprophyticus (n=38) and Staphylococcus chromogenes (n=22). PCR analysis demonstrated that most isolates (63.0 per cent) harboured at least one SAg gene. The most commonly observed SAg gene and genotype was selj (38.6 per cent) and sec-selj-seln (24.0 per cent), respectively. Most isolates were resistant to penicillin (79.5 per cent), ampicillin (71.7 per cent), erythromycin (56.7 per cent), and tetracycline (52.0 per cent). PCR analysis demonstrated that the antimicrobial resistance determinants ermA, ermB, ermC, tetK, tetM and blaZ were detected in 0 per cent, 44.4 per cent, 51.4 per cent, 68.2 per cent, 13.6 per cent and 86.1 per cent of the erythromycin, tetracycline and β-lactam resistant isolates, respectively. There were 22 (17.3 per cent of all isolates) coagulase-negative staphylococci shown to be methicillin resistant. In the methicillin-resistant isolates, significant resistances to ampicillin, erythromycin and penicillin were observed (P<0.01). The results of this study demonstrate that staphylococci recovered from dairy cows with clinical endometritis contain an extensive and complex prevalence of SAg genes. Significant resistances to antibiotics were also seen, highlighting the need for the rational appliance of antibiotics in veterinary medicine. British Veterinary Association.

  16. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  17. Antimicrobial susceptibility profile of selected bacteraemic ...

    African Journals Online (AJOL)

    Extended-spectrum 13-lactamase (ESBL) production was determined in selected species of Enterobacteriaceae irrespective of source. Results. The overall prevalence of ampicillin resistance in blood culture isolates of E. coli (N = 471) was 84%, and 20% were resistant to the fluoroquinolones. Considerable geographical ...

  18. Prevalence of antibiotic resistant Staphylococcus aureus from raw milk samples collected from the local vendors in the region of Tirupathi, India

    Directory of Open Access Journals (Sweden)

    Sudhanthirakodi Sudhanthiramani

    2015-04-01

    Full Text Available Aim: The study was carried out with the aim to identify the suitability of the milk for consumer use with special reference to Staphylococcus aureus from milk samples collected from various local vendors and determine the antibiotic susceptibility pattern of those positive isolates. Materials and Methods: A total of 110 milk samples were collected from the local milk vendors in and around Tirupathi region of India. All the samples were enriched with buffered peptone water in 9:1 ratio and the then inoculated on baird parker agar medium with added 2% egg yolk tellurite emulsion as selective medium for S.aureus and confirmed with mannitol salt agar, Gram’s staining and biochemical tests. The typical cultural characters with coagulase-positive samples were taken as positive samples the positive samples were tested for antibiotic susceptibility with 10 different antibiotics by employing disc diffusion method. Results: Prevalence of coagulase-positive S. aureus was 39.09% (43/110 from the milk samples. The antibiotic susceptibility test of positive isolates showed high resistant toward penicillin G 37/43 (86.04% and ampicillin 32/43 (74.42%, and also showed resistant to methicillin 6/43 (13.95%, cephalothin 6/43 (13.95%, tetracycline 6/43 (13.95%, ciprofloxacin 4/43 (9.30%, enrofloxacin 3/43 (6.97%, cefoxitin 2/43 (4.65%, gentamicin 2/43 (4.65%, and co-trimoxazole 2/43 (4.65%. Many individual isolates showed resistant against two or more antibiotics in our study. Conclusion: The above study results show that the milk samples collected from local vendor having S. aureus, which can induce disease condition as well as antibiotic resistant to the humans particularly young children and old age peoples by means of consumption of raw milk and its products. This is the public health issue, which needs to be solved by educating the local vendors regarding health problems related to unhygienic milk supply and make the awareness among the consumers about this

  19. The impact of fecal sample processing on prevalence estimates for antibiotic-resistant Escherichia coli.

    Science.gov (United States)

    Omulo, Sylvia; Lofgren, Eric T; Mugoh, Maina; Alando, Moshe; Obiya, Joshua; Kipyegon, Korir; Kikwai, Gilbert; Gumbi, Wilson; Kariuki, Samuel; Call, Douglas R

    2017-05-01

    Investigators often rely on studies of Escherichia coli to characterize the burden of antibiotic resistance in a clinical or community setting. To determine if prevalence estimates for antibiotic resistance are sensitive to sample handling and interpretive criteria, we collected presumptive E. coli isolates (24 or 95 per stool sample) from a community in an urban informal settlement in Kenya. Isolates were tested for susceptibility to nine antibiotics using agar breakpoint assays and results were analyzed using generalized linear mixed models. We observed a 0.1). Prevalence estimates did not differ for five distinct E. coli colony morphologies on MacConkey agar plates (P>0.2). Successive re-plating of samples for up to five consecutive days had little to no impact on prevalence estimates. Finally, culturing E. coli under different conditions (with 5% CO 2 or micro-aerobic) did not affect estimates of prevalence. For the conditions tested in these experiments, minor modifications in sample processing protocols are unlikely to bias estimates of the prevalence of antibiotic-resistance for fecal E. coli. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Antimicrobial resistance in coagulase-negative staphylococci from Nigerian traditional fermented foods.

    Science.gov (United States)

    Fowoyo, P T; Ogunbanwo, S T

    2017-01-31

    Coagulase-negative staphylococci have become increasingly recognized as the etiological agent of some infections. A significant characteristic of coagulase-negative staphylococci especially strains isolated from animals and clinical samples is their resistance to routinely used antibiotics although, resistant strains isolated from fermented foods have not been fully reported. A total of two hundred and fifty-five CoNS isolates were subjected to antimicrobial susceptibility test using the disc diffusion technique. The minimum inhibitory concentration of the isolates to the tested antibiotics was determined using the microbroth dilution method. Methicillin resistant strains were confirmed by detection of methicillin resistant genes (mecA) and also employing cefoxitin screening test. The isolates were confirmed to be methicillin resistant by the detection of mecA genes and the cefoxitin screening test. The isolates demonstrated appreciable resistance to ampicillin (86.7%), sulfomethoxazole-trimethoprim (74.9%), amoxicillin-clavulanic acid (52.5%) and oxacillin (35.7%). Methicillin resistance was exhibited by 13 out of the 255 isolates although no mecA gene was detected. It was also observed that the methicillin resistant isolates were prevalent in these traditional foods; iru, kindirmo, nono and wara. This study has ameliorated the incidence of multiple antibiotic resistant coagulase-negative staphylococci in Nigerian fermented foods and if not tackled adequately might lead to horizontal transfer of antibiotic resistance from food to man.

  1. Identification of the Related Substances in Ampicillin Capsule by Rapid Resolution Liquid Chromatography Coupled with Electrospray Ionization Tandem Mass Spectrometry

    Directory of Open Access Journals (Sweden)

    Lei Zhang

    2014-01-01

    Full Text Available Rapid Resolution Liquid Chromatography coupled with Electrospray Ionization Tandem Mass Spectrometry (RRLC-ESI-MSn was used to separate and identify related substances in ampicillin capsule. The fragmentation behaviors of related substances were used to identify their chemical structures. Finally, a total of 13 related substances in ampicillin capsule were identified, including four identified components for the first time and three groups of isomers on the basis of the exact mass, fragmentation behaviors, retention time, and chemical structures in the literature. This study avoided time-consuming and complex chemosynthesis of related substances of ampicillin and the results could be useful for the quality control of ampicillin capsule to guarantee its safety in clinic. In the meantime, it provided a good example for the rapid identification of chemical structures of related substances of drugs.

  2. Prevalence of Bacterial Vaginosis and Associated Risk Factors among Women Complaining of Genital Tract Infection

    Directory of Open Access Journals (Sweden)

    Adane Bitew

    2017-01-01

    Full Text Available Background. Bacterial vaginosis is a global concern due to the increased risk of acquisition of sexually transmitted infections. Objectives. To determine the prevalence of bacterial vaginosis and bacteria causing aerobic vaginitis. Methods. A cross-sectional study was conducted among 210 patients between September 2015 and July 2016 at St. Paul’s Hospital. Gram-stained vaginal swabs were examined microscopically and graded as per Nugent’s procedure. Bacteria causing aerobic vaginitis were characterized, and their antimicrobial susceptibility pattern was determined. Results. The overall prevalence of bacterial vaginosis was 48.6%. Bacterial vaginosis was significantly associated with number of pants used per day (p=0.001 and frequency of vaginal bathing (p=0.045. Of 151 bacterial isolates, 69.5% were Gram-negative and 30.5% were Gram-positive bacteria. The overall drug resistance level of Gram-positive bacteria was high against penicillin, tetracycline, and erythromycin. Cefoxitin and tobramycin were the most active drugs against Gram-positive bacteria. The overall drug resistance level of Gram-negative bacteria was high against tetracycline, ampicillin, and amoxicillin. Amikacin and tobramycin were the most active drugs against Gram-negative bacteria. Conclusions. The prevalence of bacterial vaginosis was high and was affected by individual hygiene. Routine culture of vaginal samples should be performed on patients with vaginitis and the drug susceptibility pattern of each isolate should be determined.

  3. Overcoming resistance to beta-lactamase inhibitors: comparing sulbactam to novel inhibitors against clavulanate resistant SHV enzymes with substitutions at Ambler position 244.

    Science.gov (United States)

    Thomson, Jodi M; Distler, Anne M; Bonomo, Robert A

    2007-10-09

    Amino acid changes at Ambler position R244 in class A TEM and SHV beta-lactamases confer resistance to ampicillin/clavulanate, a beta-lactam/beta-lactamase inhibitor combination used to treat serious infections. To gain a deeper understanding of this resistance phenotype, we investigated the activities of sulbactam and two novel penem beta-lactamase inhibitors with sp2 hybridized C3 carboxylates and bicyclic R1 side chains against a library of SHV beta-lactamase variants at the 244 position. Compared to SHV-1 expressed in Escherichia coli, all 19 R244 variants exhibited increased susceptibility to ampicillin/sulbactam, an important difference compared to ampicillin/clavulanate. Kinetic analyses of SHV-1 and three SHV R244 (-S, -Q, and -L) variants revealed the Ki for sulbactam was significantly elevated for the R244 variants, but the partition ratios, kcat/kinact, were markedly reduced (13 000 --> ampicillin susceptibility in vitro. Compared to that of sulbactam, the kcat/kinact values of penems for SHV-1 and R244S were low (resistance can be overcome by designing penem inhibitors with strategic chemical properties that improve affinity and impair turnover.

  4. Characterization of integron mediated antimicrobial resistance in Salmonella isolated from diseased swine

    Science.gov (United States)

    White, David G.; Zhao, Shaohua; McDermott, Patrick F.; Ayers, Sherry; Friedman, Sharon; Sherwood, Julie; Breider-Foley, Missy; Nolan, Lisa K.

    2003-01-01

    Forty-two Salmonella isolates obtained from diseased swine were genetically characterized for the presence of specific antimicrobial resistance mechanisms. Twenty of these isolates were characterized as S. Typhimurium DT104 strains. Pulsed-field gel electrophoresis was used to determine genetic relatedness and revealed 20 distinct genetic patterns among the 42 isolates. However, all DT104 isolates fell within 2 closely related genetic clusters. Other Salmonella isolates were genetically grouped together according to serotype. All DT104 isolates displayed the penta-resistance phenotype to ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline. Resistance to sulfamethoxazole, tetracycline, streptomycin, kanamycin, and ampicillin was most common among the non-DT104 Salmonella isolates. All DT104 strains contained 2 chromosomal integrons of 1000 and 1200 base pairs. The DNA sequencing revealed that the 2 integrons contained genes encoding a resistance to streptomycin and ampicillin, respectively. None of the non-DT104 strains showed the same pattern, although several strains possessed integrons of 1000 base pairs or larger. However, the majority of non-DT104 Salmonella strains did not possess any integrons. Two Salmonella isolates displayed tolerance to the organic solvent cyclohexane, indicating the possibility that they are overexpressing chromosomal regulatory genes marA or soxS or the associated multidrug efflux pump, acrAB. This research suggests that integrons contribute to antimicrobial resistance among specific swine Salmonella serotypes; however, they are not as widely disseminated among non-Typhimurium swine Salmonella serotypes as previously thought. PMID:12528827

  5. Antimicrobial resistance and detection of mecA and blaZ genes in coagulase-negative Staphylococcus isolated from bovine mastitis

    Directory of Open Access Journals (Sweden)

    Lidiane C. Soares

    2012-08-01

    Full Text Available The present study evaluated the pheno- and genotypical antimicrobial resistance profile of coagulase-negative Staphylococcus (CNS species isolated from dairy cows milk, specially concerning to oxacillin. Of 100 CNS isolates, the S. xylosus was the prevalent species, followed by S. cohnii, S. hominis, S. capitis and S. haemolyticus. Only 6% were phenotypically susceptible to the antimicrobial agents tested in disk diffusion assay. Penicillin and ampicillin resistance rates were significantly higher than others antimicrobials. Four isolates were positive to mecA gene (4%, all represented by the S. xylosus species. The blaZ gene was detected in 16% of the isolates (16/100. It was noticed that all mecA + were also positive to this gene and the presence of both genes was correlated to phenotypic beta-lactamic resistance. We conclude that CNS species from bovine milk presented significantly distinct antimicrobial resistance profiles, evaluated by phenotypic and genotypic tests, which has implications for treatment and management decisions.

  6. Effects and prevalence of nonresponders after 12 weeks of high-intensity interval or resistance training in women with insulin resistance: a randomized trial.

    Science.gov (United States)

    Álvarez, Cristian; Ramírez-Campillo, Rodrigo; Ramírez-Vélez, Robinson; Izquierdo, Mikel

    2017-04-01

    Our aim was to investigate the effects and prevalence of nonresponders (NR) to high-intensity interval training (HIIT) and resistance training (RT) in women with insulin resistance on cardiometabolic health parameters. Sedentary overweight/obese insulin-resistant women (age = 33.5 ± 6.5 yr; body mass index = 29.9 ± 3.7 kg/m 2 ) were randomly assigned to a triweekly HIIT program (HIIT; n = 18) or resistance training (RT; n = 17). Anthropometry (body mass, fat mass, muscle mass, waist circumference, and skinfold thickness), cardiovascular (blood pressure), metabolic [fasting glucose, fasting insulin, and homeostatic model of insulin resistance (HOMA-IR)], as well as muscle strength, and endurance performance covariables were measured before and after 12 wk in both intervention groups. The interindividual variability to exercise training of the subjects was categorized as responders and NR using as cut points two times the typical error of measurement in mean outcomes. After intervention, significant reduction in waist circumference, skinfold thicknesses, fat mass, blood pressure, fasting glucose, insulin, and HOMA-IR ( P HIIT and RT group, respectively. Both HIIT and RT groups exhibited a significant decrease in the endurance performance, whereas only RT exhibited increased muscle strength. Significant differences in the NR prevalence between the HIIT and RT groups were identified for a decrease in fat mass (HIIT 33.3% vs. RT 70.5%; P = 0.028), muscle mass (HIIT 100% vs. RT 52.9%; P = 0.001), and tricipital skinfold (HIIT 5.5% vs. RT 29.4%; P HIIT and RT groups (55.5% vs. 94.1; P = 0.009). However, there were no differences in the NR prevalence between HIIT and RT for decreasing fasting glucose. Twelve weeks of HIIT and RT have similar effects and NR prevalence to improve glucose control variables; however, there is different NR prevalence in other anthropometric, cardiovascular, strength, and endurance performance measurements in insulin-resistant women. These

  7. Prevalence of transmitted drug resistance and impact of transmitted resistance on treatment success in the German HIV-1 Seroconverter Cohort.

    Directory of Open Access Journals (Sweden)

    Barbara Bartmeyer

    Full Text Available BACKGROUND: The aim of this study is to analyse the prevalence of transmitted drug resistance, TDR, and the impact of TDR on treatment success in the German HIV-1 Seroconverter Cohort. METHODS: Genotypic resistance analysis was performed in treatment-naïve study patients whose sample was available 1,312/1,564 (83.9% October 2008. A genotypic resistance result was obtained for 1,276/1,312 (97.3%. The resistance associated mutations were identified according to the surveillance drug resistance mutations list recommended for drug-naïve patients. Treatment success was determined as viral suppression below 500 copies/ml. RESULTS: Prevalence of TDR was stable at a high level between 1996 and 2007 in the German HIV-1 Seroconverter Cohort (N = 158/1,276; 12.4%; CI(wilson 10.7-14.3; p(for trend = 0.25. NRTI resistance was predominant (7.5% but decreased significantly over time (CI(Wilson: 6.2-9.1, p(for trend = 0.02. NNRTI resistance tended to increase over time (NNRTI: 3.5%; CI(Wilson: 2.6-4.6; p(for trend= 0.07, whereas PI resistance remained stable (PI: 3.0%; CI(Wilson: 2.1-4.0; p(for trend = 0.24. Resistance to all drug classes was frequently caused by singleton resistance mutations (NRTI 55.6%, PI 68.4%, NNRTI 99.1%. The majority of NRTI-resistant strains (79.8% carried resistance-associated mutations selected by the thymidine analogues zidovudine and stavudine. Preferably 2NRTI/1PIr combinations were prescribed as first line regimen in patients with resistant HIV as well as in patients with susceptible strains (susceptible 45.3%; 173/382 vs. resistant 65.5%; 40/61. The majority of patients in both groups were treated successfully within the first year after ART-initiation (susceptible: 89.9%; 62/69; resistant: 7/9; 77.8%. CONCLUSION: Overall prevalence of TDR remained stable at a high level but trends of resistance against drug classes differed over time. The significant decrease of NRTI-resistance in patients newly infected

  8. Synthesis of netlike gold nanoparticles using ampicillin as a stabilizing reagent and its application

    International Nuclear Information System (INIS)

    Song, Y.Z.; Zhou, J.F.; Song, Y.; Cheng, Z.P.; Xu, J.

    2012-01-01

    Graphical abstract: Electrochemical deposition of netlike gold nanoparticles (GNPs) on the surface of glassy carbon electrode and preparation of netlike GNPs in aqueous solution using ampicillin as a stabilizing reagent were proposed. The catalytic properties of netlike gold nanoparticles on the glassy carbon electrode for dopamine were demonstrated. The results indicate that the netlike gold nanoparticle modified electrode has an excellent repeatability and reproducibility. Display Omitted Highlights: ► Synthesis of netlike gold nanoparticles using ampicillin as a stabilizing reagent. ► Excellent repeatability and reproducibility of netlike gold nanoparticle modified glassy carbon electrode. ► The catalytic properties of netlike gold nanoparticle for dopamine. -- Abstract: Electrochemical deposition of netlike gold nanoparticles on the surface of glassy carbon electrode and preparation of netlike GNPs in aqueous solution using ampicillin as a stabilizing reagent were proposed. The netlike gold nanoparticles were characterized by scanning electron microscope, transmission electron microscope, infrared spectrometer, UV spectrophotometer, powder X-ray diffractometer and electrochemical analyzer. The catalysis of the netlike gold nanoparticles on the glassy carbon electrode for dopamine was demonstrated. The results indicate that the gold nanoparticle modified electrode has an excellent repeatability and reproducibility.

  9. spa typing and antimicrobial resistance of Staphylococcus aureus from healthy humans, pigs and dogs in Tanzania

    DEFF Research Database (Denmark)

    Katakweba, Abdul S.; Muhairwa, Amandus P.; Espinosa-Gongora, Carmen

    2016-01-01

    . aureus carrier frequencies in dogs and humans were within the expected range and low in pigs. The S. aureus spa types circulating in the community were generally not shared by different hosts and majority of types belonged to known clones. Besides ampicillin resistance, moderate levels of antimicrobial......Introduction: Staphylococcus aureus is an opportunistic pathogen causing infections in humans and animals. Here we report for the first time the prevalence of nasal carriage, spa typing and antimicrobial resistance of S. aureus in a Tanzanian livestock community. Methodology: Nasal swabs were taken...... from 100 humans, 100 pigs and 100 dogs in Morogoro Municipal. Each swab was enriched in Mueller Hinton broth with 6.5% NaCl and subcultured on chromogenic agar for S. aureus detection. Presumptive S. aureus colonies were confirmed to the species level by nuc PCR and analysed by spa typing...

  10. Longitudinal Comparison of Antibiotic Resistance in Diarrheagenic and Non-pathogenic E. coli from Young Tanzanian Children

    Directory of Open Access Journals (Sweden)

    Jessica Couvillion Seidman

    2016-09-01

    Full Text Available Enteroaggregative, enteropathogenic, and enterotoxigenic E. coli contribute significantly to the burden of diarrheal infections particularly in developing countries. Antibiotic resistance is increasingly common among bacterial pathogens including pathogenic E. coli. We assessed the relationship between pathogenic E. coli carriage and resistance to 6 antibiotics in E. coli isolated from young children in rural Tanzania. We surveyed temporal stability in antibiotic resistance in 2492 E. coli isolated from fecal samples obtained from young children in rural Tanzania collected over a 6 month period. Enteroaggregative, enteropathogenic, and enterotoxigenic E. coli contribute significantly to the burden of diarrheal infections particularly in developing countries. Antibiotic resistance is increasingly common among bacterial pathogens including pathogenic E. coli. We assessed the relationship between pathogenic E. coli carriage and resistance to 6 antibiotics in E. coli isolated from young children in rural Tanzania. We surveyed temporal stability in antibiotic resistance in 2492 E. coli isolated from fecal samples obtained from young children in rural Tanzania collected over a 6 month period. Approximately half of the 377 children sampled were exposed to an azithromycin mass treatment program for trachoma control and half resided in control villages. Children were sampled at baseline, 1-, 3- and 6 months following azithromycin treatment. We compared resistance to 6 antibiotics in pathogenic and non-pathogenic strains at the population level, within fecal specimens, and within individuals over time using chi-square tests, paired odds ratios, and logistic regression, respectively. Resistance to ampicillin and trimethoprim/sulfamethoxazole was highly prevalent (>65%. Resistance to 5 of 6 antibiotics tested and multi-drug resistance occurred more frequently in pathogenic isolates (p≤0.001 within fecal specimens and overall. Azithromycin mass treatment

  11. Resistance to antimicrobial agents among Salmonella isolates recovered from layer farms and eggs in the Caribbean region.

    Science.gov (United States)

    Adesiyun, Abiodun; Webb, Lloyd; Musai, Lisa; Louison, Bowen; Joseph, George; Stewart-Johnson, Alva; Samlal, Sannandan; Rodrigo, Shelly

    2014-12-01

    This investigation determined the frequency of resistance of 84 isolates of Salmonella comprising 14 serotypes recovered from layer farms in three Caribbean countries (Trinidad and Tobago, Grenada, and St. Lucia) to eight antimicrobial agents, using the disc diffusion method. Resistance among isolates of Salmonella was related to the country of recovery, type of sample, size of layer farms, and isolate serotype. Overall, all (100.0%) of the isolates exhibited resistance to one or more of seven antimicrobial agents tested, and all were susceptible to chloramphenicol. The resistance detected ranged from 11.9% to sulphamethoxazole-trimethoprim (SXT) to 100.0% to erythromycin. The difference was, however, not statistically significant (P = 0.23). Across countries, for types of samples that yielded Salmonella, significant differences in frequency of resistance were detected only to SXT (P = 0.002) in Trinidad and Tobago and to gentamycin (P = 0.027) in St. Lucia. For the three countries, the frequency of resistance to antimicrobial agents was significantly different for ampicillin (P = 0.001) and SXT (P = 0.032). A total of 83 (98.8%) of the 84 isolates exhibited 39 multidrug resistance patterns. Farm size significantly (P = 0.032) affected the frequency of resistance to kanamycin across the countries. Overall, among the 14 serotypes of Salmonella tested, significant (P resistance were detected to kanamycin, ampicillin, and SXT. Results suggest that the relatively high frequency of resistance to six of the antimicrobial agents (erythromycin, streptomycin, gentamycin, kanamycin, ampicillin, and tetracycline) tested and the multidrug resistance detected may pose prophylactic and therapeutic concerns for chicken layer farms in the three countries studied.

  12. Kinetics and dose calculations of ampicillin and gentamicin given as continuous intravenous infusion during parenteral nutrition in 88 newborn infants

    DEFF Research Database (Denmark)

    Colding, H; Møller, S; Bentzon, M W

    1983-01-01

    Ampicillin and gentamicin were administered continuously intravenously to 88 newborn infants using individually calculated dosages. For infants with a mean value of plasma clearance of the antibiotics, it was calculated that the serum ampicillin and gentamicin concentrations would be between 35-5...

  13. Methicillin-resistant Staphylococcus aureus in palliative care: A prospective study of Methicillin-resistant Staphylococcus aureus prevalence in a hospital-based palliative care unit.

    Science.gov (United States)

    Schmalz, Oliver; Strapatsas, Tobias; Alefelder, Christof; Grebe, Scott Oliver

    2016-07-01

    Methicillin-resistant Staphylococcus aureus is a common organism in hospitals worldwide and is associated with morbidity and mortality. However, little is known about the prevalence in palliative care patients. Furthermore, there is no standardized screening protocol or treatment for patients for whom therapy concentrates on symptom control. Examining the prevalence of methicillin-resistant Staphylococcus aureus in palliative care patients as well as the level of morbidity and mortality. We performed a prospective study where methicillin-resistant Staphylococcus aureus screening was undertaken in 296 consecutive patients within 48 h after admission to our palliative care unit. Medical history was taken, clinical examination was performed, and the Karnofsky Performance Scale and Palliative Prognostic Score were determined. Prevalence of Methicillin-resistant Staphylococcus aureus was compared to data of general hospital patients. In total, 281 patients were included in the study having a mean age of 69.7 years (standard deviation = 12.9 years) and an average Karnofsky Performance Scale between 30% and 40%. The mean length of stay was 9.7 days (standard deviation = 7.6 days). A total of 24 patients were methicillin-resistant Staphylococcus aureus positive on the first swab. Median number of swabs was 2. All patients with a negative methicillin-resistant Staphylococcus aureus swab upon admission remained Methicillin-resistant Staphylococcus aureus negative in all subsequent swabs. Our study suggests that the prevalence of Methicillin-resistant Staphylococcus aureus among patients in an in-hospital palliative care unit is much higher than in other patient populations. © The Author(s) 2016.

  14. Extremely High Prevalence of Metronidazole-Resistant Helicobacter pylori Strains in Mountain People (Karen and Hmong) in Thailand.

    Science.gov (United States)

    Vilaichone, Ratha-korn; Ratanachu-Ek, Thawee; Gamnarai, Pornpen; Chaithongrat, Supakarn; Uchida, Tomahisa; Yamaoka, Yoshio; Mahachai, Varocha

    2016-04-01

    This study aimed to survey the prevalence, patterns of antibiotic resistance, and clinical factors associated with antibiotic resistance in Helicobacter pylori among the Karen and Hmong mountain people of Thailand. We recruited dyspeptic patients in the Maesod district, Tak Province, Thailand. All subjects underwent upper gastrointestinal endoscopy, and three antral gastric biopsies were obtained for rapid urease tests and culture. An epsilometer was used to determine the minimum inhibitory concentrations of amoxicillin (AMX), clarithromycin (CLR), metronidazole (MNZ), levofloxacin (LVX), ciprofloxacin (CIP), and tetracycline (TET). A total of 291 subjects were enrolled; 149 (51.2%) were infected with H. pylori. Helicobacter pylori infection was present in 47.1% of Thai, 51.7% of Karen, and 58.7% of Hmong subjects. Antibiotic resistance was present in 75.8% including AMX (0.8%), TET (0%), CLR (5.6%), MNZ (71.8%), CIP (19.4%), LVX (19.4%), and multidrug resistance in 21.8%. Karen subjects had the highest prevalence of MNZ resistance (84.6%), and Hmong subjects had the highest prevalence of fluoroquinolone (27.3%) and multidrug (34.1%) resistance. MNZ plus fluoroquinolone (14.5%) was the most common multidrug resistance. There was no association between clinical factors and antibiotic resistance. MNZ resistance was prevalent, whereas fluoroquinolone- and multidrug-resistant H. pylori infections are important problems in mountain people of Thailand. © The American Society of Tropical Medicine and Hygiene.

  15. Prevalence of Antibiotic Resistance in Commensal Escherichia Coli among the Children in Rural Hill Communities of North East India

    OpenAIRE

    Lepcha, Yangchen; Pradhan, Nilu; Gajamer, Varsha; Singh, Samer; Das, Saurav; Tiwari, Ashish; Singh, Ashish

    2018-01-01

    Commensal bacteria are the representative of the reservoir of antibiotic resistance genes present in a community. Merely a few community-based studies on the prevalence of antibiotic resistance in commensal bacteria have been conducted so far in Southeast Asia and other parts of India. Northeastern India is still untapped regarding the surveillance of antibiotic-resistant genes and prevalence in commensal bacteria. In the present work, the prevalence of antibiotic resistance in commensal Esch...

  16. Antimicrobial resistance, virulence, and phylogenetic characteristics of Escherichia coli isolates from clinically healthy swine.

    Science.gov (United States)

    Lay, Khin Khin; Koowattananukul, Chailai; Chansong, Nisit; Chuanchuen, Rungtip

    2012-11-01

    A total of 344 commensal Escherichia coli isolates from clinically healthy pigs were examined for antimicrobial resistance phenotypes, class 1 integrons, resistance genes, virulence gene profile, and phylogenetic groups. The majority of E. coli isolates were resistant to tetracycline (96.2%) and ampicillin (91.6%). Up to 98% were multidrug resistant. Seventy-three percent of the isolates carried class 1 integrons. Inserted-gene cassette arrays in variable regions included incomplete sat, aadA22, aadA1, dfrA12-aadA2, and sat-psp-aadA2, of which the aadA2 gene cassette was most prevalent (42.9%). Horizontal transfer was detected in eight E. coli isolates carrying class 1 integrons with dfrA12-aadA2 gene cassette array. Sixteen resistance genes were identified among the E. coli isolates with corresponding resistance phenotype. Ten virulence genes (including elt, estA, estB, astA, faeG, fasA, fedA, eaeA, paa, and sepA) were detected, of which fasA was most commonly found (98.3%). Most of the E. coli isolates belonged to phylogenetic group B1. Significantly positive associations were observed between some virulence genes and some resistance phenotypes and genotypes (p antimicrobial resistance-encoding genes and virulence determinants.

  17. Resistance patterns of bacterial isolates to antimicrobials from 3 hospitals in the United Arab Emirates

    International Nuclear Information System (INIS)

    AlDhaheri, Ahmed S; AlNiyadi, Mohammed S; AlDhaheri Ahmed D; Bastaki, Salim M

    2009-01-01

    To compare the resistance pattern of common bacterial pathogens to commonly used drugs. Information and statistics of antimicrobial resistance for 1994 and 2005 were collected from the 3 hospital microbiology laboratories in the United Arab Emirates. The resistance patterns of Staphylococcus aureus, Escherichia coli, Klebsiella spp, and Pseudomonas aeruginosa to several front-line drugs were estimated. All laboratories used automatic machines (Vitek 2), which identifies and determines minimum inhibitory concentrations simultaneously. Increased resistance was observed for Staphylococcus aureus, (n=315, 2005) to erythromycin (approximately 6 fold, Al-Ain Hospital only), cloxacillin (Al-Ain Hospital), and gentamicin (more than 3-10 folds in all hospitals). Increased penicillin resistance was not observed. For the common Gram-negative organisms, there was a high resistance to ampicillin, gentamicin, ceftriaxone, ciprofloxacin, and imipenem, which seemed to increase for Escherichia coli, (by 4.2-200%, n=305, 2005); however, there was very little resistance to imipenem (0.4%) in Tawam Hospital. Variable resistance patterns were obtained for Pseudomonas aeruginosa (n=316, 2005) and Klebsiella spp,(n=316, 2005) against aminoglycosides, cephalosporins, ciprofloxacin, and norfloxacin. Overall, there was an obvious increase in resistance of bacteria and the prevalence rate to a number of drugs from 1-120 folds during the 11-year period. (author)

  18. Potential public health significance of faecal contamination and multidrug-resistant Escherichia coli and Salmonella serotypes in a lake in India.

    Science.gov (United States)

    Abhirosh, C; Sherin, V; Thomas, A P; Hatha, A A M; Mazumder, A

    2011-06-01

    To assess the prevalence of faecal coliform bacteria and multiple drug resistance among Escherichia coli and Salmonella serotypes from Vembanadu Lake. Systematic microbiological testing. Monthly collection of water samples were made from ten stations on the southern and northern parts of a salt water regulator constructed in Vembanadu Lake in order to prevent incursion of seawater during certain periods of the year. Density of faecal colifrom bacteria was estimated. E. coli and Salmonella were isolated and their different serotypes were identified. Antibiotic resistance analysis of E. coli and Salmonella serotypes was done and the MAR index of individual isolates was calculated. Density of faecal coliform bacteria ranged from mean MPN value 2900 -7100/100ml. Results showed multiple drug resistance pattern among the bacterial isolates. E. coli showed more than 50% resistance to amickacin, oxytetracycline, streptomycin, tetracycline and kanamycin while Salmonella showed high resistance to oxytetracycline, streptomycin, tetracycline and ampicillin. The MAR indexing of the isolates showed that they have originated from high risk source such as humans, poultry and dairy cows. The high density of faecal coliform bacteria and prevalence of multi drug resistant E. coli and Salmonella serotypes in the lake may pose severe public health risk through related water borne and food borne outbreaks. Copyright © 2011 The Royal Society for Public Health. Published by Elsevier Ltd. All rights reserved.

  19. The Antibiotic Resistance Profiles of Bacterial Strains Isolated from Patients with Hospital-Acquired Bloodstream and Urinary Tract Infections

    Directory of Open Access Journals (Sweden)

    Hamed Ghadiri

    2012-01-01

    Full Text Available Treatment of nosocomial infections is becoming difficult due to the increasing trend of antibiotics resistance. Current knowledge on antibiotic resistance pattern is essential for appropriate therapy. We aimed to evaluate antibiotic resistance profiles in nosocomial bloodstream and urinary tract pathogens. A total of 129 blood stream and 300 urinary tract positive samples were obtained from patients referring to Besat hospital over a two-year period (2009 and 2010. Antibiotic sensitivity was ascertained using the Kirby-Bauer disk diffusion technique according to CLSI guidelines. Patient's data such as gender and age were recorded. The ratio of gram-negative to gram-positive bacteria in BSIs was 1.6 : 1. The most prevalent BSI pathogen was Coagulase-Negative Staphylococci (CoNS. The highest resistance rate of CoNS was against penicillin (91.1% followed by ampicillin (75.6%, and the lowest rate was against vancomycin (4.4%. Escherichia coli was the most prevalent pathogen isolated from urinary tract infections (UTIs. Ratio of gram-negative to gram-positive bacteria was 3.2 : 1. The highest resistance rate of E. coli isolates was against nalidixic acid (57.7%. The present study showed that CoNS and E. coli are the most common causative agents of nosocomial BSIs and UTIs, and control of infection needs to be addressed in both antibiotic prescription and general hygiene.

  20. Prevalence of drug-resistant tuberculosis and imputed burden in South Africa: a national and sub-national cross-sectional survey.

    Science.gov (United States)

    Ismail, Nazir Ahmed; Mvusi, Lindiwe; Nanoo, Ananta; Dreyer, Andries; Omar, Shaheed V; Babatunde, Sanni; Molebatsi, Thabo; van der Walt, Martie; Adelekan, Adeboye; Deyde, Varough; Ihekweazu, Chikwe; Madhi, Shabir A

    2018-04-20

    Globally, per-capita, South Africa reports a disproportionately high number of cases of multidrug-resistant (MDR) tuberculosis and extensively drug-resistant (XDR) tuberculosis. We sought to estimate the prevalence of resistance to tuberculosis drugs in newly diagnosed and retreated patients with tuberculosis provincially and nationally, and compared these with the 2001-02 estimates. A cross-sectional survey was done between June 15, 2012-June 14, 2014, using population proportionate randomised cluster sampling in the nine provinces in South Africa. 343 clusters were included, ranging between 31 and 48 per province. A patient was eligible for inclusion in the survey if he or she presented as a presumptive case during the intake period at a drug resistance survey enrolling facility. Consenting participants (≥18 years old) completed a questionnaire and had a sputum sample tested for resistance to first-line and second-line drugs. Analysis was by logistic regression with robust SEs, inverse probability weighted against routine data, and estimates were derived using a random effects model. 101 422 participants were tested in 2012-14. Nationally, the prevalence of MDR tuberculosis was 2·1% (95% CI 1·5-2·7) among new tuberculosis cases and 4·6% (3·2-6·0) among retreatment cases. The provincial point prevalence of MDR tuberculosis ranged between 1·6% (95% CI 0·9-2·9) and 5·1% (3·7-7·0). Overall, the prevalence of rifampicin-resistant tuberculosis (4·6%, 95% CI 3·5-5·7) was higher than the prevalence of MDR tuberculosis (2·8%, 2·0-3·6; p=0·01). Comparing the current survey with the previous (2001-02) survey, the overall MDR tuberculosis prevalence was 2·8% versus 2·9% and prevalance of rifampicin-resistant tuberculosis was 3·4% versus 1·8%, respectively. The prevalence of isoniazid mono-resistant tuberculosis was above 5% in all provinces. The prevalence of ethionamide and pyrazinamide resistance among MDR tuberculosis cases was 44·7% (95% CI 25

  1. Prevalence of drug-resistant pulmonary tuberculosis in India: systematic review and meta-analysis.

    Science.gov (United States)

    Goyal, Vishal; Kadam, Vijay; Narang, Prashant; Singh, Vikram

    2017-10-17

    Drug-resistant pulmonary tuberculosis (DR-TB) is a significant public health issue that considerably deters the ongoing TB control efforts in India. The purpose of this review was to investigate the prevalence of DR-TB and understand the regional variation in resistance pattern across India from 1995 to 2015, based on a large body of published epidemiological studies. A systematic review of published studies reporting prevalence of DR-TB from biomedical databases (PubMed and IndMed) was conducted. Meta-analysis was performed using random effects model and the pooled prevalence estimate (95% confidence interval [CI]) of DR-TB, multidrug resistant (MDR-) TB, pre-extensively drug-resistant (pre-XDR) TB and XDR-TB were calculated across two study periods (decade 1: 1995 to 2005; decade 2: 2006 to 2015), countrywide and in different regions. Heterogeneity in this meta-analysis was assessed using I 2 statistic. A total of 75 of 635 screened studies that fulfilled the inclusion criteria were selected. Over 40% of 45,076 isolates suspected for resistance to any first-line anti-TB drugs tested positive. Comparative analysis revealed a worsening trend in DR-TB between the two study decades (decade 1: 37.7% [95% CI = 29.0; 46.4], n = 25 vs decade 2: 46.1% [95% CI = 39.0; 53.2], n = 36). The pooled estimate of MDR-TB resistance was higher in previously treated patients (decade 1: 29.8% [95% CI = 20.7; 39.0], n = 13; decade 2: 35.8% [95% CI = 29.2; 42.4], n = 24) as compared with the newly diagnosed cases (decade 1: 4.1% [95% CI = 2.7; 5.6], n = 13; decade 2: 5.6% [95% CI = 3.8; 7.4], n = 17). Overall, studies from Western states of India reported highest prevalence of DR-TB (57.8% [95% CI = 37.4; 78.2], n = 6) and MDR-TB (39.9% [95% CI = 21.7; 58.0], n = 6) during decade 2. Prevalence of pre-XDR TB was 7.9% (95% CI = 4.4; 11.4, n = 5) with resistance to fluoroquinolone (66.3% [95% CI = 58.2; 74.4], n = 5) being the highest. The

  2. The effects of the antibiotics ampicillin, florfenicol, sulfamethazine, and tylosin on biogas production and their degradation efficiency during anaerobic digestion.

    Science.gov (United States)

    Mitchell, Shannon M; Ullman, Jeffrey L; Teel, Amy L; Watts, Richard J; Frear, Craig

    2013-12-01

    The impacts of four common animal husbandry antibiotics (ampicillin, florfenicol, sulfamethazine, and tylosin) on anaerobic digestion (AD) treatment efficiency and the potential for antibiotic degradation during digestion were evaluated. Sulfamethazine and ampicillin exhibited no impact on total biogas production up to 280 and 350 mg/L, respectively, although ampicillin inhibited biogas production rates during early stages of AD. Tylosin reduced biogas production by 10-38% between 130 and 913 mg/L. Florfenicol reduced biogas by ≈ 5%, 40% and 75% at 6.4, 36 and 210 mg/L, respectively. These antibiotic concentrations are higher than commonly seen for mixed feedlot manure, so impacts on full scale AD should be minimal. Antibiotic degradation products were found, confirming AD effectively degraded ampicillin, florfenicol, and tylosin, although some products were persistent throughout the process. Contamination of AD solid and liquid effluents with sulfamethazine and antibiotic transformation products from florfenicol and tylosin could present an environmental concern. Published by Elsevier Ltd.

  3. Sponge microbiota are a reservoir of functional antibiotic resistance genes

    Directory of Open Access Journals (Sweden)

    Dennis Versluis

    2016-11-01

    Full Text Available Wide application of antibiotics has contributed to the evolution of multi-drug resistant human pathogens, resulting in poorer treatment outcomes for infections. In the marine environment, seawater samples have been investigated as a resistance reservoir; however, no studies have methodically examined sponges as a reservoir of antibiotic resistance. Sponges could be important in this respect because they often contain diverse microbial communities that have the capacity to produce bioactive metabolites. Here, we applied functional metagenomics to study the presence and diversity of functional resistance genes in the sponges Aplysina aerophoba, Petrosia ficiformis and Corticium candelabrum. We obtained 37 insert sequences facilitating resistance to D-cycloserine (n=6, gentamicin (n=1, amikacin (n=7, trimethoprim (n=17, chloramphenicol (n=1, rifampicin (n=2 and ampicillin (n=3. Fifteen of 37 inserts harboured resistance genes that shared <90% amino acid identity with known gene products, whereas on 13 inserts no resistance gene could be identified with high confidence, in which case we predicted resistance to be mainly mediated by antibiotic efflux. One marine-specific ampicillin-resistance-conferring β-lactamase was identified in the genus Pseudovibrio with 41% global amino acid identity to the closest β-lactamase with demonstrated functionality, and subsequently classified into a new family termed PSV. Taken together, our results show that sponge microbiota host diverse and novel resistance genes that may be harnessed by phylogenetically distinct bacteria.

  4. Loads and antimicrobial resistance of Campylobacter spp. on fresh chicken meat in Nueva Ecija, Philippines.

    Science.gov (United States)

    Sison, F B; Chaisowwong, W; Alter, T; Tiwananthagorn, S; Pichpol, D; Lampang, K N; Baumann, M P O; Gölz, G

    2014-05-01

    This study was performed to determine the prevalence and to semiquantify Campylobacter spp. on chicken meat samples at 4 selected local wet markets in Nueva Ecija, Philippines, and to determine the antimicrobial resistance patterns of the Campylobacter isolates. Out of 120 chicken meat samples, 57 (47.5%) were Campylobacter spp. positive. The majority of isolated Campylobacter strains were identified as Campylobacter coli (54.4%) and 45.6% as Campylobacter jejuni. Most of these positive samples (52.6%) showed a very high quantitative Campylobacter contamination (most probable number > 2,400/g, lower confidence limit 580/g). For antimicrobial resistance testing, 44 C. coli/jejuni isolates were tested using the agar disk diffusion method. Out of these, 77.3% were resistant to ampicillin, followed by ciprofloxacin (70.4%), tetracycline (54.6%), erythromycin (20.2%), and gentamicin (11.4%). Of the isolates, 36.4% (n = 16) were resistant to 1 antimicrobial agent, 34.1% (n = 15) were resistance to 3 antimicrobial agents, 13.6% (n = 6) to 2 antimicrobial agents, 9.1% (n = 4) to 4 antimicrobial agents, and 6.8% (n = 3) to all 5 antimicrobial agents tested. Our data demonstrate a high contamination of fresh chicken meat with Campylobacter spp. at retail in the Philippines. The detected high Campylobacter prevalences and quantitative loads on chicken meat at retail in the Philippines highlight the need to implement efficient intervention measures along the food chain and to encourage sanitary handling of poultry meat.

  5. High prevalence of antiretroviral drug resistance among HIV-1-untreated patients in Guinea-Conakry and in Niger.

    Science.gov (United States)

    Charpentier, Charlotte; Bellecave, Pantxika; Cisse, Mohamed; Mamadou, Saidou; Diakite, Mandiou; Peytavin, Gilles; Tchiombiano, Stéphanie; Teisseire, Pierre; Pizarro, Louis; Storto, Alexandre; Brun-Vézinet, Françoise; Katlama, Christine; Calvez, Vincent; Marcelin, Anne-Geneviève; Masquelier, Bernard; Descamps, Diane

    2011-01-01

    The aim of the study was to assess the prevalence of antiretroviral drug resistance mutations in HIV-1 from recently diagnosed and untreated patients living in Conakry, Guinea-Conakry and in Niamey, Niger. The study was performed in two countries of Western Africa - Guinea-Conakry and Niger - using the same survey method in both sites. All newly HIV-1 diagnosed patients, naive of antiretroviral drugs, were consecutively included during September 2009 in each of the two sites. Protease and reverse transcriptase sequencing was performed using the ANRS procedures. Drug resistance mutations were identified according to the 2009 update surveillance drug resistance mutations. In Conakry, 99 patients were included, most of whom (89%) were infected with CRF02_AG recombinant virus. Resistance analysis among the 93 samples showed that ≥1 drug resistance mutation was observed in 8 samples, leading to a prevalence of primary resistance of 8.6% (95% CI 2.91-14.29%). In Niamey, 96 patients were included; a high diversity in HIV-1 subtypes was observed with 47 (51%) patients infected with CRF02_AG. Resistance analysis performed among the 92 samples with successful genotypic resistance test showed that ≥1 drug resistance mutation was observed in 6 samples, leading to a prevalence of primary resistance of 6.5% (95% CI 1.50-11.50%). We reported the first antiretroviral drug resistance survey studies in antiretroviral-naive patients living in Guinea-Conakry and in Niger. The prevalence of resistance was between 6% and 9% in both sites, which is higher than most of the other countries from Western Africa region.

  6. Prevalence and Antimicrobial Resistance of Enterococcus Species: A Hospital-Based Study in China

    Directory of Open Access Journals (Sweden)

    Wei Jia

    2014-03-01

    Full Text Available Objective: to investigate the prevalence and antimicrobial resistance of Enterococcus species isolated from a university hospital, and explore the mechanisms underlying the antimicrobial resistance, so as to provide clinical evidence for the inappropriate clinical use of antimicrobial agents and the control and prevention of enterococcal infections. Methods: a total of 1,157 enterococcal strains isolated from various clinical specimens from January 2010 to December 2012 in the General Hospital of Ningxia Medical University were identified to species level with a VITEK-2 COMPACT fully automated microbiological system, and the antimicrobial susceptibility of Enterococcus species was determined using the Kirby-Bauer disc diffusion method. The multiple-drug resistant enterococcal isolates were screened from the clinical isolates of Enterococcus species from the burns department. The minimal inhibitory concentration (MIC of Enterococcus species to the three fluoroquinolones, including ciprofloxacin, gatifloxacin and levofloxacin was determined with the agar dilution method, and the changes in the MIC of Enterococcus species to the three fluoroquinolones following reserpine treatment were evaluated. The β-lactam, aminoglycoside, tetracycline, macrolide, glycopeptide resistance genes and the efflux pump emeA genes were detected in the enterococcal isolates using a polymerase chain reaction (PCR assay. Results: the 1,157 clinical isolates of Enterococcus species included 679 E. faecium isolates (58.7%, 382 E. faecalis isolates (33%, 26 E. casseliflavus isolates (2.2%, 24 E. avium isolates (2.1%, and 46 isolates of other Enterococcus species (4%. The prevalence of antimicrobial resistance varied significantly between E. faecium and E. faecalis, and ≤1.1% of these two Enterococcus species were found to be resistant to vancomycin, teicoplanin or linezolid. In addition, the Enterococcus species isolated from different departments of the hospital

  7. Antibiotic-Resistant Enteric Bacteria in Environmental Waters

    Directory of Open Access Journals (Sweden)

    Lisa M. Casanova

    2016-11-01

    Full Text Available Sources of antibiotic resistant organisms, including concentrated animal feeding operations (CAFOs, may lead to environmental surface and groundwater contamination with resistant enteric bacteria of public health concern. The objective of this research is to determine whether Salmonella, Escherichia coli, Yersinia enterocolitica, and enterococci resistant to clinically relevant antibiotics are present in surface and groundwater sources in two eastern North Carolina counties, Craven and Wayne. 100 surface and groundwater sites were sampled for Salmonella, E. coli, and enterococci, and the bacteria isolated from these samples were tested for susceptibility to clinically relevant antibiotics. Salmonella were detected at low levels in some surface but not groundwater. E. coli were in surface waters but not ground in both counties. Enterococci were present in surface water and a small number of groundwater sites. Yersinia was not found. Bacterial densities were similar in both counties. For Salmonella in surface water, the most frequent type of resistance was to sulfamethoxazole. There was no ciprofloxacin resistance. There were a few surface water E. coli isolates resistant to chloramphenicol, gentamicin, and ampicillin. Enterococci in surface water had very low levels of resistance to vancomycin, chloramphenicol, ampicillin, and streptomycin. E. coli and enterococci are present more frequently and at higher levels in surface water than Salmonella, but groundwater contamination with any of these organisms was rare, and low levels of resistance can be found sporadically. Resistant bacteria are relatively uncommon in these eastern N.C. surface and groundwaters, but they could pose a risk of human exposure via ingestion or primary contact recreation.

  8. Antimicrobial prophylaxis for major head and neck surgery in cancer patients: sulbactam-ampicillin versus clindamycin-amikacin.

    OpenAIRE

    Phan, M; Van der Auwera, P; Andry, G; Aoun, M; Chantrain, G; Deraemaecker, R; Dor, P; Daneau, D; Ewalenko, P; Meunier, F

    1992-01-01

    A total of 99 patients with head and neck cancer who were to undergo surgery were randomized in a prospective comparative study of sulbactam-ampicillin (1:2 ratio; four doses of 3 g of ampicillin and 1.5 g of sulbactam intravenously [i.v.] every 6 h) versus clindamycin (four doses of 600 mg i.v. every 6 h)-amikacin (two doses of 500 mg i.v. every 12 h) as prophylaxis starting at the induction of anesthesia. The two groups of evaluable patients (43 in the clindamycin-amikacin treatment group a...

  9. The Prevalence of Drug-Resistant Tuberculosis in Mainland China: An Updated Systematic Review and Meta-Analysis.

    Science.gov (United States)

    Duan, Qionghong; Chen, Zi; Chen, Cong; Zhang, Zhengbin; Lu, Zhouqin; Yang, Yalong; Zhang, Lin

    2016-01-01

    In recent years, drug resistant tuberculosis (DR-TB) particularly the emergence of multi-drug-resistant tuberculosis (MDR-TB) has become a major public health issue. The most recent study regarding the prevalence of drug-resistant tuberculosis in mainland China was a meta-analysis published in 2011, and the subjects from the included studies were mostly enrolled before 2008, thus making it now obsolete. Current data on the national prevalence of DR-TB is needed. This review aims to provide a comprehensive and up-to-date assessment of the status of DR-TB epidemic in mainland China. A systematic review and meta-analysis of studies regarding the prevalence of drug-resistant tuberculosis in mainland China was performed. Pubmed/MEDLINE, EMBASE, the Cochrane central database, the Chinese Biomedical Literature Database and the China National Knowledge Infrastructure Database were searched for studies relevant to drug-resistant tuberculosis that were published between January 1, 2012 and May 18, 2015. Comprehensive Meta-Analysis (V2.2, Biostat) software was used to analyse the data. A total of fifty-nine articles, published from 2012 to 2015, were included in our review. The result of this meta-analysis demonstrated that among new cases, the rate of resistance to any drug was 20.1% (18.0%-22.3%; n/N = 7203/34314) and among retreatment cases, the rate was 49.8% (46.0%-53.6%; n/N = 4155/8291). Multi-drug resistance among new and retreatment cases was 4.8% (4.0%-5.7%; n/N = 2300/42946) and 26.3% (23.1%-29.7%; n/N = 3125/11589) respectively. The results were significantly heterogeneous (pdrug resistance patterns were found by subgroup analysis according to geographic areas, subject enrolment time, and methods of drug susceptibility test (DST). The prevalence of resistance to any drug evidently dropped for both new and retreatment cases, and multi-drug resistance declined among new cases but became more prevalent among retreatment cases compared to the data before 2008

  10. Detection of Enterohemorrhagic Escherichia coli Related Genes in E. coli Strains Belonging to B2 Phylogroup Isolated from Urinary Tract Infections in Combination with Antimicrobial Resistance Phenotypes

    Directory of Open Access Journals (Sweden)

    Hamid Staji

    2017-07-01

    Full Text Available Background:  This study was conducted to detect the prevalence of EHEC virulence genes and antimicrobial resistance profile of Escherichia coli strains belonging to B2 phylogroup implicated in Urinary tract infections in Semnan, Iran.Methods:   From 240 urine samples 160 E. coli strains were isolated, biochemically. Then, E. coli isolates were examined by Multiplex-PCR for phylogenetic typing and detection of virulence genes (hly, stx1, stx2, eae associated with Enterohemorrhagic E. coli. Finally, Antimicrobial resistance of E. coli isolates were characterized using Disk Diffusion method.  Results:  From 160 E. coli isolates, 75 strains (47% were assigned to B2 phylogenetic group and prevalence of virulence genes were as follow: hly (21.3%, stx1 (16%, stx2 (10.6% and eae (6.7%, subsequently.  Phenotypic antimicrobial resistance of B2 isolates showed that all isolates were sensitive to Meropenem and Furazolidone and then highest frequency of resistance was observed to Streptomycin, Oxytetracycline, Neomycin, Nalidixic acid and Ampicillin (98.7% to 49.3%. Also low resistance prevalence was observed in case of Ceftizoxime, Lincospectin, Imipenem, Chloramphenicol and flurefenicole (16% to 1.3%.Conclusion:   The data suggest a high prevalence of antibiotic resistance in UPEC strains belonging to B2 phylogroup even for the antimicrobials using in pet and farm animals and their potential to cause EHEC specific clinical symptoms which may represent a serious health risk since these strains can be transmitted to GI tract and act as a reservoir for other uropathogenic E. coli and commensal strains.

  11. Helicobacter pylori in Vegetables and Salads: Genotyping and Antimicrobial Resistance Properties

    Directory of Open Access Journals (Sweden)

    Emad Yahaghi

    2014-01-01

    Full Text Available From a clinical and epidemiological perspective, it is important to know which genotypes and antibiotic resistance patterns are present in H. pylori strains isolated from salads and vegetables. Therefore, the present investigation was carried out to find this purpose. Three hundred eighty washed and unwashed vegetable samples and fifty commercial and traditional salad samples were collected from Isfahan, Iran. Samples were cultured and those found positive for H. pylori were analyzed using PCR. Antimicrobial susceptibility testing was performed using disk diffusion method. Seven out of 50 (14% salad and 52 out of 380 (13.68% vegetable samples harbored H. pylori. In addition, leek, lettuce, and cabbage were the most commonly contaminated samples (30%. The most prevalent virulence genes were oipA (86.44% and cagA (57.625. VacA s1a (37.28% and iceA1 (47.45% were the most prevalent genotypes. Forty different genotypic combinations were recognized. S1a/cagA+/iceA1/oipA+ (33.89%, s1a/cagA+/iceA2/oipA (30.50%, and m1a/cagA+/iceA1/oipA+ (28.81% were the most prevalent combined genotypes. Bacterial strains had the highest levels of resistance against metronidazole (77.96%, amoxicillin (67.79%, and ampicillin (61.01%. High similarity in the genotyping pattern of H. pylori among vegetable and salad samples and human specimens suggests that vegetable and salads may be the sources of the bacteria.

  12. Multivariable Analysis of the Association Between Antimicrobial Use and Antimicrobial Resistance in Escherichia coli Isolated from Apparently Healthy Pigs in Japan.

    Science.gov (United States)

    Makita, Kohei; Goto, Masaki; Ozawa, Manao; Kawanishi, Michiko; Koike, Ryoji; Asai, Tetsuo; Tamura, Yutaka

    2016-01-01

    The objective of this study was to investigate the association between antimicrobial agent use and antimicrobial resistance in Escherichia coli isolated from healthy pigs using data from 2004 to 2007 in the Japanese Veterinary Antimicrobial Resistance Monitoring System (JVARM). Fecal E. coli isolates from 250 pigs (one isolate each from a pig per farm) were examined for antimicrobial resistance. Information on the use of antimicrobials within preceding 6 months and types of farms recorded in JVARM was collected and statistically analyzed against the resistance patterns. In the univariate analysis, associations between both therapeutic and feed additive use of antimicrobials, and resistance to dihydrostreptomycin, gentamicin, kanamycin, ampicillin, cefazolin, ceftiofur, oxytetracycline, chloramphenicol, trimethoprim, nalidixic acid, enrofloxacin, colistin, and bicozamycin, and husbandry factors were investigated. In multivariable analysis, generalized estimating equations were used to control geographical intraclass correlation. Confounding for structurally unrelated associations was tested using generalized linear models. The results suggested direct and cross selections in the associations between use of aminoglycosides in reproduction farms and resistance to kanamycin, use of tetracyclines in larger farms and resistance to oxytetracycline, use of beta-lactams and resistance to ampicillin, use of phenicols and resistance to chloramphenicol, and use of fluoroquinolones and resistance to nalidixic acid and enrofloxacin. Coselection was suggested in the use of tetracyclines and chloramphenicol resistance. The associations between use of beta-lactams and dihydrostreptomycin resistance, use of macrolides and ampicillin and oxytetracycline resistance, and use of colistin and kanamycin resistance were significant, but were confounded by the simultaneous use of homologous antimicrobials.

  13. Prevalence and drug resistance in bacteria of the urinary tract ...

    African Journals Online (AJOL)

    Objective: To obtain data on the prevalence of antibiotic resistance in bacteria isolated from patients with suspected urinary tract infection in Bulawayo province, Zimbabwe. Method: Over a period of one year, 257 urine samples were analyzed for bacteria by standard procedures. Antimicrobial susceptibility testing of isolated ...

  14. Antibiotic Resistance of Vibrio cholerae Isolates from Kashan, Iran

    Directory of Open Access Journals (Sweden)

    Afzali H.MD,

    2016-03-01

    Full Text Available Abstract Aims: Cholera is an acute diarrheal disease that can lead to severe dehydration and death. Antibiotic resistance is a big challenge in infective disease like Cholera. The present study aimed to understand the characteristics and trends of antibiotic resistance of V. cholerae isolations in and around Kashan, Iran. Instrument & Methods: In this descriptive cross-sectional study, samples were gathered using census method from 1998 to 2013 in Kashan, Iran. 1132 fecal samples of patients with acute diarrhea and 237 samples of suspected water samples were taken. The serotypes and biotypes were determined by an enzymatic method. Antibiotic susceptibility test was performed by using Disk Diffusion Method. Data were analyzed using SPSS 23 software. Fisher-exact and Chi-square tests were used to compare the statistical parameters. Findings: 96 fecal samples (8.5% and 18 water samples (7.6% were positive for Vibrio cholerae. Non-agglutinating (Nag isolates (75.4% were more common than serotype Inaba (13.2% and Ogawa (11.4%. Nag serotypes were mostly resistant to cefixime (44% and ampicillin (33%. In contaminated water samples also the most frequent cases were Nag serotype (50%. Nag serotype showed 22.2% of resistance to ampicillin and nitrofurantoin. Conclusion: Vibrio cholerae isolates in Kashan, Iran, are highly resistant to antibiotics, especially Nag serotypes.

  15. High prevalence of extensively drug-resistant and metallo beta-lactamase-producing clinical Acinetobacter baumannii in Iran.

    Science.gov (United States)

    Maspi, Hossein; Mahmoodzadeh Hosseini, Hamideh; Amin, Mohsen; Imani Fooladi, Abbas Ali

    2016-09-01

    Acinetobacter species particularly Acinetobacter baumannii (A. baumannii) have been widely reported as broad-spectrum antibiotic resistant pathogens. Expression of various types of metallo beta-lactamases (MBL), classified as Ambler class B, has been associated with carbapenem resistance. Here, we attempted to assess the frequency of extensively drug-resistant (XDR) and MBL-producing A. baumannii among clinical isolates. 86 clinical A. baumannii strains were collected from 2014 to 2015 and their susceptibility to meropenem (10 μg), imipenem (10 μg), azteronem (30 μg), pipracillin (100 μg) tazobactam (110 μg), tobramycin (10 μg), fosfomycin (200 μg), rifampicin (5 μg), colistin (10 μg), tigecycline (15 μg), sulbactam/ampicillin (10 μg + 10 μg) and polymixin B (300 U) was evaluated using disk diffusion method. The MBL-producing isolates were screened using combined disc diffusion method. Furthermore, the presence of blaVIM, blaIMP, blaSPM, blaGIM, blaSIM and blaNDM was detected by PCR. 34.9% of isolates were recovered from bronchoalveolar lavage (BAL). 81 (94.2%) and 62 (71.2%) isolates were multidrug resistance (MDR) and XDR, respectively. 44 (51.2%) and 65 (75.6%) isolates were MBL-producing strains with resistance to imipenem and meropenem, respectively. 2 (2.3%), 13 (15.1%), 2 (2.3%), 4 (4.7%) and 2 (2.3%) isolates carried blaVIM, blaIMP, blaSPM, blaGIM and blaSIM genes, respectively. Our data showed that the rate of XDR and MBL A. baumannii is on the rise. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. Prevalence and patterns of HIV transmitted drug resistance in Guatemala.

    Science.gov (United States)

    Avila-Ríos, Santiago; Mejía-Villatoro, Carlos R; García-Morales, Claudia; Soto-Nava, Maribel; Escobar, Ingrid; Mendizabal, Ricardo; Girón, Amalia; García, Leticia; Reyes-Terán, Gustavo

    2011-12-01

    To assess human immunodeficiency virus (HIV) diversity and the prevalence of transmitted drug resistance (TDR) in Guatemala. One hundred forty-five antiretroviral treatment-naïve patients referred to the Roosevelt Hospital in Guatemala City were enrolled from October 2010 to March 2011. Plasma HIV pol sequences were obtained and TDR was assessed with the Stanford algorithm and the World Health Organization (WHO) TDR surveillance mutation list. HIV subtype B was highly prevalent in Guatemala (96.6%, 140/145), and a 2.8% (4/145) prevalence of BF1 recombinants and 0.7% (1/145) prevalence of subtype C viruses were found. TDR prevalence for the study period was 8.3% (12/145) with the Stanford database algorithm (score > 15) and the WHO TDR surveillance mutation list. Most TDR cases were associated with non-nucleoside reverse transcriptase inhibitors (NNRTIs) (83.3%, 10/12); a low prevalence of nucleoside reverse transcriptase inhibitors and protease inhibitors was observed in the cohort (Guatemala. TDR prevalence in Guatemala was at the intermediate level. Most TDR cases were associated with NNRTIs. Further and continuous TDR surveillance is necessary to gain more indepth knowledge about TDR spread and trends in Guatemala and to optimize treatment outcomes in the country.

  17. The effect of environmental factors and migration dynamics on the prevalence of antibiotic-resistant Escherichia coli in estuary environments

    OpenAIRE

    Na, Guangshui; Lu, Zihao; Gao, Hui; Zhang, Linxiao; Li, Qianwei; Li, Ruijing; Yang, Fan; Huo, Chuanlin; Yao, Ziwei

    2018-01-01

    Understanding the antibiotic resistance transmission mechanisms and migration dynamics of antibiotic-resistant bacteria (ARB) in the natural environment is critical given the increasing prevalence of antibiotic resistance. The aim of this study was to examine the fate of sulfonamide-resistant fecal bacteria (E. coli) in an estuary ecosystem and to explore the role and contribution of environmental factors in this process. The prevalence of sulfonamide-resistance status of E. coli was analyzed...

  18. Molecular detection of Salmonella spp. isolated from apparently healthy pigeon in Mymensingh, Bangladesh and their antibiotic resistance pattern

    Directory of Open Access Journals (Sweden)

    Md. Khaled Saifullah

    2016-03-01

    Full Text Available Objectives: Here we determined the prevalence of Salmonella in cloacal swabs and pharyngeal swabs of apparently healthy pigeons sold in the live bird markets and villages in and around Bangladesh Agricultural University Campus, Mymensingh, Bangladesh. Materials and methods: A total of 50 samples, comprised of cloacal swabs (n=24 and pharyngeal swabs (n=26 were collected. The samples were processed, and Salmonella was isolated through a series of conventional bacteriological techniques and biochemical tests followed by polymerase chain reaction (PCR. Results: The prevalence rate of Salmonella was found to be 37.5% (n=9/24 in cloacal swabs and 30.77% (n=8/26 in pharyngeal swabs with an overall prevalence rate of 34% (n=17/50. The prevalence rate of Salmonella pigeon varied slightly among locations; 34.62% (n=9/26 in live bird markets, and 33.33% (n=8/24 in villages. Molecular detection of 17 Salmonella isolates obtained from biochemical test was performed by genus specific PCR, where all of them amplified a region of 496-bp segment of the histidine transport operon gene. Antibiogram study revealed multi-drug resistant traits in most of the isolates tested. The highest resistance was found against Ampicillin (88.23% followed by Cephalexin (82.35%. The rate of sensitivity of the isolates to Ciprofloxacin was 100% followed by Azithromycin (82.35%, Gentamicin (76.47% and Nalidixic acid (76.47%. Conclusion: Our findings suggest that pigeons carry multi-drug resistant Salmonella that may transfer to the humans and animals. [J Adv Vet Anim Res 2016; 3(1.000: 51-55

  19. High prevalence of multidrug-resistant MRSA in a tertiary care hospital of northern India

    Directory of Open Access Journals (Sweden)

    Hare Krishna Tiwari

    2008-11-01

    Full Text Available Hare Krishna Tiwari1, Darshan Sapkota2, Malaya Ranjan Sen11Department of Microbiology, Institute of Medical Sciences, Banaras Hindu University, Varanasi, UP, India; 2Department of Microbiology, Universal College of Medical Sciences, Bhairahawa, NepalAbstract: Methicillin-resistant Staphylococcus aureus (MRSA is an important nosocomial and community pathogen. The objectives of this study were to estimate the prevalence of multidrug-resistant MRSA strains in clinical specimens and to investigate the sensitivity pattern of these strains against various antibiotics used for treating hospitalized and out patients. Strains were identified using standard procedures, and their sensitivity pattern was investigated using such techniques as disc diffusion, minimum inhibitory concentration (MIC, and the mecA gene PCR. Among 783 isolates of S. aureus, 301 (38.44% were methicillin-resistant, of which 217 (72.1% were found to be multidrug-resistant. Almost all MRSA strains were resistant to penicillin, 95.68% were resistant to cotrimoxazole, 92.36% were resistant to chloramphenicol, 90.7% were resistant to norfloxacin, 76.1% were resistant to tetracycline, and 75.75% were resistant to ciprofloxacin. Vancomycin was the most effective drug, with only 0.33% of MRSA strains being resistant to it. It is concluded that antibiotics other than vancomycin can be used as anti-MRSA agents after a sensitivity test so as to preclude the emergence of resistance to it and that prevailing problems in chemotherapy will escalate unless indiscriminate and irrational usage of antibiotics is checked.Keywords: multidrug-resistant MRSA, prevalence, India

  20. Prevalence and characterization of methicillin-resistant Staphylococcus aureus carrying mecA or mecC and methicillin-susceptible Staphylococcus aureus in dairy sheep farms in central Italy.

    Science.gov (United States)

    Giacinti, G; Carfora, V; Caprioli, A; Sagrafoli, D; Marri, N; Giangolini, G; Amoruso, R; Iurescia, M; Stravino, F; Dottarelli, S; Feltrin, F; Franco, A; Amatiste, S; Battisti, A

    2017-10-01

    least 1 antimicrobial. In particular, 23 isolates (22.12%) were resistant to tetracycline, 16 (15.38%) to sulfonomides, 14 (13.46%) to trimethoprim and sulfamethoxazole, and 9 (8.65%) to ampicillin, whereas only 1 isolate was resistant to both fluoroquinolones and aminoglycosides. The high prevalence of S. aureus found in bulk tank milk samples and the isolation of MRSA, although at a low prevalence, underlines the importance of adopting control measures against S. aureus in dairy sheep farms to minimize the risks for animal and public health. Moreover, this study represents the first report of mecC-positive MRSA isolation in Italy and would confirm that, among livestock animals, sheep might act as a mecC-MRSA reservoir. Although this lineage seems to be rare in dairy sheep (0.35% of farms tested), because mecC-positive MRSA are difficult to detect by diagnostic routine methods employed for mecA-positive livestock-associated MRSA, diagnostic laboratories should be aware of the importance of searching for the mecC gene in all the mecA-negative S. aureus isolates displaying resistance to oxacillin, cefoxitin, or both. Copyright © 2017 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  1. Evaluation of Eight Different Cephalosporins for Detection of Cephalosporin Resistance in Salmonella enterica and Escherichia coli

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Hasman, Henrik; Veldman, K

    2010-01-01

    This study evaluates the efficacy of eight different cephalosporins for detection of cephalosporin resistance mediated by extended spectrum beta-lactamases (ESBL) and plasmidic AmpC beta-lactamases in Salmonella and Escherichia coli. A total of 138 E. coli and 86 Salmonella isolates with known beta......-resistant but cephalosporin-susceptible, 56 ESBL isolates and 19 isolates with plasmidic AmpC, as well as 10 ampC hyper-producing E. coli. The minimum inhibitory concentration distributions and zone inhibitions varied with the tested compound. Ampicillin-resistant isolates showed reduced susceptibility to the cephalosporins...... compared to ampicillin-susceptible isolates. Cefoperazone, cefquinome, and cefuroxime were not useful in detecting isolates with ESBL or plasmidic AmpC. The best substances for detection were cefotaxime, cefpodoxime, and ceftriaxone, whereas ceftazidime and ceftiofur were not as efficient. Ceftriaxone may...

  2. The carriage of antibiotic resistance by enteric bacteria from imported tokay geckos (Gekko gecko) destined for the pet trade

    Energy Technology Data Exchange (ETDEWEB)

    Casey, Christine L. [Southeastern Cooperative Wildlife Disease Study, Department of Population Health, College of Veterinary Medicine, University of Georgia, Athens, GA 30602 (United States); Hernandez, Sonia M., E-mail: shernz@uga.edu [Southeastern Cooperative Wildlife Disease Study, Department of Population Health, College of Veterinary Medicine, University of Georgia, Athens, GA 30602 (United States); Warnell School of Forestry and Natural Resources, University of Georgia, Athens, GA 30602 (United States); Yabsley, Michael J. [Southeastern Cooperative Wildlife Disease Study, Department of Population Health, College of Veterinary Medicine, University of Georgia, Athens, GA 30602 (United States); Warnell School of Forestry and Natural Resources, University of Georgia, Athens, GA 30602 (United States); Smith, Katherine F. [Department of Ecology and Evolutionary Biology, Brown University, Providence, RI 02912 (United States); Sanchez, Susan [The Athens Veterinary Diagnostic Laboratory, Athens, GA 30602 (United States); The Department of Infectious Diseases, College of Veterinary Medicine, University of Georgia, Athens, GA 30602 (United States)

    2015-02-01

    The emergence of antibiotic-resistant bacteria is a growing public health concern and has serious implications for both human and veterinary medicine. The nature of the global economy encourages the movement of humans, livestock, produce, and wildlife, as well as their potentially antibiotic-resistant bacteria, across international borders. Humans and livestock can be reservoirs for antibiotic-resistant bacteria; however, little is known about the prevalence of antibiotic-resistant bacteria harbored by wildlife and, to our knowledge, limited data has been reported for wild-caught reptiles that were specifically collected for the pet trade. In the current study, we examined the antibiotic resistance of lactose-positive Enterobacteriaceae isolates from wild-caught Tokay geckos (Gekko gecko) imported from Indonesia for use in the pet trade. In addition, we proposed that the conditions under which wild animals are captured, transported, and handled might affect the shedding or fecal prevalence of antibiotic resistance. In particular we were interested in the effects of density; to address this, we experimentally modified densities of geckos after import and documented changes in antibiotic resistance patterns. The commensal enteric bacteria from Tokay geckos (G. gecko) imported for the pet trade displayed resistance against some antibiotics including: ampicillin, amoxicillin/clavulanic acid, cefoxitin, chloramphenicol, kanamycin and tetracycline. There was no significant difference in the prevalence of antibiotic-resistant bacteria after experimentally mimicking potentially stressful transportation conditions reptiles experience prior to purchase. There were, however, some interesting trends observed when comparing Tokay geckos housed individually and those housed in groups. Understanding the prevalence of antibiotic resistant commensal enteric flora from common pet reptiles is paramount because of the potential for humans exposed to these animals to acquire antibiotic-resistant

  3. The carriage of antibiotic resistance by enteric bacteria from imported tokay geckos (Gekko gecko) destined for the pet trade

    International Nuclear Information System (INIS)

    Casey, Christine L.; Hernandez, Sonia M.; Yabsley, Michael J.; Smith, Katherine F.; Sanchez, Susan

    2015-01-01

    The emergence of antibiotic-resistant bacteria is a growing public health concern and has serious implications for both human and veterinary medicine. The nature of the global economy encourages the movement of humans, livestock, produce, and wildlife, as well as their potentially antibiotic-resistant bacteria, across international borders. Humans and livestock can be reservoirs for antibiotic-resistant bacteria; however, little is known about the prevalence of antibiotic-resistant bacteria harbored by wildlife and, to our knowledge, limited data has been reported for wild-caught reptiles that were specifically collected for the pet trade. In the current study, we examined the antibiotic resistance of lactose-positive Enterobacteriaceae isolates from wild-caught Tokay geckos (Gekko gecko) imported from Indonesia for use in the pet trade. In addition, we proposed that the conditions under which wild animals are captured, transported, and handled might affect the shedding or fecal prevalence of antibiotic resistance. In particular we were interested in the effects of density; to address this, we experimentally modified densities of geckos after import and documented changes in antibiotic resistance patterns. The commensal enteric bacteria from Tokay geckos (G. gecko) imported for the pet trade displayed resistance against some antibiotics including: ampicillin, amoxicillin/clavulanic acid, cefoxitin, chloramphenicol, kanamycin and tetracycline. There was no significant difference in the prevalence of antibiotic-resistant bacteria after experimentally mimicking potentially stressful transportation conditions reptiles experience prior to purchase. There were, however, some interesting trends observed when comparing Tokay geckos housed individually and those housed in groups. Understanding the prevalence of antibiotic resistant commensal enteric flora from common pet reptiles is paramount because of the potential for humans exposed to these animals to acquire antibiotic-resistant

  4. National Prevalence and Trends of HIV Transmitted Drug Resistance in Mexico

    Science.gov (United States)

    Avila-Ríos, Santiago; García-Morales, Claudia; Garrido-Rodríguez, Daniela; Ormsby, Christopher E.; Hernández-Juan, Ramón; Andrade-Villanueva, Jaime; González-Hernández, Luz A.; Torres-Escobar, Indiana; Navarro-Álvarez, Samuel; Reyes-Terán, Gustavo

    2011-01-01

    Background Transmitted drug resistance (TDR) remains an important concern for the management of HIV infection, especially in countries that have recently scaled-up antiretroviral treatment (ART) access. Methodology/Principal Findings We designed a study to assess HIV diversity and transmitted drug resistance (TDR) prevalence and trends in Mexico. 1655 ART-naïve patients from 12 Mexican states were enrolled from 2005 to 2010. TDR was assessed from plasma HIV pol sequences using Stanford scores and the WHO TDR surveillance mutation list. TDR prevalence fluctuations over back-projected dates of infection were tested. HIV subtype B was highly prevalent in Mexico (99.9%). TDR prevalence (Stanford score>15) in the country for the study period was 7.4% (95% CI, 6.2∶8.8) and 6.8% (95% CI, 5.7∶8.2) based on the WHO TDR surveillance mutation list. NRTI TDR was the highest (4.2%), followed by NNRTI (2.5%) and PI (1.7%) TDR. Increasing trends for NNRTI (p = 0.0456) and PI (p = 0.0061) major TDR mutations were observed at the national level. Clustering of viruses containing minor TDR mutations was observed with some apparent transmission pairs and geographical effects. Conclusions TDR prevalence in Mexico remains at the intermediate level and is slightly lower than that observed in industrialized countries. Whether regional variations in TDR trends are associated with differences in antiretroviral drug usage/ART efficacy or with local features of viral evolution remains to be further addressed. PMID:22110765

  5. Antimicrobial susceptibility profiles of Staphylococcus intermedius isolates from clinical cases of canine pyoderma in South Africa

    Directory of Open Access Journals (Sweden)

    Catherine A. Blunt

    2013-05-01

    Full Text Available Successful treatment of canine pyoderma has become compromised owing to the development of antimicrobial resistance with accompanying recurrence of infection. Canine skin samples submitted to a veterinary diagnostic laboratory for microbiological culture and sensitivity between January 2007 and June 2010, from which Staphylococcus intermedius was isolated, were selected for this investigation. Antimicrobial resistance of S. intermedius was most prevalent with reference to ampicillin followed by resistance to tetracycline and then potentiated sulphonamides. In general, antimicrobial resistance was low and very few methicillin-resistant isolates were detected. Temporal trends were not noted, except for ampicillin, with isolates becoming more susceptible, and potentiated sulphonamides (co-trimoxazole, with isolates becoming more resistant. In general, both the Kirby–Bauer disc diffusion and broth dilution minimum inhibitory concentration tests yielded similar results for the antimicrobial agents tested. The main difference was evident in the over-estimation of resistance by the Kirby–Bauer test for ampicillin, co-trimoxazole, penicillin and doxycycline. Knowledge of trends in bacterial resistance is important for veterinarians when presented with canine pyoderma. Analysis of antimicrobial susceptibility profiles of S. intermedius isolated from canine pyodermas will guide veterinarians’ use of the most appropriate agent and encourage prudent use of antimicrobials in companion animals.

  6. Activity of siderophores against drug-resistant Gram-positive and Gram-negative bacteria

    Directory of Open Access Journals (Sweden)

    Gokarn K

    2018-01-01

    Full Text Available Karuna Gokarn,1,2 Ramprasad B Pal1 1Department of Microbiology, Sir Hurkisondas Nurrotumdas Medical Research Society, 2Caius Research Laboratory, St Xavier’s College, Mumbai, India Abstract: Infections by drug-resistant bacteria are life-threatening. As iron is a vital element for the growth of bacteria, iron-chelating agents (siderophores can be used to arrest their multiplication. Exogenous siderophores – exochelin-MS and deferoxamine-B – were evaluated for their inhibitory activity against methicillin-resistant Staphylococcus aureus and metallo-β-lactamase producers – Pseudomonas aeruginosa and Acinetobacter baumannii – by disc diffusion, micro-broth dilution, and turbidimetric growth assays. The drug-resistant isolates were inhibited by the synergistic activity of siderophores and antibiotics. Minimum inhibitory concentration of exochelin-MS+ampicillin for different isolates was between 0.05 and 0.5 mg/mL. Minimum inhibitory concentration of deferoxamine-B+ampicillin was 1.0 mg/mL and greater. Iron-chelation therapy could provide a complementary approach to overcome drug resistance in pathogenic bacteria. Keywords: iron-chelation, xenosiderophores, exochelin MS, deferoxamine B

  7. Prevalence and multidrug resistance pattern of Salmonella isolated from resident wild birds of Bangladesh

    Directory of Open Access Journals (Sweden)

    Abdullah Al Faruq

    2016-10-01

    Full Text Available Aim: Salmonellosis is one of the most common zoonotic diseases, and the presence of antimicrobial resistant Salmonella in wild birds is global public health threat. Throughout the last decades, multidrug resistance of Salmonella spp. has increased, particularly in developing countries. Therefore, a cross-sectional study was conducted to investigate the prevalence of Salmonella spp. and antimicrobial resistance pattern against Salmonella spp. from two species of resident wild birds namely house crow (Corvus splendens and Asian pied starling (Gracupica contra. Materials and Methods: Samples were collected from cloacal swabs of house crows and Asian pied starling for isolating Salmonella spp. (bacteriological culture methods followed by antimicrobial susceptibility testing (disk diffusion method against Salmonella spp. isolates during March to December 2014. Results: The prevalence of Salmonella in Asian pied starling and house crows were 67% and 65%, respectively. Within the category of samples from different species, the variation in prevalence was not varied significantly (p>0.05. Isolated Salmonella spp. was tested for resistance to six different antimicrobial agents. Among six antimicrobial tested, 100% resistance were found to penicillin, oxacillin, and clindamycin followed by erythromycin (50-93%, kanamycin (7-20%, and cephalothin (30-67% from both species of birds. Kanamycin remained sensitive in (70-73%, cephalothin (26-70%, and erythromycin appeared to be (0-30% sensitive against Salmonella spp. isolates. Isolated Salmonella spp. was multidrug resistant up to three of the six antimicrobials tested. Conclusion: It can be said that the rational use of antimicrobials needs to be adopted in the treatment of disease for livestock, poultry, and human of Bangladesh to limit the emergence of drug resistance to Salmonella spp.

  8. Vancomycin resistant enterococci in urine cultures: Antibiotic susceptibility trends over a decade at a tertiary hospital in the United Kingdom.

    Science.gov (United States)

    Toner, Liam; Papa, Nathan; Aliyu, Sani H; Dev, Harveer; Lawrentschuk, Nathan; Al-Hayek, Samih

    2016-03-01

    Enterococci are a common cause of urinary tract infection and vancomycin-resistant strains are more difficult to treat. The purpose of this surveillance program was to assess the prevalence of and determine the risk factors for vancomycin resistance in adults among urinary isolates of Enterococcus sp. and to detail the antibiotic susceptibility profile, which can be used to guide empirical treatment. From 2005 to 2014 we retrospectively reviewed 5,528 positive Enterococcus sp. urine cultures recorded in a computerized laboratory results database at a tertiary teaching hospital in Cambridge, United Kingdom. Of these cultures, 542 (9.8%) were vancomycin resistant. No longitudinal trend was observed in the proportion of vancomycin-resistant strains over the course of the study. We observed emerging resistance to nitrofurantoin with rates climbing from near zero to 40%. Ampicillin resistance fluctuated between 50% and 90%. Low resistance was observed for linezolid and quinupristin/dalfopristin. Female sex and inpatient status were identified as risk factors for vancomycin resistance. The incidence of vancomycin resistance among urinary isolates was stable over the last decade. Although resistance to nitrofurantoin has increased, it still serves as an appropriate first choice in uncomplicated urinary tract infection caused by vancomycin-resistant Enterococcus sp.

  9. Vancomycin resistant enterococci in urine cultures: Antibiotic susceptibility trends over a decade at a tertiary hospital in the United Kingdom

    Directory of Open Access Journals (Sweden)

    Liam Toner

    2016-03-01

    Full Text Available Purpose: Enterococci are a common cause of urinary tract infection and vancomycin-resistant strains are more difficult to treat. The purpose of this surveillance program was to assess the prevalence of and determine the risk factors for vancomycin resistance in adults among urinary isolates of Enterococcus sp. and to detail the antibiotic susceptibility profile, which can be used to guide empirical treatment. Materials and Methods: From 2005 to 2014 we retrospectively reviewed 5,528 positive Enterococcus sp. urine cultures recorded in a computerized laboratory results database at a tertiary teaching hospital in Cambridge, United Kingdom. Results: Of these cultures, 542 (9.8% were vancomycin resistant. No longitudinal trend was observed in the proportion of vancomycin- resistant strains over the course of the study. We observed emerging resistance to nitrofurantoin with rates climbing from near zero to 40%. Ampicillin resistance fluctuated between 50% and 90%. Low resistance was observed for linezolid and quinupristin/ dalfopristin. Female sex and inpatient status were identified as risk factors for vancomycin resistance. Conclusions: The incidence of vancomycin resistance among urinary isolates was stable over the last decade. Although resistance to nitrofurantoin has increased, it still serves as an appropriate first choice in uncomplicated urinary tract infection caused by vancomycin- resistant Enterococcus sp.

  10. High-density fecal Enterococcus faecium colonization in hospitalized patients is associated with the presence of the polyclonal subcluster CC17

    NARCIS (Netherlands)

    Ruiz-Garbajosa, P.; de Regt, M.; Bonten, M.; Baquero, F.; Coque, T. M.; Canton, R.; Harmsen, H. J. M.; Willems, Rob J. L.

    Enterococcus faecium belonging to the polyclonal subcluster CC17, with a typical ampicillin-resistant E. faecium (AREfm) phenotype, have become prevalent among nosocomial infections around the world. High-density intestinal AREfm colonization could be one of the factors contributing to the

  11. Prevalence and characterization of Escherichia coli isolated from the Upper Oconee Watershed in Northeast Georgia.

    Directory of Open Access Journals (Sweden)

    Sohyun Cho

    Full Text Available Surface waters are important sources of water for drinking, industrial, agricultural, and recreational uses; hence, contamination of water by fecal, pathogenic, or antimicrobial resistant (AR bacteria is a major environmental and public health concern. However, very little data is available on prevalence of these bacteria in surface water throughout a watershed. This study aimed to characterize Escherichia coli present in the Upper Oconee Watershed, a mixed-use watershed in Athens, GA, USA for potential pathogenicity and AR. E. coli were enumerated by colony counts, cultured by enrichment and direct plating, and characterized by phylo-groups, diarrheagenic pathotypes, and antimicrobial susceptibility. From the analysis, 99.3% (455/458 of the total samples were positive for E. coli resulting in 496 isolates. E. coli counts were as high as 1.2×104 CFU/100 ml, which is above the United States Environmental Protection Agency (U.S. EPA threshold for recreational water (235 CFU/100 ml based on a one-time measurement. Phylo-groups B2 (31.7%; 157/496 and B1 (30.8%; 153/496 were the most prevalent among the isolates. Enteropathogenic E. coli (EPEC (19/496 and Shiga toxin-producing E. coli (STEC (1/496 were the only diarrheagenic pathotypes detected. AR was observed in 6.9% (34/496 of the isolates, 15 of which were multidrug resistant (MDR; resistance to two or more classes of antimicrobials. Tetracycline resistance was most often detected (76.5%; 26/34, followed by ampicillin (32.4%; 11/34, streptomycin (23.5%; 8/34, sulfisoxazole (23.5%; 8/34, and nalidixic acid (14.7%; 5/34. Results from this study showed that E. coli is prevalent in high levels in the Upper Oconee Watershed, suggesting possible widespread fecal contamination. The presence of pathogenic, AR E. coli in the watershed indicates that environmental water can serve as a reservoir of resistant bacteria that may be transferred to humans through drinking and recreational activities.

  12. Prevalence and characterization of Escherichia coli isolated from the Upper Oconee Watershed in Northeast Georgia.

    Science.gov (United States)

    Cho, Sohyun; Hiott, Lari M; Barrett, John B; McMillan, Elizabeth A; House, Sandra L; Humayoun, Shaheen B; Adams, Eric S; Jackson, Charlene R; Frye, Jonathan G

    2018-01-01

    Surface waters are important sources of water for drinking, industrial, agricultural, and recreational uses; hence, contamination of water by fecal, pathogenic, or antimicrobial resistant (AR) bacteria is a major environmental and public health concern. However, very little data is available on prevalence of these bacteria in surface water throughout a watershed. This study aimed to characterize Escherichia coli present in the Upper Oconee Watershed, a mixed-use watershed in Athens, GA, USA for potential pathogenicity and AR. E. coli were enumerated by colony counts, cultured by enrichment and direct plating, and characterized by phylo-groups, diarrheagenic pathotypes, and antimicrobial susceptibility. From the analysis, 99.3% (455/458) of the total samples were positive for E. coli resulting in 496 isolates. E. coli counts were as high as 1.2×104 CFU/100 ml, which is above the United States Environmental Protection Agency (U.S. EPA) threshold for recreational water (235 CFU/100 ml based on a one-time measurement). Phylo-groups B2 (31.7%; 157/496) and B1 (30.8%; 153/496) were the most prevalent among the isolates. Enteropathogenic E. coli (EPEC) (19/496) and Shiga toxin-producing E. coli (STEC) (1/496) were the only diarrheagenic pathotypes detected. AR was observed in 6.9% (34/496) of the isolates, 15 of which were multidrug resistant (MDR; resistance to two or more classes of antimicrobials). Tetracycline resistance was most often detected (76.5%; 26/34), followed by ampicillin (32.4%; 11/34), streptomycin (23.5%; 8/34), sulfisoxazole (23.5%; 8/34), and nalidixic acid (14.7%; 5/34). Results from this study showed that E. coli is prevalent in high levels in the Upper Oconee Watershed, suggesting possible widespread fecal contamination. The presence of pathogenic, AR E. coli in the watershed indicates that environmental water can serve as a reservoir of resistant bacteria that may be transferred to humans through drinking and recreational activities.

  13. Stability of ampicillin, piperacillin, cefotaxime, netilmicin and amikacin in an L-amino acid solution prepared for total parenteral nutrition of newborn infants

    DEFF Research Database (Denmark)

    Goldstein, K; Colding, H; Andersen, G E

    1988-01-01

    The stability of ampicillin, piperacillin and cefotaxime, alone or in combination with either netilmicin or amikacin, was tested by microbiological methods at 29 degrees C (ampicillin, also at 22 degrees C) in an L-amino acid solution specially prepared for newborn infants. In the case of ampicil...

  14. Human isolates of Salmonella enterica serovar Typhimurium from Taiwan displayed significantly higher levels of antimicrobial resistance than those from Denmark.

    Science.gov (United States)

    Torpdahl, Mia; Lauderdale, Tsai-Ling; Liang, Shiu-Yun; Li, Ishien; Wei, Sung-Hsi; Chiou, Chien-Shun

    2013-02-01

    Salmonella enterica serovar Typhimurium is a major zoonotic pathogen with a high prevalence of antimicrobial resistance. This pathogen can disseminate across borders and spread far distances via the food trade and international travel. In this study, we compared the genotypes and antimicrobial resistance of 378 S. Typhimurium isolates collected in Taiwan and Denmark between 2009 and 2010. Genotyping revealed that many S. Typhimurium strains were concurrently circulating in Taiwan, Denmark and other countries in 2009 and 2010. When compared to the isolates collected from Denmark, the isolates from Taiwan displayed a significantly higher level of resistance to 11 of the 12 tested antimicrobials. Seven genetic clusters (A-G) were designated for the isolates. A high percentage of the isolates in genetic clusters C, F and G were multidrug-resistant. Of the isolates in cluster C, 79.2% were ASSuT-resistant, characterized by resistance to ampicillin, streptomycin, sulfamethoxazole, and tetracycline. In cluster F, 84.1% of the isolates were ACSSuT-resistant (resistant to ASSuT and chloramphenicol). Cluster G was unique to Taiwan and characterized in most isolates by the absence of three VNTRs (ST20, ST30 and STTR6) as well as a variety of multidrug resistance profiles. This cluster exhibited very high to extremely high levels of resistance to several first-line drugs, and among the seven clusters, it displayed the highest levels of resistance to cefotaxime and ceftazidime, ciprofloxacin and gentamicin. The high prevalence of antimicrobial resistance in S. Typhimurium from Taiwan highlights the necessity to strictly regulate the use of antimicrobials in the agriculture and human health care sectors. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Low Prevalence of Carbapenem-Resistant Bacteria in River Water: Resistance Is Mostly Related to Intrinsic Mechanisms.

    Science.gov (United States)

    Tacão, Marta; Correia, António; Henriques, Isabel S

    2015-10-01

    Carbapenems are last-resort antibiotics to handle serious infections caused by multiresistant bacteria. The incidence of resistance to these antibiotics has been increasing and new resistance mechanisms have emerged. The dissemination of carbapenem resistance in the environment has been overlooked. The main goal of this research was to assess the prevalence and diversity of carbapenem-resistant bacteria in riverine ecosystems. The presence of frequently reported carbapenemase-encoding genes was inspected. The proportion of imipenem-resistant bacteria was on average 2.24 CFU/ml. Imipenem-resistant strains (n=110) were identified as Pseudomonas spp., Stenotrophomonas maltophilia, Aeromonas spp., Chromobacterium haemolyticum, Shewanella xiamenensis, and members of Enterobacteriaceae. Carbapenem-resistant bacteria were highly resistant to other beta-lactams such as quinolones, aminoglycosides, chloramphenicol, tetracyclines, and sulfamethoxazole/trimethoprim. Carbapenem resistance was mostly associated with intrinsically resistant bacteria. As intrinsic resistance mechanisms, we have identified the blaCphA gene in 77.3% of Aeromonas spp., blaL1 in all S. maltophilia, and blaOXA-48-like in all S. xiamenensis. As acquired resistance mechanisms, we have detected the blaVIM-2 gene in six Pseudomonas spp. (5.45%). Integrons with gene cassettes encoding resistance to aminoglycosides (aacA and aacC genes), trimethoprim (dfrB1b), and carbapenems (blaVIM-2) were found in Pseudomonas spp. Results suggest that carbapenem resistance dissemination in riverine ecosystems is still at an early stage. Nevertheless, monitoring these aquatic compartments for the presence of resistance genes and its host organisms is essential to outline strategies to minimize resistance dissemination.

  16. Effect of misclassification of antiretroviral treatment status on the prevalence of transmitted HIV-1 drug resistance

    Directory of Open Access Journals (Sweden)

    Castro Hannah

    2012-03-01

    Full Text Available Abstract Background Estimates of the prevalence of transmitted HIV drug resistance (TDR in a population are derived from resistance tests performed on samples from patients thought to be naïve to antiretroviral treatment (ART. Much of the debate over reliability of estimates of the prevalence of TDR has focused on whether the sample population is representative. However estimates of the prevalence of TDR will also be distorted if some ART-experienced patients are misclassified as ART-naïve. Methods The impact of misclassification bias on the rate of TDR was examined. We developed methods to obtain adjusted estimates of the prevalence of TDR for different misclassification rates, and conducted sensitivity analyses of trends in the prevalence of TDR over time using data from the UK HIV Drug Resistance Database. Logistic regression was used to examine trends in the prevalence of TDR over time. Results The observed rate of TDR was higher than true TDR when misclassification was present and increased as the proportion of misclassification increased. As the number of naïve patients with a resistance test relative to the number of experienced patients with a test increased, the difference between true and observed TDR decreased. The observed prevalence of TDR in the UK reached a peak of 11.3% in 2002 (odds of TDR increased by 1.10 (95% CI 1.02, 1.19, p(linear trend = 0.02 per year 1997-2002 before decreasing to 7.0% in 2007 (odds of TDR decreased by 0.90 (95% CI 0.87, 0.94, p(linear trend Conclusion The effect of misclassification of ART on estimates of the prevalence of TDR may be appreciable, and depends on the number of naïve tests relative to the number of experienced tests. Researchers can examine the effect of ART misclassification on their estimates of the prevalence of TDR if such a bias is suspected.

  17. Prevalence of methicillin resistance and macrolide-lincosamide-streptogramin B resistance in Staphylococcus haemolyticus among clinical strains at a tertiary-care hospital in Thailand.

    Science.gov (United States)

    Teeraputon, S; Santanirand, P; Wongchai, T; Songjang, W; Lapsomthob, N; Jaikrasun, D; Toonkaew, S; Tophon, P

    2017-09-01

    Staphylococcus spp. is a major cause of nosocomial infection and sepsis. However, increasing drug resistance is becoming a challenge to microbiologists. The purpose of this study was to identify and determine antimicrobial resistance phenotypes and drug resistance genes of clinical coagulase-negative staphylococci (CoNS) isolates at Mae Sot Hospital in Tak province, Thailand. A total of 229 CoNS isolates were collected from clinical specimens during two periods in 2014 and in 2015. Staphylococcus haemolyticus was the most prevalent species (37.55%), followed by S. epidermidis (21.83%), S. saprophyticus (11.79%) and S. hominis (11.35%) respectively. The remaining 17.48% of the organisms comprised S. capitis, S. arlettae, S. cohnii, S. equorum, S. xylosus, S. warneri, S. sciuri, S. pettenkoferi, S. kloosii and S. lugdunensis. Methicillin-resistant CoNS (MRCoNS), containing the mec A gene, were detected in 145 of 229 isolates, mostly found in S. haemolyticus and S. epidermidis. In addition, the differentiation of their macrolide-lincosamide-streptogramin B (MLS B ) resistance phenotypes was determined by the D-test and corresponding resistance genes. Among 125 erythromycin-resistant CoNS, the prevalence of constitutive type of MLS B , inducible clindamycin resistance and macrolide-streptogramin B resistance phenotypes were 72, 13.60 and 14.40% respectively. These phenotypes were expressed in 80% of MRCoNS strains. In addition, the erm C gene (79.20%) was found to be more prevalent than the erm A gene (22.40%), especially among MRCoNS. These results indicate that CoNS may play an important role in spreading of drug resistance genes. More attention to these organisms in surveillance and monitoring programs is needed.

  18. Prevalence of methicillin resistance and macrolide–lincosamide–streptogramin B resistance in Staphylococcus haemolyticus among clinical strains at a tertiary-care hospital in Thailand

    Directory of Open Access Journals (Sweden)

    S. Teeraputon

    2017-09-01

    Full Text Available Staphylococcus spp. is a major cause of nosocomial infection and sepsis. However, increasing drug resistance is becoming a challenge to microbiologists. The purpose of this study was to identify and determine antimicrobial resistance phenotypes and drug resistance genes of clinical coagulase-negative staphylococci (CoNS isolates at Mae Sot Hospital in Tak province, Thailand. A total of 229 CoNS isolates were collected from clinical specimens during two periods in 2014 and in 2015. Staphylococcus haemolyticus was the most prevalent species (37.55%, followed by S. epidermidis (21.83%, S. saprophyticus (11.79% and S. hominis (11.35% respectively. The remaining 17.48% of the organisms comprised S. capitis, S. arlettae, S. cohnii, S. equorum, S. xylosus, S. warneri, S. sciuri, S. pettenkoferi, S. kloosii and S. lugdunensis. Methicillin-resistant CoNS (MRCoNS, containing the mecA gene, were detected in 145 of 229 isolates, mostly found in S. haemolyticus and S. epidermidis. In addition, the differentiation of their macrolide–lincosamide–streptogramin B (MLSB resistance phenotypes was determined by the D-test and corresponding resistance genes. Among 125 erythromycin-resistant CoNS, the prevalence of constitutive type of MLSB, inducible clindamycin resistance and macrolide–streptogramin B resistance phenotypes were 72, 13.60 and 14.40% respectively. These phenotypes were expressed in 80% of MRCoNS strains. In addition, the ermC gene (79.20% was found to be more prevalent than the ermA gene (22.40%, especially among MRCoNS. These results indicate that CoNS may play an important role in spreading of drug resistance genes. More attention to these organisms in surveillance and monitoring programs is needed.

  19. Virulence factors and mechanisms of antimicrobial resistance in Shigella strains from periurban areas of Lima (Peru).

    Science.gov (United States)

    Lluque, Angela; Mosquito, Susan; Gomes, Cláudia; Riveros, Maribel; Durand, David; Tilley, Drake H; Bernal, María; Prada, Ana; Ochoa, Theresa J; Ruiz, Joaquim

    2015-01-01

    The study was aimed to describe the serotype, mechanisms of antimicrobial resistance, and virulence determinants in Shigella spp. isolated from Peruvian children. Eighty three Shigella spp. were serogrouped and serotyped being established the antibiotic susceptibility. The presence of 12 virulence factors (VF) and integrase 1 and 2, along with commonly found antibiotic resistance genes was established by PCR. S. flexneri was the most relevant serogroup (55 isolates, 66%), with serotype 2a most frequently detected (27 of 55, 49%), followed by S. boydii and S. sonnei at 12 isolates each (14%) and S. dysenteriae (four isolates, 5%). Fifty isolates (60%) were multi-drug resistant (MDR) including 100% of S. sonnei and 64% of S. flexneri. Resistance levels were high to trimethoprim-sulfamethoxazole (86%), tetracycline (74%), ampicillin (67%), and chloramphenicol (65%). Six isolates showed decreased azithromycin susceptibility. No isolate was resistant to nalidixic acid, ciprofloxacin, nitrofurantoin, or ceftriaxone. The most frequent resistance genes were sul2 (95%), tet(B) (92%), cat (80%), dfrA1 (47%), blaOXA-1like (40%), with intl1 and intl2 detected in 51 and 52% of the isolates, respectively. Thirty-one different VF profiles were observed, being the ipaH (100%), sen (77%), virA and icsA (75%) genes the most frequently found. Differences in the prevalence of VF were observed between species with S. flexneri isolates, particularly serotype 2a, possessing high numbers of VF. In conclusion, this study highlights the high heterogeneity of Shigella VF and resistance genes, and prevalence of MDR organisms within this geographic region. Copyright © 2015 Elsevier GmbH. All rights reserved.

  20. Prevalence and antimicrobial resistance pattern of bacterial meningitis in Egypt

    Directory of Open Access Journals (Sweden)

    Shaban Lamyaa

    2009-09-01

    Full Text Available Abstract Infectious diseases are the leading cause of morbidity and mortality in the developing world. In Egypt bacterial diseases constitute a great burden, with several particular bacteria sustaining the leading role of multiple serious infections. This article addresses profound bacterial agents causing a wide array of infections including but not limited to pneumonia and meningitis. The epidemiology of such infectious diseases and the prevalence of Streptococcus pneumoniae, Neisseria meningitidis and Haemophilus influenzae are reviewed in the context of bacterial meningitis. We address prevalent serotypes in Egypt, antimicrobial resistance patterns and efficacy of vaccines to emphasize the importance of periodic surveillance for appropriate preventive and treatment strategies.

  1. Effect of gamma rays on antibiotic resistance of Staphylococcus aureus and Pseudomonas aeruginosa isolated from human skin

    International Nuclear Information System (INIS)

    Shokier, H. A.; EI-Adly, A.A.; Hussein, H.; Shabon, M. H.; EI-Shanshoury, I.H.

    2010-01-01

    Seventy one samples were randomly collected from patients suffering from different bacterial skin infections. Forty isolates could not grow on the artificial media after second subculture while 31 isolates were able to survive. Twenty six of them were identified as Staphylococcus aureus and 5 were identified as Pseudomonas aeruginosa. The isolated strains were tested for their susceptibilities to gentamycin, ampicillin, ciprofloxacin and amoxicillin antibiotics .Up to 88.4% of S. aureus and of 80% of P.aeruginosa isolates were found to be resistant to ampicillin. On the other. hand, about 30.7% of S. aureus and 20% of P. aeruginosa were resistant to ciprofloxacin reveals the lowest antibiotic resistance . The antibiotic sensitivity was retested for the most resistant bacterial isolates after irradiated by different doses of gamma radiation (0.5,1, 2 Gy). The previous doses increased S .aureus inhibition zone to gentamycin, from 7.5 mm for unirradiated cells to 25 mm for irradiated one. While ciprofloxacin inhibition zone increased from 1.5 cm to 3 cm in doses of 0.5 to 2.0 Gy. S. aureus sensitivity to amoxicillin increased from 0.0 to 1.0 cm inhibition zone with increase in dose from 0.5 to 2.0 Gy.While the previous doses had no effect on ampicillin resistance. The same doses increased P. aeruginosa isolate resistance. Very low doses of gamma irradiation increased S.aureus and P. aeruginosa capsule production, also increased the release rate of capsule content in both types of bacteria.

  2. Prevalence of Methicillin and Vancomycin resistant Staphylococcus aureus colonization in nasopharynx; Amir-Alam hospital, 2005

    Directory of Open Access Journals (Sweden)

    Hasibi M

    2007-07-01

    Full Text Available Background: Staphylococcus aureus is one of the most common causes of nosocomial infections with high morbidity and mortality rate. Traditionally, methicillin resistant staphylococcus aureus has been considered a major nosocomial pathogen in healthcare facilities, but in the past decade, it has been observed emerging in the community as well. Informations regarding hospital microbial colonization could be an important step for prevention of nosocomial infections. Our objective was clarifying the prevalence of methicillin resistant and vancomycin resistant staphylococcus aureus colonization in nasopharynx. Methods: A descriptive cross sectional study was carried on 106 patients and nursing staff of surgery and hemodialysis wards in Amir-Alam hospital from April 2005 to July 2005. The samples were collected from nasal region of cases using cotton swab by two experienced technician and were sent to laboratory for culture and antibiogram. Results: Twenty six (29.5% out of 106 cases were nasopharyngeal carriers of staphylococcus aureus. Eight cases (7.5% had methicillin resistant staphylococcus aureus. The most frequent colonization rate was seen in hemodialysis nursing staff and in all of them methicillin resistant staphylococcus aureus was reported. Carrier rates in hemodialysis patients were twice compared to surgery ward patients. The interesting point was that no sample of vancomycin resistant staphylococcus aureus was isolated. Conclusion: Prevalence of methicillin resistant staphylococcus aureus colonization seems to be increased; therefore proper management for controlling this problem is mandatory. The results of the present study suggest that the prevalence of methicillin resistant staphylococcus aureus infections is higher than was expected in Iran and vigorous preventive strategies should therefore be taken to stop the growth of this major health problem.

  3. Molecular Characterization of Methicillin Resistant Staphylococcus aureus Strains Isolated from Intensive Care Units in Iran: ST22-SCCmec IV/t790 Emerges as the Major Clone.

    Science.gov (United States)

    Goudarzi, Mehdi; Goudarzi, Hossein; Sá Figueiredo, Agnes Marie; Udo, Edet E; Fazeli, Maryam; Asadzadeh, Mohammad; Seyedjavadi, Sima Sadat

    2016-01-01

    The emergence of methicillin-resistant Staphylococcus aureus (MRSA) in different patient populations is a major public health concern. This study determined the prevalence and distribution of circulating molecular types of MRSA in hospitalized patients in ICU of hospitals in Tehran. A total of 70 MRSA isolates were collected from patients in eight hospitals. Antimicrobial resistance patterns were determined using the disk diffusion method. The presence of toxin encoding genes and the vancomycin resistance gene were determined by PCR. The MRSA isolates were further analyzed using multi-locus sequence, spa, SCCmec, and agr typing. The MRSA prevalence was 93.3%. Antimicrobial susceptibility testing revealed a high resistance rate (97.1%) to ampicillin and penicillin. The rate of resistance to the majority of antibiotics tested was 30% to 71.4%. Two isolates belonging to the ST22-SCCmec IV/t790 clone (MIC ≥ 8 μg/ml) had intermediate resistance to vancomycin. The majority of MRSA isolates (24.3%) were associated with the ST22-SCCmec IV/t790 clone; the other MRSA clones were ST859-SCCmec IV/t969 (18.6%), ST239-SCCmec III/t037 (17.1%), and ST291-SCCmec IV/t030 (8.6%). The circulating MRSA strains in Iranian hospitals were genetically diverse with a relatively high prevalence of the ST22-SCCmec IV/t790 clone. These findings support the need for future surveillance studies on MRSA to better elucidate the distribution of existing MRSA clones and detect emergence of new MRSA clones.

  4. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  5. Prevalence of the antibiotic resistance genes in coagulase-positive- and negative-Staphylococcus in chicken meat retailed to consumers

    Directory of Open Access Journals (Sweden)

    Kamelia Mahmoud Osman

    2016-11-01

    Full Text Available The use of antibiotics in farm management (growing crops and raising animals has become a major area of concern. Its implications is the consequent emergence of antibiotic resistant bacteria (ARB and accordingly their access into the human food chain with passage of antibiotic resistance genes (ARG to the normal human intestinal microbiota and hence to other pathogenic bacteria causative human disease. Therefore, we pursued in this study to unravel the frequency and the quinolone resistance determining region, mecA and cfr genes of methicillin-susceptible Staphylococcus aureus (MSSA, methicillin-resistant S. aureus (MRSA, methicillin-resistant coagulase-negative staphylococci (MRCNS and methicillin-susceptible coagulase-negative staphylococci (MSCNS isolated from the retail trade of ready-to-eat raw chicken meat samples collected during one year and sold across the Great Cairo area. The 50 Staphylococcus isolated from retail raw chicken meat were analyzed for their antibiotic resistance phenotypic profile on 12 antibiotics (penicillin, oxacillin, methicillin, ampicillin-sulbactam, erythromycin, tetracycline, clindamycin, gentamicin, ciprofloxacin, chloramphenicol, sulfamethoxazole-trimethoprim and vancomycin and their endorsement of the quinolone resistance determining region, mecA and cfr genes. The isolation results revealed 50 isolates, CPS (14 and CNS (36, representing ten species (S. aureus, S. hyicus, S. epidermedius, S. lugdunensis, S. haemolyticus, S. hominus, S. schleiferi, S. cohnii, S. intermedius and S. lentus. Twenty seven isolates were methicillin-resistant. Out of the characterized 50 staphylococcal isolates, three were MRSA but only 2/3 carried the mecA gene. The ARG that bestows resistance to quinolones, β-lactams, macrolides, lincosamides and streptogramin B (MLS(B in MRSA and MR-CNS were perceived. According to the available literature, the present investigation was a unique endeavor into the identification of the quinolone-resistance

  6. Prevalence of the Antibiotic Resistance Genes in Coagulase-Positive-and Negative-Staphylococcus in Chicken Meat Retailed to Consumers.

    Science.gov (United States)

    Osman, Kamelia; Badr, Jihan; Al-Maary, Khalid S; Moussa, Ihab M I; Hessain, Ashgan M; Girah, Zeinab M S Amin; Abo-Shama, Usama H; Orabi, Ahmed; Saad, Aalaa

    2016-01-01

    The use of antibiotics in farm management (growing crops and raising animals) has become a major area of concern. Its implications is the consequent emergence of antibiotic resistant bacteria (ARB) and accordingly their access into the human food chain with passage of antibiotic resistance genes (ARG) to the normal human intestinal microbiota and hence to other pathogenic bacteria causative human disease. Therefore, we pursued in this study to unravel the frequency and the quinolone resistance determining region, mec A and cfr genes of methicillin-susceptible Staphylococcus aureus (MSSA), methicillin-resistant S. aureus (MRSA), methicillin-resistant coagulase-negative staphylococci (MRCNS) and methicillin-susceptible coagulase-negative staphylococci (MSCNS) isolated from the retail trade of ready-to-eat raw chicken meat samples collected during 1 year and sold across the Great Cairo area. The 50 Staphylococcus isolated from retail raw chicken meat were analyzed for their antibiotic resistance phenotypic profile on 12 antibiotics (penicillin, oxacillin, methicillin, ampicillin-sulbactam, erythromycin, tetracycline, clindamycin, gentamicin, ciprofloxacin, chloramphenicol, sulfamethoxazole-trimethoprim, and vancomycin) and their endorsement of the quinolone resistance determining region, mec A and cfr genes. The isolation results revealed 50 isolates, CPS (14) and CNS (36), representing ten species ( S. aureus, S. hyicus, S. epidermedius, S. lugdunensis, S. haemolyticus, S. hominus, S. schleiferi, S. cohnii, S. intermedius , and S. lentus ). Twenty seven isolates were methicillin-resistant. Out of the characterized 50 staphylococcal isolates, three were MRSA but only 2/3 carried the mec A gene. The ARG that bestows resistance to quinolones, β-lactams, macrolides, lincosamides, and streptogramin B [MLS( B )] in MRSA and MR-CNS were perceived. According to the available literature, the present investigation was a unique endeavor into the identification of the quinolone-resistance

  7. Prevalence and Antibiogram Profiling of Escherichia coli Pathotypes Isolated from the Kat River and the Fort Beaufort Abstraction Water

    Science.gov (United States)

    Nontongana, Nolonwabo; Sibanda, Timothy; Ngwenya, Elvis; Okoh, Anthony I.

    2014-01-01

    Escherichia coli is a widespread bacterium encompassing a variety of strains, ranging from highly pathogenic strains, causing worldwide outbreaks of severe diseases to avirulent, well characterized safe laboratory strains. This study evaluated the prevalence and antibiogram profiles of E. coli pathotypes isolated from the Kat River and Fort Beaufort abstraction water. A total of 171 out of 278 confirmed E. coli isolates were positive for at least one pathogenic determinant and these included enteropathogenic E. coli (6%), enterotoxigenic E. coli (47%), uropathogenic E. coli (2%), neonatal meningitis E. coli (5%), diffusely adherent E. coli (1%) and enterohaemorrhagic E. coli (1%). Interestingly, enteroinvasive and enteroaggregative E. coli were not detected. The phenotypic antibiogram profiles of the isolates revealed that all were resistant to penicillin G, while 98% and 38% of the pathotypes were resistant to ampicillin and trimethoprim-sulphamethoxazole, respectively. About 8% of the isolates were resistant to streptomycin. More than half of the isolates exhibited multiple antibiotic resistance with 44% being resistant to three antibiotics and 8% resistant to four antibiotics. We conclude that the Kat River is a reservoir of potentially virulent antibiotic resistant E. coli strains that can cause serious health risks to humans who drink raw water from this river, or in the case that consumption of treated drinking water coincides with failed drinking water processes. PMID:25119699

  8. Prevalence and Antibiogram Profiling of Escherichia coli Pathotypes Isolated from the Kat River and the Fort Beaufort Abstraction Water

    Directory of Open Access Journals (Sweden)

    Nolonwabo Nontongana

    2014-08-01

    Full Text Available Escherichia coli is a widespread bacterium encompassing a variety of strains, ranging from highly pathogenic strains, causing worldwide outbreaks of severe diseases to avirulent, well characterized safe laboratory strains. This study evaluated the prevalence and antibiogram profiles of E. coli pathotypes isolated from the Kat River and Fort Beaufort abstraction water. A total of 171 out of 278 confirmed E. coli isolates were positive for at least one pathogenic determinant and these included enteropathogenic E. coli (6%, enterotoxigenic E. coli (47%, uropathogenic E. coli (2%, neonatal meningitis E. coli (5%, diffusely adherent E. coli (1% and enterohaemorrhagic E. coli (1%. Interestingly, enteroinvasive and enteroaggregative E. coli were not detected. The phenotypic antibiogram profiles of the isolates revealed that all were resistant to penicillin G, while 98% and 38% of the pathotypes were resistant to ampicillin and trimethoprim-sulphamethoxazole, respectively. About 8% of the isolates were resistant to streptomycin. More than half of the isolates exhibited multiple antibiotic resistance with 44% being resistant to three antibiotics and 8% resistant to four antibiotics. We conclude that the Kat River is a reservoir of potentially virulent antibiotic resistant E. coli strains that can cause serious health risks to humans who drink raw water from this river, or in the case that consumption of treated drinking water coincides with failed drinking water processes.

  9. High prevalence of multidrug resistant tuberculosis in Djibouti: a retrospective study.

    Science.gov (United States)

    Boyer-Cazajous, Géraldine; Martinaud, Christophe; Déhan, Céline; Hassan, Mohammed Osman; Gaas, Yassin; Chenilleau-Vidal, Marie-Caroline; Soler, Charles

    2014-02-13

    The Republic of Djibouti is an African country that exhibits one of the highest incidence rate of tuberculosis in the world. The aim of this study was to evaluate the prevalence of multidrug-resistant tuberculosis among new cases. We studied retrospectively every tuberculosis case diagnosed over a 12-month period in patients hospitalized at the French Military Hospital of Bouffard. During this period, 1,274 samples from 675 patients were tested. We isolated 266 mycobacteria corresponding to 180 cases of tuberculosis. Thirty-three were fully susceptible and 57% met the tuberculosis criteria, with 46% primary resistance. No extensively-drug-resistant tuberculosis was found. Our results highlight a major concern about the situation in this part of the world.

  10. Prevalence and antimicrobial susceptibility of Salmonella and Shigella spp. among children with gastroenteritis in an Iranian referral hospital.

    Science.gov (United States)

    Mahmoudi, Shima; Pourakbari, Babak; Moradzadeh, Mina; Eshaghi, Hamid; Ramezani, Amitis; Haghi Ashtiani, Mohammad Taghi; Keshavarz Valian, Sepideh; Mamishi, Setareh

    2017-08-01

    Gastroenteritis is one of the leading cause of illnesses through the world, especially in developing countries.Salmonella and Shigella infections are considered as the main public health problems in children. The aim of this study was to detect the prevalence and antimicrobial susceptibility of Salmonella and Shigella spp. among children with gastroenteritis in an Iranian referral hospital. During April 2013 to April 2014, all medical records of children with gastroenteritis admitted to a pediatric medical center were evaluated. Positive stool cultures of children were evaluated and frequency of Salmonella and Shigella spp. and their antimicrobial susceptibility were detected. In this study, 676 patients with the mean age of 24.94 months were enrolled. Eighty-eight (42%) Salmonella spp., 85 (40%) Shigella spp., 33 (16%) E. coli and 5(2%) candida albicans were isolated from 211 positive stool cultures. Among 85 Shigella spp. isolates, S. sonnei, S. flexneri and other Shigella spp. were isolated from 39 (46%) isolates, 36(42%) and 10(12%), respectively. Among 88 isolated Salmonella spp., 36 (41%) isolates were Salmonella Serogroup D, 26 (30%) were Salmonella Serogroup B, 20 (23%) isolates were Salmonella Serogroup C and 6 (7%) were other Salmonella spp. isolates. Thirty-eight percent of Salmonella serogroup B were resistant to nalidixic acid, while higher frequency of nalidixic acid resistant was found in Salmonella serogroup C and Salmonella serogroup D. The higher frequency of ampicillin resistant was found in Shigella spp. than Salmonella spp. High frequency of cefotaxime resistant was seen in S. sonei and S. flexneri (77% and 56%, respectively), whereas more than 90% of Salmonella serogroup B, C and D were susceptible to this antibiotic. In conclusion, Shigella and Salmonella serogroups can be considered as important etiological agents of acute diarrhea in children. Since the prevalence of antibiotic resistance is increasing in recent years in Iran, further

  11. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    electrophoresis (PFGE) using the restriction enzyme Xba I, Overall, 66 per cent of the 670 isolates were sensitive to all the antimicrobial agents tested. Eleven isolates of S typhimurium were resistant to ampicillin, streptomycin and tetracycline and also resistant to other antibiotics in different resistance...... patterns. Seven different multiresistant clones were identified, The most common clones were four isolates of DT104 and three isolates of DT193, TWO Of the three S typhimurium DT104 from 1994 and 1995 were sensitive to all the antimicrobials tested whereas the remaining isolate from 1994 was resistant......A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  12. Neonatal bloodstream infections in a Ghanaian Tertiary Hospital

    DEFF Research Database (Denmark)

    Labi, Appiah-Korang; Obeng-Nkrumah, Noah; Bjerrrum, Stephanie

    2016-01-01

    submitted to the microbiology department of the Korle-Bu Teaching Hospital was conducted from January 2010 through December 2013. We assessed the prevalence of bacteria and fungi involved in BSI and the susceptibility coverage of recommended empiric antibiotics by Ghana Standard Treatment guidelines...... and the WHO recommendations for managing neonatal sepsis. The national and WHO treatment guidelines recommend either ampicillin plus gentamicin or ampicillin plus cefotaxime for empiric treatment of neonatal BSI. The WHO recommendations also include cloxacillin plus gentamicin. We described the resistance...

  13. Prevalence and Genetic Diversity of Enterococcus faecalis Isolates from Mineral Water and Spring Water in China

    Directory of Open Access Journals (Sweden)

    Lei Wei

    2017-06-01

    Full Text Available Enterococcus faecalis is an important opportunistic pathogen which is frequently detected in mineral water and spring water for human consumption and causes human urinary tract infections, endocarditis and neonatal sepsis. The aim of this study was to determine the prevalence, virulence genes, antimicrobial resistance and genetic diversity of E. faecalis from mineral water and spring water in China. Of 314 water samples collected from January 2013 to January 2014, 48 samples (15.3% were contaminated E. faecalis. The highest contamination rate occurred in activated carbon filtered water of spring water (34.5%, followed by source water of spring water (32.3% and source water of mineral water (6.4%. The virulence gene test of 58 E. faecalis isolates showed that the detection rates of asa1, ace, cylA, gelE and hyl were 79.3, 39.7, 0, 100, 0%, respectively. All 58 E. faecalis isolates were not resistant to 12 kinds of antibiotics (penicillin, ampicillin, linezolid, quinupristin/dalfopristin, vancomycin, gentamicin, streptomycin, ciprofloxacin, levofloxacin, norfloxacin, nitrofurantoin, and tetracycline. Enterobacterial repetitive intergenic consensus-PCR classified 58 isolates and three reference strains into nine clusters with a similarity of 75%. This study is the first to investigate the prevalence of E. faecalis in mineral water and spring water in China. The results of this study suggested that spring water could be potential vehicles for transmission of E. faecalis.

  14. Occurrence of Salmonella in ruminants and camel meat in Maiduguri, Nigeria and their antibiotic resistant pattern

    Directory of Open Access Journals (Sweden)

    Zakaria Musa

    2017-09-01

    Full Text Available Objective: This study was conducted to determine the occurrence of Salmonella in various meat products (beef from cattle, chevon from goats, mutton from sheep and jaziir from camel, by screening the various selling points which includes; meat retailers in abattoir, markets and shops in Maiduguri and its environs. Materials and methods: A total of 120 samples of fresh meat from cattle, sheep, goats and camels sampled from ten meat retailers in abattoir, markets and shops in the Maiduguri metropolis, using simple random sampling technique. All samples were processed and examined according to standard bacteriological protocols. Results: Percentage occurrence of Salmonella species had the highest value of 15 (50.1% from the market, found in sheep, while the lowest occurrence of Salmonella species was associated with 3(10.0% in goats sampled from shop meat.. Antibiotic susceptibility pattern of Salmonella species from cattle meat revealed high resistant to Erythromycin (52%. In sheep, the higher percentage of resistance occurred against Ampicillin (33.3% and less resistant to Amoxicillin (4% was obtained. The isolates from camel meat recorded 25% resistant against Ampicillin, Gentamycin and 12.5% to Streptomycin. A total of 28.4% of the isolates were resistant to Ampicillin, Gentamycin and 23.1% to Ofloxacin. Conclusion: The study has shown that Salmonella species are present in fresh meat sold in abattoir, retail markets and shops. We recommend strict hygienic measures in places where fresh meat are sold in Maiduguri metropolis, Nigeria to ensure consumers right to have safe food. [J Adv Vet Anim Res 2017; 4(3.000: 227-233

  15. Enzymatic Synthesis of Ampicillin: Nonlinear Modeling, Kinetics Estimation, and Adaptive Control

    Directory of Open Access Journals (Sweden)

    Monica Roman

    2012-01-01

    Full Text Available Nowadays, the use of advanced control strategies in biotechnology is quite low. A main reason is the lack of quality of the data, and the fact that more sophisticated control strategies must be based on a model of the dynamics of bioprocesses. The nonlinearity of the bioprocesses and the absence of cheap and reliable instrumentation require an enhanced modeling effort and identification strategies for the kinetics. The present work approaches modeling and control strategies for the enzymatic synthesis of ampicillin that is carried out inside a fed-batch bioreactor. First, a nonlinear dynamical model of this bioprocess is obtained by using a novel modeling procedure for biotechnology: the bond graph methodology. Second, a high gain observer is designed for the estimation of the imprecisely known kinetics of the synthesis process. Third, by combining an exact linearizing control law with the on-line estimation kinetics algorithm, a nonlinear adaptive control law is designed. The case study discussed shows that a nonlinear feedback control strategy applied to the ampicillin synthesis bioprocess can cope with disturbances, noisy measurements, and parametric uncertainties. Numerical simulations performed with MATLAB environment are included in order to test the behavior and the performances of the proposed estimation and control strategies.

  16. [Prevalence of target organ damage and metabolic abnormalities in resistant hypertension].

    Science.gov (United States)

    Armario, Pedro; Oliveras, Anna; Hernández Del Rey, Raquel; Ruilope, Luis Miguel; De La Sierra, Alejandro

    2011-10-15

    Patients with resistant hypertension (RH) are relatively frequently visited in specialized units of hypertension. The aim of this study was to assess the prevalence of target organ damage, central obesity and metabolic syndrome in a cohort of patients with RH consecutively included in the Register of Resistant Hypertension of the Spanish Society of Hypertension (SHE-LELHA). Cross-sectional, multicenter epidemiologic study in usual clinical practice conditions. Patients with clinical diagnosis of resistant hypertension, that is, office systolic and diastolic blood pressure ≥ 140 mm Hg and/or ≥ 90 mm Hg, respectively, despite a prescribed therapeutic schedule with an appropriate combination of three or more full-dose antihypertensive drugs, including a diuretic, were consecutively recruited from specialized hypertension units spread through Spain. Demographic and anthropometric characteristics as well as cardiovascular risk factors and associated conditions were recorded, and all the subjects underwent 24-h ambulatory blood pressure monitoring. Left ventricular hypertrophy was considered as a left ventricular mass index ≥ 125 g/m(2) in males and ≥ 110 g/m(2) in females. Left atrial enlargement was defined as an indexed left atrium diameter ≥ 26 mm/m(2). Microalbuminuria was defined as a urinary albumin/creatinine ratio ≥ 22 mg/g in males and ≥ 31 mg/g in females. 513 patients were included, aged 64±11 years old, 47% women. Central obesity was present in 65.7% (CI 95% 61.6-69.9), 38.6% (CI 95% 34.4-42.8) had diabetes and 63.7% (CI 95% 59.4-67.9) had metabolic syndrome. The prevalence of left ventricular hypertrophy and left atrial enlargement, determined by echocardiography was 57.1% (CI 95% 50.8-63.5) and 10.0% (CI 95% 6.3-13.7) respectively. Microalbuminuria was found in 46.6% (CI 95% 41.4-51.8) of the subjects. Patients with metabolic syndrome were significantly older (65.4±11 and 62.5±12 years; P=.0052), presented a higher prevalence of diabetes

  17. Prevalence and distribution of Vibrio parahaemolyticus in finfish from Cochin (south India

    Directory of Open Access Journals (Sweden)

    Ammanamveetil A.M. Hatha

    2012-09-01

    Full Text Available Finfish samples obtained from four retail outlets in Cochin between June 2009 and June 2010 were investigated for the occurrence of Vibrio parahaemolyticus. A total of 182 samples were collected and suspect isolates were identified using standard biochemical tests and were further confirmed by a species-specific tlh gene. V. parahaemolyticus was detected in 45.1% of samples, with demersal fish being more affected than pelagic species. The bacterium was isolated more frequently from the skin and gills of pelagic fish, while the intestine yielded greater numbers of V. parahaemolyticus in demersal fish. The highest incidence of antibiotic resistance was recorded against ampicillin and streptomycin, followed by carbenicillin, cefpodoxime, cephalothin, colistin and amoxycillin; the lowest was against nalidixic acid, tetracycline, chloramphenicol and ciprofloxacin. Multiple drug resistance was prevalent among isolates. Although only a fraction of strains are pathogenic for humans, the time-temperature abuse in markets provides ample scope for these strains to multiply to dangerous levels. The multidrug resistant nature of the strains adds to the gravity of the problem. High V. parahaemolyticus incidence rates in market finfish samples from areas in and around Cochin clearly indicates that control measures should be adopted to reduce post-harvest contamination in seafood and time-temperature abuse in markets to diminish the risk of V. parahaemolyticus infection associated with seafood destined for human consumption.

  18. Rhein 8-O-β-D-Glucopyranoside Elicited the Purgative Action of Daiokanzoto (Da-Huang-Gan-Cao-Tang), Despite Dysbiosis by Ampicillin.

    Science.gov (United States)

    Takayama, Kento; Tabuchi, Norihiko; Fukunaga, Masahito; Okamura, Nobuyuki

    2016-01-01

    Sennoside A (SA), the main purgative constituent of Daiokanzoto (da-huang-gan-cao-tang; DKT), is generally regarded as a prodrug that is transformed into an active metabolite by β-glucosidase derived from Bifidobacterium spp. It has been suggested that antibiotics would promote dysbiosis, and thereby inhibit the purgative activity of DKT. In this study, ampicillin was administered to mice for 8 d, and the changes in the SA metabolism of SA alone and of DKT were investigated. The results showed that the SA metabolism of SA singly continued to be inhibited by ampicillin, but that of DKT was activated from day 3 under the same conditions. In order to investigate the mechanism of SA metabolism activated by DKT in the mice administered ampicillin, changes in the SA metabolism were observed in the presence of rhein 8-O-β-D-glucopyranoside (RG) in rhubarb and liquiritin in glycyrrhiza, both of which accelerated the SA metabolism. In fact, RG achieved an activation of SA metabolism similar to that by DKT. The purgative action of DKT, which was continued treatment of the ampicillin, was significantly greater than that by SA alone, and it was shown that RG was involved in this effect. We also analyzed changes in the intestinal microbiota before and after administration of ampicillin. No Bifidobacteria were detected throughout the treatment, but the population of Bacteroides was significantly increased after 3 d under the same conditions. Taken together, these results strongly suggested that the RG in DKT changed the function of Bacteroides and thereby allowed DKT to metabolize SA.

  19. Prevalence of methicillin-resistant Staphylococcus aureus skin and nasal carriage isolates from bovines and its antibiogram

    Directory of Open Access Journals (Sweden)

    Alok Kumar

    2017-06-01

    Full Text Available Aim: This study was conducted to determine the prevalence of methicillin-resistant Staphylococcus aureus (MRSA in cattle and buffalo and to study their antibiotic resistance pattern. Materials and Methods: A total of 136 samples (skin and nasal swab from cattle and buffalo were collected. MRSA was identified by conventional bacterial culture techniques which were further confirmed by amplification of S. aureus-specific 16S rRNA by polymerase chain reaction (PCR. The isolates were further analyzed for the presence of mecA gene by PCR. The antimicrobial susceptibility profiling was performed by disc diffusion method. Results: The prevalence of MRSA in the current study was 28.57% and 34.28% in cattle nasal and skin swab, respectively, with an overall prevalence of 31.43% MRSA among cattle. Buffalo nasal and skin sample showed MRSA prevalence of 54.55% and 39.4%, respectively, with 46.9% overall prevalence. PCR could detect mecA gene in 36.4% and 58% MRSA isolates from cattle and buffalo, respectively. Antimicrobial susceptibility test found MRSA resistant to penicillin and oxytetracycline (88% each, cefoxitin (75%, cotrimoxazole (62%, and amoxyclav (50%. 100% sensitivity was observed against ciprofloxacin, amikacin, chloramphenicol, and gentamicin. Three (16.7% MRSA isolates from buffalo were found resistant to vancomycin. Conclusion: Cattle and buffalo were identified as a potential carrier of MRSA in Bihar (India. The isolation of vancomycinresistant S. aureus (VRSA in the current study indicates the emergence of VRSA in animal population which may be transmitted to the human beings working in close contact to the animals.

  20. Prevalence and Characteristics of Salmonella Isolated from Free-Range Chickens in Shandong Province, China.

    Science.gov (United States)

    Zhao, Xiaonan; Gao, Yanxia; Ye, Chaoqun; Yang, Lingling; Wang, Tao; Chang, Weishan

    2016-01-01

    Compared with chickens raised in intensively managed breeding farms, free-range chickens in China are quite popular due to lower breeding density and less antibiotics usage. However, investigations about Salmonella enterica from free-range chickens are quite rare. The aim of the present study was to investigate prevalence and characteristics of Salmonella in free-range chickens in Shandong province, China. During the period of August and November 2015, 300 fresh fecal swabs from different broilers in three free-range chicken farms (100 samples per farm) were collected to isolate Salmonella , and then these isolates were subjected to serotyping, antibiotic sensitivity testing, enterobacterial repetitive intergenic consensus-polymerase chain reaction (ERIC-PCR), and multilocus sequence typing (ST). A total of 38 Salmonella isolates (38/300, 12.7%) were recovered. The most common serotype was Enteritidis (81.6%), followed by Indiana (13.2%) and Typhimurium (5.3%). Twenty-two out of 38 isolates (57.9%) were resistant to ampicillin, the highest resistance rate, but resistance rates to cefazolin, cefotaxime, and ceftazidime were only 7.9%. The multidrug resistance (MDR) rate was 26.3%. Additionally, the Salmonella isolates could be classified into 25 genotypes by ERIC-PCR and were divided into three ST types (ST11, ST17, and ST19), with ST11 the highest isolation rate (81.6%). In summary, as with other poultry, free-ranging chickens may also serve as potential reservoir for antibiotic resistant Salmonella , thereby posing a threat to public health.

  1. Chloroquine resistant P. falciparum prevalence is low and unchanged between 1990 and 2005 in Guinea-Bissau

    DEFF Research Database (Denmark)

    Ursing, Johan; Schmidt, Berit Aydin; Lebbad, Marianne

    2007-01-01

    Chloroquine resistant malaria was first reported in Guinea-Bissau in 1990 but chloroquine remains the most commonly used antimalarial in the country. Since 1990, we have conducted nearly annual standardized WHO in vitro micro-tests to assess chloroquine resistance. We have identified pfcrt 76T...... and other genetic polymorphisms in samples from 1992, 1993, 1995, 2004 and 2005. We have also monitored drug prescriptions for febrile illnesses. The mean proportion of in vitro tests indicating chloroquine resistance was 33% (range 14-54%) with the exception of an outlying value year 2000. The proportion...... of chloroquine resistant P. falciparum detected by in vitro testing did not increase over time. Pfcrt 76T was associated with chloroquine resistance but pfmdr1 86Y was not. The mean pfcrt 76T prevalence varied between 13% and 38%. The prevalence of SNPs at Pfcrt positions 76, 271, 326 and pfmdr1 position 86 did...

  2. Prevalence of genotypic HIV-1 drug resistance in Thailand, 2002

    Directory of Open Access Journals (Sweden)

    Watitpun Chotip

    2003-03-01

    Full Text Available Abstract Background The prices of reverse transcriptase (RT inhibitors in Thailand have been reduced since December 1, 2001. It is expected that reduction in the price of these inhibitors may influence the drug resistance mutation pattern of HIV-1 among infected people. This study reports the frequency of HIV-1 genetic mutation associated with drug resistance in antiretroviral-treated patients from Thailand. Methods Genotypic resistance testing was performed on samples collected in 2002 from 88 HIV-1 infected individuals. Automated DNA sequencing was used to genotype the HIV-1 polymerase gene isolated from patients' plasma. Results Resistance to protease inhibitors, nucleoside and non-nucleoside reverse transcriptase inhibitors were found in 10 (12%, 42 (48% and 19 (21% patients, respectively. The most common drug resistance mutations in the protease gene were at codon 82 (8%, 90 (7% and 54 (6%, whereas resistant mutations at codon 215 (45%, 67 (40%, 41 (38% and 184 (27% were commonly found in the RT gene. This finding indicates that genotypic resistance to nucleoside reverse transcriptase inhibitors was prevalent in 2002. The frequency of resistant mutations corresponding to non-nucleoside reverse transcriptase inhibitors was three times higher-, while resistant mutation corresponding to protease inhibitors was two times lower than those frequencies determined in 2001. Conclusion This study shows that the frequencies of RT inhibitor resistance mutations have been increased after the reduction in the price of RT inhibitors since December 2001. We believe that this was an important factor that influenced the mutation patterns of HIV-1 protease and RT genes in Thailand.

  3. Prevalence and Molecular Detection of Quinolone-Resistant E. coli in Rectal Swab of Apparently Healthy Cattle in Bangladesh

    OpenAIRE

    Md. Montasir Mamun; Jayedul Hassan; K. H. M. Nazmul Hussain Nazir; Md. Alimul Islam; Khalada Zesmin; Md. Bahanur Rahman; Md. Tanvir Rahman

    2017-01-01

    Emergence of antibiotic resistance is a serious health problem both in human and animal all over the world. In this study, we investigated the prevalence of quinolone-resistant E. coli isolated from apparently healthy cattle in Mymensingh district, Bangladesh. A total of 137 rectal swabs was screened among which 95 was found positive for E. coli. Confirmation of isolation of E. coli was done by PCR targeting 16S rRNA gene of E. coli (prevalence 69.3%). Resistance against quinolone is primaril...

  4. Comparative Evaluation of Ampicillin and Trimethoprim Sulfamoxole Combination in Gonorrhoea

    Directory of Open Access Journals (Sweden)

    J Chendrayudu

    1981-01-01

    Full Text Available Fifty men suffering from uncomplicated urethritis were treated either with 1, 280 mg of (TMP and 6,400 mg of (SDMO (Supristol 16 tablets eually divided doses taken at a 12 or with a single dose of 3.5 gm of with 1 gm of probenecid . The cure rate in TMP-SDMO regimen was 79% while that in AMP-PBD regimen was 95.7%. Sensitivity of the organism to penicillin, ampicillin and TMP-SDMO. Toxic effects of the drugs were studied.

  5. PREVALENCE AND SUSCEPTIBILITY OF EXTENDED SPECTRUM BETA-LACTAMASES IN URINARY ISOLATES OF ESCHERICHIA COLI IN A TERTIARY CARE HOSPITAL, CHENNAI-SOUTH INDIA

    Directory of Open Access Journals (Sweden)

    Dr. Anbumani Narayanaswamy MD PhD

    2011-01-01

    Full Text Available Extended spectrum beta – lactamases (ESBLs are on the rise in hospital settings across the globe. The presence of ESBLs significantly affects the outcome of an infection and poses a challenge to the management of infection worldwide. Therefore, the aim of the present study is to determine the prevalence and susceptibility of extended spectrum beta – lactamase in urinary isolates of Escherichia coli (E.coli in a tertiary care hospital, Chennai-South India. A total of 450 urinary isolates of E.coli were collected over a period of six months from April 2008 to September 2008. Antimicrobial susceptibility testing was determined to commonly used antibiotics using the modified Kirby-Bauer’s disc diffusion method. ESBL detection was done by the screening method of double disc synergy test and then confirmed by the phenotypic confirmatory test with combination disc as recommended by the Clinical Laboratory Standards Institute (CLSI and the minimum inhibitory concentration (MIC method using the E test strips (AB Biodisk,Sweden - as per manufacturer’s instructions. The prevalence of E.coli ESBL was 60%. The ESBL producing isolates were significantly resistant (p < 0.01 to ampicillin, trimethoprim / sulfamethoxazole, norfloxacin and nalidixic acid as compared to non-ESBL producers. Multidrug resistance was significantly (p < 0.01 higher (69% in ESBL positive isolates than non-ESBL isolates (21%. Knowledge of the prevalence of ESBL and resistance pattern of bacterial isolates in a geographical area will help the clinicians to formulate the guidelines for antibiotic therapy to avoid inappropriate use of extended spectrum cephalosporins.

  6. Antimicrobial resistance in Shigella spp. causing traveller's diarrhoea (1995-2010): a retrospective analysis.

    Science.gov (United States)

    Pons, M J; Gomes, C; Martínez-Puchol, S; Ruiz, L; Mensa, L; Vila, J; Gascón, J; Ruiz, J

    2013-01-01

    Shigellosis is a global human health problem causing an important morbidity among travellers returning from tropical areas. This study was aimed to describe the evolution of antimicrobial resistance profile in Shigella spp. isolated between the years 1995-2010 in patients with traveller's diarrhoea (TD) returning from tropical areas. The levels of antimicrobial resistance were tested in a total of 191 Shigella spp. isolated during the period from 1995 to 2010. A decrease of cases of diarrhoea caused by Shigella has been observed in recent years. A wide spectrum of antibiotic resistance was observed among Shigella spp. These isolates showed high levels of resistance to tetracycline (84%), co-trimoxazole (75.5%), and ampicillin (45.5%). The resistance was low to ciprofloxacin (2.1%), azithromycin (3.9%) and furazolidone (8.4%). According to the period, in the case of ampicillin, amoxicillin plus clavulanic acid, chloramphenicol, values of resistance were significantly decreasing from 1995-2000 to 2001-2010, (62.5% vs. 28.4%, 19.8% vs. 6.6%, 23.4 vs. 10.4%, respectively). Meanwhile in nalidixic acid and tetracycline the evolution of resistance has increased over time. A decrease in the isolation number of Shigella spp. causing TD has been observed. Differential trends in the evolution of the levels of resistance to the tested antibacterial agents have been observed. Copyright © 2013 Elsevier Ltd. All rights reserved.

  7. Antibiotic resistance profiling and phenotyping of Aeromonas species isolated from aquatic sources

    Directory of Open Access Journals (Sweden)

    Olumide A. Odeyemi

    2017-01-01

    Full Text Available This study aimed to investigate antibiotics resistance pattern and phenotyping of Aeromonas species isolated from different aquatic sources in Melaka, Malaysia. A total of 53 Aeromonas species were isolated from the following sources: sediment (n = 13, bivalve (n = 10, sea cucumber (n = 16 and sea water (n = 14 and resistance to 12 antibiotics – Tetracycline (30 μg, Kanamycin (30 μg, Oxytetracycline (30 μg, Ampicillin (10 μg, Streptomycin (10 μg, Gentamicin (10 μg, Sulphamethoxazole (25 μg, Nalixidic acid (30 μg, Trimethoprim (1.25 μg, Novobiocin (5 μg, Penicilin (10 μg and Chloramphenicol (10 μg was tested. The results obtained from this study reveal multi drug resistance pattern among the isolates. All the isolates were completely resistant to Ampicillin, Novobiocin, Sulphamethoxazole and Trimethoprim, respectively but susceptible to Tetracycline (100%, Kanamycin (5.7%, Gentamicin (5.7% and Oxytetracycline (24.5%. Antibiotics phenotyping of the bacteria revealed 21 different phenotypes among the isolates.

  8. Bulgecin A as a β-lactam enhancer for carbapenem-resistant Pseudomonas aeruginosa and carbapenem-resistant Acinetobacter baumannii clinical isolates containing various resistance mechanisms.

    Science.gov (United States)

    Skalweit, Marion J; Li, Mei

    2016-01-01

    Genetic screening of Pseudomonas aeruginosa (PSDA) and Acinetobacter baumannii (ACB) reveals genes that confer increased susceptibility to β-lactams when disrupted, suggesting novel drug targets. One such target is lytic transglycosylase. Bulgecin A (BlgA) is a natural product of Pseudomonas mesoacidophila and a lytic transglycosolase inhibitor that works synergistically with β-lactams targeting PBP3 for Enterobacteriaceae. BlgA also weakly inhibits di-Zn 2+ metallo-β-lactamases like L1 of Stenotrophomonas maltophilia . We hypothesized that because of its unique mechanism of action, BlgA could restore susceptibility to carbapenems in carbapenem-resistant PSDA (CR-PSDA) and carbapenem-resistant ACB, as well as ACB resistant to sulbactam. A BlgA-containing extract was prepared using a previously published protocol. CR-PSDA clinical isolates demonstrating a variety of carbapenem resistance mechanisms (VIM-2 carbapenemases, efflux mechanisms, and AmpC producer expression) were characterized with agar dilution minimum inhibitory concentration (MIC) testing and polymerase chain reaction. Growth curves using these strains were prepared using meropenem, BlgA extract, and meropenem plus BlgA extract. A concentrated Blg A extract combined with low concentrations of meropenem, was able to inhibit the growth of clinical strains of CR-PSDA for strains that had meropenem MICs ≥8 mg/L by agar dilution, and a clinical strain of an OXA-24 producing ACB that had a meropenem MIC >32 mg/L and intermediate ampicillin/sulbactam susceptibility. Similar experiments were conducted on a TEM-1 producing ACB strain resistant to sulbactam. BlgA with ampicillin/sulbactam inhibited the growth of this organism. As in Enterobacteriaceae, BlgA appears to restore the efficacy of meropenem in suppressing the growth of CR-PSDA and carbapenem-resistant ACB strains with a variety of common carbapenem resistance mechanisms. BlgA extract also inhibits VIM-2 β-lactamase in vitro. BlgA may prove to be

  9. Cattle breeding, trypanosomosis prevalence and drug resistance in Northern Togo.

    Science.gov (United States)

    Tchamdja, E; Kulo, A E; Vitouley, H S; Batawui, K; Bankolé, A A; Adomefa, K; Cecchi, G; Hoppenheit, A; Clausen, P H; De Deken, R; Van Den Abbeele, J; Marcotty, T; Delespaux, V

    2017-03-15

    African Animal Trypanosomosis (AAT) is a major disease of cattle in Togo and its control is essentially based on chemotherapy. However, because of excessive use of trypanocides during the past decades, chemo-resistance in the parasites has developed. In order to assess the current situation of AAT and resistance to trypanocidal drugs in Northern Togo, a study was conducted on cattle from December 2012 to August 2013 in the regions of Kara and Savanes. An initial cross-sectional survey was carried out in 40 villages using the Haematocrit Centrifugation Technique (HCT). Out of these, 5 villages with a trypanosome prevalence of >10% were selected for a block treatment study (BT) with diminazene diaceturate (DA: 3.5mg/kg for a 14-day follow-up) and isometamidium chloride (ISM: 0.5mg/kg for a 28-day follow-up). Positive blood samples collected during the parasitological surveys and an equivalent number of negatives were further analyzed by PCR-RFLP for trypanosome species confirmation and molecular diagnosis of resistance to DA in Trypanosoma congolense. The results from 1883 bovine blood samples confirmed a high overall trypanosome prevalence of 10.8% in Northern Togo. PCR-RFLP revealed that T. congolense is the dominant pathogenic trypanosome species (50.5%) followed by T. vivax (27.3%), and T. brucei (16.2%). The BT showed varying levels of treatment failures ranging from 0 to 30% and from 0 to 50% for DA and for ISM respectively, suggesting the existence of resistant trypanosome populations in the study area. Our results show that AAT still represents a major obstacle to the development of cattle husbandry in Northern Togo. In areas of high AAT risk, a community-based integrated strategy combining vector control, rational use of trypanocidal drugs and improving the general condition of the animals is recommended to decision makers. Copyright © 2017 Elsevier B.V. All rights reserved.

  10. Sustained low prevalence of meticillin-resistant Staphylococcus aureus upon admission to hospital in The Netherlands

    NARCIS (Netherlands)

    Bode, L. G. M.; Wertheim, H. F. L.; Kluytmans, J. A. J. W.; Bogaers-Hofman, D.; Vandenbroucke-Grauls, C. M. J. E.; Roosendaal, R.; Troelstra, A.; Box, A. T. A.; Voss, A.; van Belkum, A.; Verbrugh, H. A.; Vos, M. C.

    2011-01-01

    The prevalence of meticillin-resistant Staphylococcus aureus (MRSA) carriage at hospital admission in The Netherlands was 0.03% in 1999-2000. The aim of the present study was to assess whether the prevalence of MRSA carriage in The Netherlands has changed over the last few years. In five Dutch

  11. Prevalence of impaired glucose tolerance and insulin resistance among obese children and adolescents

    Directory of Open Access Journals (Sweden)

    Robabeh Ghergherechi

    2010-07-01

    Full Text Available Robabeh Ghergherechi1, Ali Tabrizi21Department of Pediatrics Endocrinology, Tabriz University of Medical Sciences, Tabriz, Iran; 2Students’ Research Committee, Tabriz University of Medical Sciences, Tabriz, IranPurpose: Obesity is one of the most important nutritional disorders in the world which has an obvious relationship with the incidence of metabolic diseases. Obesity prevalence has increased among children and adolescents during recent decades, leading to a rise in Type 2 diabetes mellitus (DM II prevalence in these two age brackets. Hence, the aim of this study was to assess impaired glucose tolerance and insulin resistance, and gather metabolic findings in obese children and adolescents.Methods and materials: We studied 110 obese children and adolescents (body mass index > 95th percentile for age and gender 4–18 years of age referred to the endocrine clinic of the Children’s Hospital at Tabriz University in a descriptive cross-sectional study. ­Fasting glucose, insulin, and lipid profile in all subjects were determined. Oral glucose tolerance test after eating 75 g/kg glucose was performed. Homeostatic model assessment was used to ­estimate insulin resistance.Results: Impaired glucose tolerance and insulin resistance prevalence in 68 obese adolescents was 14.7% and 31.8%, respectively. Impaired glucose tolerance and insulin resistance was not seen in 23.8% of 42 obese children. No case of DM II was seen. There was a significant statistical difference in glucose (P = 0.003 and insulin (P < 0.001 level at minute 120 in individuals with impaired glucose tolerance compared to obese children and adolescents without impaired glucose tolerance. Rate of insulin resistance in patients with impaired glucose tolerance was greater and had a significant statistical difference (P = 0.03.Conclusion: Obesity has a close relationship with increased risk of impaired glucose tolerance and insulin resistance in children and adolescents. Oral glucose

  12. Healthcare Antibiotic Resistance Prevalence - DC (HARP-DC): A Regional Prevalence Assessment of Carbapenem-Resistant Enterobacteriaceae (CRE) in Healthcare Facilities in Washington, District of Columbia.

    Science.gov (United States)

    Reuben, Jacqueline; Donegan, Nancy; Wortmann, Glenn; DeBiasi, Roberta; Song, Xiaoyan; Kumar, Princy; McFadden, Mary; Clagon, Sylvia; Mirdamadi, Janet; White, Diane; Harris, Jo Ellen; Browne, Angella; Hooker, Jane; Yochelson, Michael; Walker, Milena; Little, Gary; Jernigan, Gail; Hansen, Kathleen; Dockery, Brenda; Sinatro, Brendan; Blaylock, Morris; Harmon, Kimary; Iyengar, Preetha; Wagner, Trevor; Nelson, Jo Anne

    2017-08-01

    OBJECTIVE Carbapenem-resistant Enterobacteriaceae (CRE) are a significant clinical and public health concern. Understanding the distribution of CRE colonization and developing a coordinated approach are key components of control efforts. The prevalence of CRE in the District of Columbia is unknown. We sought to determine the CRE colonization prevalence within healthcare facilities (HCFs) in the District of Columbia using a collaborative, regional approach. DESIGN Point-prevalence study. SETTING This study included 16 HCFs in the District of Columbia: all 8 acute-care hospitals (ACHs), 5 of 19 skilled nursing facilities, 2 (both) long-term acute-care facilities, and 1 (the sole) inpatient rehabilitation facility. PATIENTS Inpatients on all units excluding psychiatry and obstetrics-gynecology. METHODS CRE identification was performed on perianal swab samples using real-time polymerase chain reaction, culture, and antimicrobial susceptibility testing (AST). Prevalence was calculated by facility and unit type as the number of patients with a positive result divided by the total number tested. Prevalence ratios were compared using the Poisson distribution. RESULTS Of 1,022 completed tests, 53 samples tested positive for CRE, yielding a prevalence of 5.2% (95% CI, 3.9%-6.8%). Of 726 tests from ACHs, 36 (5.0%; 95% CI, 3.5%-6.9%) were positive. Of 244 tests from long-term-care facilities, 17 (7.0%; 95% CI, 4.1%-11.2%) were positive. The relative prevalence ratios by facility type were 0.9 (95% CI, 0.5-1.5) and 1.5 (95% CI, 0.9-2.6), respectively. No CRE were identified from the inpatient rehabilitation facility. CONCLUSION A baseline CRE prevalence was established, revealing endemicity across healthcare settings in the District of Columbia. Our study establishes a framework for interfacility collaboration to reduce CRE transmission and infection. Infect Control Hosp Epidemiol 2017;38:921-929.

  13. Prevalence and antimicrobial resistance of Listeria, Salmonella, and Yersinia species isolates in ducks and geese.

    Science.gov (United States)

    Jamali, Hossein; Radmehr, Behrad; Ismail, Salmah

    2014-04-01

    The aims of this study were to determine the prevalence and antimicrobial resistance of Listeria, Salmonella, and Yersinia spp. isolated from duck and goose intestinal contents. A total of 471 samples, including 291 duck and 180 goose intestinal contents, were purchased from wet markets between November 2008 and July 2010. Listeria, Salmonella, and Yersinia spp. were isolated from 58 (12.3%), 107 (22.7%), and 80 (17%) of the samples, respectively. It was concluded that Listeria ivanovii, Salmonella Thompson, and Yersinia enterocolitica were the predominant serovars among Listeria, Salmonella, and Yersinia spp., respectively. Moreover, resistance to tetracycline was common in Listeria (48.3%) and Salmonella spp. (63.6%), whereas 51.3% of the Yersinia spp. isolates were resistant to cephalothin. Therefore, continued surveillance of the prevalence of the pathogens and also of emerging antibiotic resistance is needed to render possible the recognition of foods that may represent risks and also ensure the effective treatment of listeriosis, salmonellosis, and yersiniosis.

  14. Prevalence and determinants of fecal colonization with vancomycin-resistant Enterococcus in hospitalized patients in The Netherlands

    NARCIS (Netherlands)

    van den Braak, N.; Ott, A.; van Belkum, A.; Kluytmans, J. A.; Koeleman, J. G.; Spanjaard, L.; Voss, A.; Weersink, A. J.; Vandenbroucke-Grauls, C. M.; Buiting, A. G.; Verbrugh, H. A.; Endtz, H. P.

    2000-01-01

    To determine the prevalence and determinants of fecal carriage of vancomycin-resistant enterococci (VRE) in intensive care unit (ICU), hematology-oncology, and hemodialysis patients in The Netherlands. Descriptive, multicenter study, with yearly 1-week point-prevalence assessments between 1995 and

  15. Prevalence and antimicrogram of Staphylococcus intermedius group isolates from veterinary staff, companion animals, and the environment in veterinary hospitals in Korea.

    Science.gov (United States)

    Youn, Jung-Ho; Yoon, Jang Won; Koo, Hye Cheong; Lim, Suk-Kyung; Park, Yong Ho

    2011-03-01

    The Staphylococcus intermedius bacterial group (SIG) includes 3 distinct genetically heterogenous species: S. intermedius, S. pseudintermedius, and S. delphini. This pathogen group is associated with many opportunistic skin and ear infections in companion animals. Human infections with S. intermedius and S. pseudintermedius isolates and the emergence of methicillin-resistant isolates have been recently reported, which emphasizes the importance of nationwide identification of SIG isolate prevalence and antibiotic resistance in veterinary clinics. In the present study, a total of 178 SIG isolates were obtained from veterinary staff (n  =  40), companion animals (n  =  115), and the local environment (n  =  23) in 8 Korean veterinary hospitals. Isolates were differentiated into 167 S. pseudintermedius (93.8%) and 11 S. intermedius (6.2%) isolates; S. delphini isolates were not identified. The most effective antibiotics against these isolates included amoxicillin-clavulanic acid, amikacin, nitrofloxacin, imipenem, and vancomycin; whereas ampicillin, penicillin, tetracycline, erythromycin, and trimethoprim-sulfamethoxazole were not effective. Surprisingly, the 128 SIG isolates (71.9%) displayed multiple drug resistance (MDR) against 3 or more antibiotic classes. Out of 52 SIG isolates carrying the methicillin-resistance gene (mecA), only 34 (65.4%) were oxacillin-resistant, and 49 (94.2%) methicillin-resistant SIG were multidrug resistant. This finding suggests the presence of greater numbers of MDR phenotypes than other isolates (P < 0.05).

  16. Antimicrobial resistance in Escherichia coli isolated from different parts of the digestive tract of sheep

    Directory of Open Access Journals (Sweden)

    E. Afshari-Safavi

    2017-09-01

    Full Text Available In order to evaluate differences in resistance patterns of Escherichia coli isolated from different parts of sheep digestive tract, the intestinal tracts of 24 sheep were sampled at various locations (duode-num, jejunum, caecum, colon and rectum after slaughter. Samples were cultured on MacConkey agar and obtained colonies were confirmed as E. coli based on the biochemical tests results. Isolates were tested for antimicrobial agent susceptibility to 10 antibiotics (colistin, gentamicin, oxytetracycline, trimethoprim-sulfamethoxazole, amoxicillin-clavulanic acid, enrofloxacin, ampicillin, cephotaxime, neomycin and florfenicol, using disc diffusion method. The tested E. coli resistant to colistin, ampicillin and amoxicillin-clavulanic acid were isolated more frequently from large intestine (rectum than from small intestine (duodenum (P<0.05. In conclusion, antimicrobial resistance pattern of generic E. coli inhabiting the intestinal tract of sheep depends on sampling location, which should be considered in interpreting the results of antimicrobial resistance tests of E. coli isolated from the faecal samples and generalising results to bacteria colonised in other parts of the digestive tract

  17. Prevalence and antimicrobial resistance among Escherichia coli and Salmonella in Ontario smallholder chicken flocks.

    Science.gov (United States)

    Lebert, L; Martz, S-L; Janecko, N; Deckert, A E; Agunos, A; Reid, A; Rubin, J E; Reid-Smith, R J; McEwen, S A

    2018-02-01

    Surveillance is an important component of an overall strategy to address antimicrobial resistant bacteria in food animals and the food chain. The poultry market has many points of entry into the Canadian food chain, and some production practices are underrepresented in terms of surveillance. For example, pathogen carriage and antimicrobial resistance surveillance data are limited in smallholder chicken flocks raised for slaughter at provincially inspected abattoirs. In Canada, antimicrobial resistance in Escherichia coli and Salmonella isolated from commercial broiler chicken flocks, slaughtered at federally inspected abattoirs, is monitored by the Canadian Integrated Program for Antimicrobial Resistance Surveillance (CIPARS). The objective of this study was to establish baseline information of antimicrobial resistance presence in E. coli and Salmonella isolated from smallholder flocks in Ontario, utilizing CIPARS collection and isolation methodologies, and to compare findings with CIPARS federally inspected abattoir data from Ontario, Canada. Five chickens per flock were sampled from 205 smallholder flocks. Of 1,025 samples, the E. coli prevalence was 99% (1,022/1,025), and 47% (483/1,022) of positive E. coli isolates were resistant to one or more of the 14 antimicrobials. Furthermore, as compared to results reported for the CIPARS commercial flocks, E. coli isolates from smallholder flocks had significantly lower resistance prevalence to six of 14 individual antimicrobials. Recovery of E. coli did not differ between federally inspected and provincially inspected flocks. Salmonella prevalence at the bird level in smallholder flocks was 0.3% (3/1,025), significantly lower (p ≪ 0.0001, 95% CI 0.080%-0.86%) than federally inspected commercial flocks. The overall differences found between the commercial and smallholder flocks may be explained by differences in poultry husbandry practices and hatchery sources. © 2017 Her Majesty the Queen in Right of Canada

  18. Prevalence of veterinary antibiotics and antibiotic-resistant Escherichia coli in the surface water of a livestock production region in northern China.

    Directory of Open Access Journals (Sweden)

    Xuelian Zhang

    Full Text Available This study investigated the occurrence of 12 veterinary antibiotics (VAs and the susceptibility of Escherichia coli (E. coli in a rural water system that was affected by livestock production in northern China. Each of the surveyed sites was determined with at least eight antibiotics with maximum concentration of up to 450 ng L(-1. The use of VAs in livestock farming probably was a primary source of antibiotics in the rivers. Increasing total antibiotics were measured from up- to mid- and downstream in the two tributaries. Eighty-eight percent of the 218 E. coli isolates that were derived from the study area exhibited, in total, 48 resistance profiles against the eight examined drugs. Significant correlations were found among the resistance rates of sulfamethoxazole-trimethoprim, chloromycetin and ampicillin as well as between tetracycline and chlortetracycline, suggesting a possible cross-selection for resistance among these drugs. The E. coli resistance frequency also increased from up- to midstream in the three rivers. E. coli isolates from different water systems showed varying drug numbers of resistance. No clear relationship was observed in the antibiotic resistance frequency with corresponding antibiotic concentration, indicating that the antibiotic resistance for E. coli in the aquatic environment might be affected by factors besides antibiotics. High numbers of resistant E. coli were also isolated from the conserved reservoir. These results suggest that rural surface water may become a large pool of VAs and resistant bacteria. This study contributes to current information on VAs and resistant bacteria contamination in aquatic environments particularly in areas under intensive agriculture. Moreover, this study indicates an urgent need to monitor the use of VAs in animal production, and to control the release of animal-originated antibiotics into the environment.

  19. Prevalence of veterinary antibiotics and antibiotic-resistant Escherichia coli in the surface water of a livestock production region in northern China.

    Science.gov (United States)

    Zhang, Xuelian; Li, Yanxia; Liu, Bei; Wang, Jing; Feng, Chenghong; Gao, Min; Wang, Lina

    2014-01-01

    This study investigated the occurrence of 12 veterinary antibiotics (VAs) and the susceptibility of Escherichia coli (E. coli) in a rural water system that was affected by livestock production in northern China. Each of the surveyed sites was determined with at least eight antibiotics with maximum concentration of up to 450 ng L(-1). The use of VAs in livestock farming probably was a primary source of antibiotics in the rivers. Increasing total antibiotics were measured from up- to mid- and downstream in the two tributaries. Eighty-eight percent of the 218 E. coli isolates that were derived from the study area exhibited, in total, 48 resistance profiles against the eight examined drugs. Significant correlations were found among the resistance rates of sulfamethoxazole-trimethoprim, chloromycetin and ampicillin as well as between tetracycline and chlortetracycline, suggesting a possible cross-selection for resistance among these drugs. The E. coli resistance frequency also increased from up- to midstream in the three rivers. E. coli isolates from different water systems showed varying drug numbers of resistance. No clear relationship was observed in the antibiotic resistance frequency with corresponding antibiotic concentration, indicating that the antibiotic resistance for E. coli in the aquatic environment might be affected by factors besides antibiotics. High numbers of resistant E. coli were also isolated from the conserved reservoir. These results suggest that rural surface water may become a large pool of VAs and resistant bacteria. This study contributes to current information on VAs and resistant bacteria contamination in aquatic environments particularly in areas under intensive agriculture. Moreover, this study indicates an urgent need to monitor the use of VAs in animal production, and to control the release of animal-originated antibiotics into the environment.

  20. Prevalence of Veterinary Antibiotics and Antibiotic-Resistant Escherichia coli in the Surface Water of a Livestock Production Region in Northern China

    Science.gov (United States)

    Zhang, Xuelian; Li, Yanxia; Liu, Bei; Wang, Jing; Feng, Chenghong; Gao, Min; Wang, Lina

    2014-01-01

    This study investigated the occurrence of 12 veterinary antibiotics (VAs) and the susceptibility of Escherichia coli (E. coli) in a rural water system that was affected by livestock production in northern China. Each of the surveyed sites was determined with at least eight antibiotics with maximum concentration of up to 450 ng L−1. The use of VAs in livestock farming probably was a primary source of antibiotics in the rivers. Increasing total antibiotics were measured from up- to mid- and downstream in the two tributaries. Eighty-eight percent of the 218 E. coli isolates that were derived from the study area exhibited, in total, 48 resistance profiles against the eight examined drugs. Significant correlations were found among the resistance rates of sulfamethoxazole-trimethoprim, chloromycetin and ampicillin as well as between tetracycline and chlortetracycline, suggesting a possible cross-selection for resistance among these drugs. The E. coli resistance frequency also increased from up- to midstream in the three rivers. E. coli isolates from different water systems showed varying drug numbers of resistance. No clear relationship was observed in the antibiotic resistance frequency with corresponding antibiotic concentration, indicating that the antibiotic resistance for E. coli in the aquatic environment might be affected by factors besides antibiotics. High numbers of resistant E. coli were also isolated from the conserved reservoir. These results suggest that rural surface water may become a large pool of VAs and resistant bacteria. This study contributes to current information on VAs and resistant bacteria contamination in aquatic environments particularly in areas under intensive agriculture. Moreover, this study indicates an urgent need to monitor the use of VAs in animal production, and to control the release of animal-originated antibiotics into the environment. PMID:25372873

  1. Changing trends in antimicrobial resistance of Salmonella enterica serovar typhi and salmonella enterica serovar paratyphi A in Chennai

    Directory of Open Access Journals (Sweden)

    Krishnan Padma

    2009-10-01

    Full Text Available Background and Objectives: Chloramphenicol was considered the anti-microbial gold standard for typhoid treatment but, following the increasing worldwide frequency of antibiotic resistance, ciprofloxacin has been the mainstay of therapy since 1980. Recent studies have shown a shifting of susceptibility to conventional drugs like chloramphenicol, ampicillin and cotrimoxazole. The primary objective of the study was to evaluate the in vitro activity of chloramphenicol and other first-line drugs in comparison with cephalosporins and quinolones. Materials and Methods: Fifty isolates of Salmonella obtained from blood culture were subjected to serotyping at the Central Research Institute, Kasauli. Phage typing and biotyping was performed at the National Phage Typing Centre, New Delhi. Antibiotic sensitivity testing was carried out for 10 drugs by the Kirby-Bauer disc diffusion method and minimum inhibitory concentration by broth microdilution for nalidixic acid, chloramphenicol, ciprofloxacin, ceftriaxone, cefixime and ofloxacin. Multi-drug-resistant (MDR strains were checked for plasmid. Results: In the present study, 70 and 30% of the isolates were Salmonella enterica serovar typhi and paratyphi A, respectively. They were highly sensitive to chloramphenicol (86%, ampicillin (84% and cotrimoxazole (88%. Highest sensitivity was seen for cephalosporins, followed by quinolones. Seventeen/21 (81% and 100% of the Salmonella enterica serovar typhi strains belonged to E1 phage type and biotype 1, respectively. Antibiogram showed 2% of the strains to be sensitive to all the drugs tested and 12% were MDR and showed the presence of plasmids. Conclusion: The study indicates reemergence of chloramphenicol-susceptible Salmonella enterica serovar typhi and paratyphi A isolates, a significant decline in MDR strains and high resistance to nalidixic acid. E1 phage type and biotype 1 are found to be most prevalent in Chennai, India.

  2. Prevalence of antimicrobial resistance and the cfiA resistance gene in Danish Bacteroides fragilis group isolates since 1973

    DEFF Research Database (Denmark)

    Ferløv-Schwensen, Simon Andreas; Sydenham, Thomas Vognbjerg; Hansen, Kia Cirkeline Møller

    2017-01-01

    Desorption/Ionization Time-Of-Flight Mass Spectrometry (MALDI-TOF MS) on the Biotyper platform. Antimicrobial resistance was determined using a disk diffusion screening method and commercial antibiotic gradient strips. Division I (cfiA-negative) and division II (cfiA-positive) B. fragilis strains were...... differentiated using MALDI-TOF MS and real-time polymerase chain reaction (PCR). RESULTS: From 1973-1980 to 2010-2015 the prevalence of antimicrobial resistance rose from 0% to 21.2%, 2.5%, and 1% for clindamycin, meropenem, and metronidazole, respectively. MALDI-TOF MS and real-time PCR identified 16 of 266 (6...... established in the recent decades in Europe. Resistance to meropenem, facilitated by expression of the cfiA resistance gene, seems to be increasing; therefore, it is imperative to monitor the occurrence of this gene, e.g. using MALDI-TOF MS....

  3. Prevalence of drug resistance mutations and non-B subtypes in newly diagnosed HIV-1 patients in Denmark

    DEFF Research Database (Denmark)

    Jørgensen, Louise B; Christensen, Marianne B; Gerstoft, Jan

    2003-01-01

    The aim of this study was to monitor the prevalence of drug resistance mutations in newly diagnosed HIV-1 positive individuals in Denmark. In addition we assessed the prevalence of non-B subtypes based on phylogenetic analysis of the pol gene. Plasma samples from 104 newly diagnosed HIV-1 positive...... patients were obtained in the year 2000. The entire protease gene and 320 amino acids of the reverse transcriptase gene were genotyped. Sequences were obtained from 97 patients. No subjects displayed primary resistance mutations in the protease gene, whereas all carried 1 or more secondary mutations....... Resistance mutations in the RT-gene associated with NRTI-resistance were found in 1 patient, who was infected with zidovudine resistant HIV-1 harbouring the M41L mutation in combination with T215S and L210S. The T215S mutation has been showed to be associated with reversion of zidovudine resistance. The T215...

  4. Virulence Genes Profile of Multidrug Resistant Pseudomonas aeruginosa Isolated from Iranian Children with UTIs

    Directory of Open Access Journals (Sweden)

    Zohreh Heidary

    2016-04-01

    Full Text Available Virulent and resistant strains Pseudomonas aeruginosa (P. aeruginosa is one of the most important cause of UTIs in pediatrics. The present study was carried to investigate the frequency of virulence factors in the multi-drug resistant strains of P. aeruginosa isolated from pediatrics hospitalized due to the UTIs. One - hundred and forty three urine samples were collected from pediatric patients suffered from UTIs. Samples were cultured and those that were P. aeruginosa positive were analyzed for the presence of putative virulence genes. Seventy one out of 143 samples (49.65% were positive for P. aeruginosa. Monthly, sex and age-dependent prevalence were seen for P. aeruginosa. Bacterial strains had the highest levels of resistance against ampicillin (95.77%, gentamicin (92.95% and ciprofloxacin (81.69%. Of 71 P. aeruginosa isolates, 12 strains were resistant to more than 9 antibiotics (16.90%. The most commonly detected virulence factors in the cases of urethral infections were exoU and plcH while those of pyelonephritis and cystitis were were exoS and lasB. Our findings should raise awareness about antibiotic resistance in hospitalized pediatrics with UTIs in Iran. Clinicians should exercise caution in prescribing antibiotics, especially in cases of UTIs. Such information can help in identifying these virulence genes as useful diagnostic markers for clinical P. aeruginosa strains isolated from UTIs.

  5. An outbreak of multidrug-resistant, quinolone-resistant Salmonella enterica serotype typhimurium DT104

    DEFF Research Database (Denmark)

    Molbak, K.; Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1999-01-01

    Background Food-borne salmonella infections have become a major problem in industrialized countries. The strain of Salmonella enterica serotype typhimurium known as definitive phage type 104 (DT104) is usually resistant to five drugs: ampicillin, chloramphenicol, streptomycin, sulfonamides......, and tetracycline. An increasing proportion of DT104 isolates also have reduced susceptibility to fluoroquinolones. Methods The Danish salmonella surveillance program determines the phage types of all typhimurium strains from the food chain, and in the case of suspected outbreaks, five-drug-resistant strains...... are characterized by molecular methods. All patients infected with five-drug-resistant typhimurium are interviewed to obtain clinical and epidemiologic data. In 1998, an outbreak of salmonella occurred, in which the strain of typhimurium DT104 was new to Denmark. We investigated this outbreak and report our...

  6. Decrease in the prevalence of extended-spectrum cephalosporin-resistant Salmonella following cessation of ceftiofur use by the Japanese poultry industry.

    Science.gov (United States)

    Shigemura, Hiroaki; Matsui, Mari; Sekizuka, Tsuyoshi; Onozuka, Daisuke; Noda, Tamie; Yamashita, Akifumi; Kuroda, Makoto; Suzuki, Satowa; Kimura, Hirokazu; Fujimoto, Shuji; Oishi, Kazunori; Sera, Nobuyuki; Inoshima, Yasuo; Murakami, Koichi

    2018-06-02

    Extended-spectrum cephalosporin (ESC)-resistant Salmonella in chicken meat is a significant food safety concern. We previously reported that the prevalence of ESC-resistant Salmonella in chicken meat, giblets, and processed chicken (chicken meat products) increased in Japan between 2005 and 2010, with 27.9% (17/61) of Salmonella isolated from chicken meat products in 2010 showing resistance to ESC. The aims of the present study were to clarify trends in the prevalence of ESC-resistant Salmonella in chicken meat products in Japan between 2011 and 2015, and to determine the genetic profiles of bla-harboring plasmids, including replicon types, using next-generation sequencing. Our results showed that the prevalence of ESC-resistant Salmonella, mainly consisting of AmpC β-lactamase CMY-2-producing isolates, in chicken meat products had increased to 45.5% (10/22) by 2011. However, following the voluntary cessation of ceftiofur use by the Japanese poultry industry in 2012, the prevalence of ESC-resistant Salmonella steadily decreased each year, to 29.2% (7/24), 18.2% (4/22), 10.5% (2/19), and 10.5% (2/19) in 2012, 2013, 2014, and 2015, respectively. Furthermore, no AmpC β-lactamase CMY-2-producing isolates were identified in 2014 and 2015. However, the prevalence of Salmonella enterica subspecies enterica serovar Manhattan isolates harboring a bla TEM-52 -carrying IncX1 plasmid remained steady even after the cessation of ceftiofur use. Therefore, continuous monitoring of ESC resistance amongst Salmonella isolates from chicken meat products is required for food safety. Copyright © 2018. Published by Elsevier B.V.

  7. Short communication: high prevalence of drug-resistant human immunodeficiency virus type 1 in treatment-naive patients in Greenland

    DEFF Research Database (Denmark)

    Madsen, T.V.; Lohse, N.; Jensen, E.S.

    2008-01-01

    was transmitted. Resistance mutations detected in untreated patients were backed up by the treatment history of index patients in the possible transmission chains and indicated that these drug-resistant variants were in fact transmitted and had not emerged due to unregistered drug intake Udgivelsesdato: 2008/8......A molecular epidemiologic study of HIV-1 in Greenland showed distinct transmission clusters correlated with demographic and behavioral data. Resistance mutations were found in a majority of the pol sequences. The objective of the present study was to estimate prevalence of drug resistance...... in Greenland and identify transmission chains by comparing resistance data with phylogeny and treatment history. Of 60 untreated patients, 15 (25%) had primary resistance mutations. The most prevalent mutations were T69D/N (15%), K70R (15%), and M184V (10%). Four possible transmission chains were identified...

  8. Prevalence of Multidrug-Resistant Tuberculosis and Associated Factors in Ethiopia: A Systematic Review

    OpenAIRE

    Asgedom, Solomon Weldegebreal; Teweldemedhin, Mebrahtu; Gebreyesus, Hailay

    2018-01-01

    Background. Multidrug-resistant tuberculosis (MDR-TB) has continued to be a challenge for tuberculosis (TB) control globally. Ethiopia is one of the countries with high MDR-TB burden. Objective. The main purpose of this study was to determine the prevalence of MDR-TB and associated factors in Ethiopia. Methods. A systematic review of the literatures on prevalence of MDR-TB and associated factors was conducted in the country. Results. In our electronic search, 546 citations were depicted. Amon...

  9. Antibacterial agents and heavy metal resistance in Gram-negative bacteria isolated from seawater, shrimp and sediment in Iskenderun Bay, Turkey

    Energy Technology Data Exchange (ETDEWEB)

    Matyar, Fatih [Cukurova University, Faculty of Education, Department of Science and Technology Education, 01330 Balcali, Adana (Turkey)], E-mail: fmatyar@cu.edu.tr; Kaya, Aysenur; Dincer, Sadik [Cukurova University, Faculty of Science and Letters, Department of Biology, 01330 Balcali, Adana (Turkey)

    2008-12-15

    The aim of the present study was to determine the level of antibiotic resistance patterns and distribution of heavy metal resistance of bacterial isolates from seawater, sediment and shrimps, and to determine if there is a relationship between antibiotic and heavy metal resistance. We undertook studies in 2007 in the industrially polluted Iskenderun Bay, on the south coast of Turkey. The resistance of 236 Gram-negative bacterial isolates (49 from seawater, 90 from sediment and 97 from shrimp) to 16 different antibiotics, and to 5 heavy metals, was investigated by agar diffusion and agar dilution methods, respectively. A total of 31 species of bacteria were isolated: the most common strains isolated from all samples were Escherichia coli (11.4%), Aeromonas hydrophila (9.7%) and Stenotrophomonas maltophilia (9.3%). There was a high incidence of resistance to ampicillin (93.2%), streptomycin (90.2%) and cefazolin (81.3%), and a low incidence of resistance to imipenem (16.5%), meropenem (13.9%) and cefepime (8.0%). Some 56.8% of all bacteria isolated from seawater, sediment and shrimp were resistant to 7 or more antibiotics. Most isolates showed tolerance to different concentrations of heavy metals, and minimal inhibition concentrations ranged from 12.5 {mu}g/ml to > 3200 {mu}g/ml. The bacteria from seawater, sediment and shrimp showed high resistance to cadmium of 69.4%, 88.9%, and 81.1% respectively, and low resistance to manganese of 2%, 6.7% and 11.3% respectively. The seawater and sediment isolates which were metal resistant also showed a high resistance to three antibiotics: streptomycin, ampicillin and trimethoprim-sulphamethoxazole. In contrast, the shrimp isolates which were metal resistant were resistant to four antibiotics: cefazolin, nitrofurantoin, cefuroxime and ampicillin. Our results show that Iskenderun Bay has a significant proportion of antibiotic and heavy metal resistant Gram-negative bacteria, and these bacteria constitute a potential risk for

  10. Antibacterial agents and heavy metal resistance in Gram-negative bacteria isolated from seawater, shrimp and sediment in Iskenderun Bay, Turkey

    International Nuclear Information System (INIS)

    Matyar, Fatih; Kaya, Aysenur; Dincer, Sadik

    2008-01-01

    The aim of the present study was to determine the level of antibiotic resistance patterns and distribution of heavy metal resistance of bacterial isolates from seawater, sediment and shrimps, and to determine if there is a relationship between antibiotic and heavy metal resistance. We undertook studies in 2007 in the industrially polluted Iskenderun Bay, on the south coast of Turkey. The resistance of 236 Gram-negative bacterial isolates (49 from seawater, 90 from sediment and 97 from shrimp) to 16 different antibiotics, and to 5 heavy metals, was investigated by agar diffusion and agar dilution methods, respectively. A total of 31 species of bacteria were isolated: the most common strains isolated from all samples were Escherichia coli (11.4%), Aeromonas hydrophila (9.7%) and Stenotrophomonas maltophilia (9.3%). There was a high incidence of resistance to ampicillin (93.2%), streptomycin (90.2%) and cefazolin (81.3%), and a low incidence of resistance to imipenem (16.5%), meropenem (13.9%) and cefepime (8.0%). Some 56.8% of all bacteria isolated from seawater, sediment and shrimp were resistant to 7 or more antibiotics. Most isolates showed tolerance to different concentrations of heavy metals, and minimal inhibition concentrations ranged from 12.5 μg/ml to > 3200 μg/ml. The bacteria from seawater, sediment and shrimp showed high resistance to cadmium of 69.4%, 88.9%, and 81.1% respectively, and low resistance to manganese of 2%, 6.7% and 11.3% respectively. The seawater and sediment isolates which were metal resistant also showed a high resistance to three antibiotics: streptomycin, ampicillin and trimethoprim-sulphamethoxazole. In contrast, the shrimp isolates which were metal resistant were resistant to four antibiotics: cefazolin, nitrofurantoin, cefuroxime and ampicillin. Our results show that Iskenderun Bay has a significant proportion of antibiotic and heavy metal resistant Gram-negative bacteria, and these bacteria constitute a potential risk for public

  11. Prevalence and correlates of resistance training skill competence in adolescents.

    Science.gov (United States)

    Smith, Jordan J; DeMarco, Matthew; Kennedy, Sarah G; Kelson, Mark; Barnett, Lisa M; Faigenbaum, Avery D; Lubans, David R

    2018-06-01

    The aim of this study is to examine the prevalence and correlates of adolescents' resistance training (RT) skill competence. Participants were 548 adolescents (14.1 ± 0.5 years) from 16 schools in New South Wales, Australia. RT skills were assessed using the Resistance Training Skills Battery. Demographics, BMI, muscular fitness, perceived strength, RT self-efficacy, and motivation for RT were also assessed. The proportion demonstrating "competence" and "near competence" in each of the six RT skills were calculated and sex differences explored. Associations between the combined RT skill score and potential correlates were examined using multi-level linear mixed models. Overall, the prevalence of competence was low (range = 3.3% to 27.9%). Females outperformed males on the squat, lunge and overhead press, whereas males performed better on the push-up (p fitness was moderately and positively associated with RT skills among both males (β = 0.34, 95%CIs = 0.23 to 0.46) and females (β = 0.36, 95%CIs = 0.23 to 0.48). Our findings support a link between RT skills and muscular fitness. Other associations were statistically significant but small in magnitude, and should therefore be interpreted cautiously.

  12. [Analysis of antibiotic susceptibility of foodborne Listeria monocytogenes in China].

    Science.gov (United States)

    Yang, Yang; Fu, Ping; Guo, Yunchang; Liu, Xiurmei

    2008-03-01

    To study the antibiotic susceptibility of foodborne Listeria monocytogenes in China. The susceptibilities of 476 strains of foodborne Listeria monocytogenes to antibiotics were determined in Broth Microdilution Susceptibility Testing in Clinical and Laboratory Standards Institute. The antibiotics of gentamicin, ampicillin, penicillin, tetracycline, doxycycline, imipenem, erythromycin, ciprofloxacin, levofloxacin, cephalothin, rifampin, vancomycin, chloramphenicol, Trimethoprim-sulfamethoxazole, ampicillin-sulbactam were used. The rates of antibiotic resistance in 467 is olates were 4.5%. Tetracycline resistance was most prevalent, accouting for 4.07% . The foods that the rates of antibiotic resistance were highest were vegetable (10%). Among 14 provinces, Jilin, Hubei and Hebei were the third top, the rate of which were 19.6% and 9.1% and 8%, respectively. It was suggested that antibiotic resistance exists in foodborne Listeria monocytogenes to a certain extent in China. It should pay more attention to the use of drugs in prevention and clinic treatment to reduce the antibiotic resistant strains.

  13. Antibiotic Resistance of Salmonella spp. Isolated from Shrimp Farming Freshwater Environment in Northeast Region of Brazil

    Directory of Open Access Journals (Sweden)

    Fátima C. T. Carvalho

    2013-01-01

    Full Text Available This study investigated the presence and antibiotic resistance of Salmonella spp. in a shrimp farming environment in Northeast Region of Brazil. Samples of water and sediments from two farms rearing freshwater-acclimated Litopenaeus vannamei were examined for the presence of Salmonella. Afterwards, Salmonella isolates were serotyped, the antimicrobial resistance was determined by a disk diffusion method, and the plasmid curing was performed for resistant isolates. A total of 30 (16.12% of the 186 isolates were confirmed to be Salmonella spp., belonging to five serovars: S. serovar Saintpaul, S. serovar Infantis, S. serovar Panama, S. serovar Madelia, and S. serovar Braenderup, along with 2 subspecies: S. enterica serovar houtenae and S. enterica serovar enterica. About twenty-three percent of the isolates were resistant to at least one antibiotic, and twenty percent were resistant to at least two antibiotics. Three strains isolated from water samples (pond and inlet canal exhibited multiresistance to ampicillin, tetracycline, oxytetracycline, and nitrofurantoin. One of them had a plasmid with genes conferring resistance to nitrofurantoin and ampicillin. The incidence of bacteria pathogenic to humans in a shrimp farming environment, as well as their drug-resistance pattern revealed in this study, emphasizes the need for a more rigorous attention to this area.

  14. Continuous intravenous infusion of ampicillin and gentamicin during parenteral nutrition in 88 newborn infants

    DEFF Research Database (Denmark)

    Colding, H; Møller, S; Andersen, G E

    1982-01-01

    Ampicillin and gentamicin were dissolved once a day in an L-amino acid solution especially prepared for parenteral nutrition of newborn infants and infused continuously to 88 infants in whom septicaemia was suspected or had been proved. The mean dosages were 162 and 5.3 mg/kg per 24 hours...

  15. Combination Therapy Strategies Against Multiple-Resistant Streptococcus Suis

    Directory of Open Access Journals (Sweden)

    Yang Yu

    2018-05-01

    Full Text Available Streptococcus suis is a major swine pathogen, an emerging zoonotic agent responsible for meningitis, endocarditis and septicaemia followed by deafness in humans. The development of antimicrobial resistance in S. suis increases the risk for therapeutic failure in both animals and humans. In this study, we report the synergism of combination therapy against multi-resistant S. suis isolates from swine. Twelve antibiotic profiles were determined against 11 S. suis strains. To investigate their synergistic/antagonistic activity, checkerboard assay was performed for all the possible combinations. In-vitro killing curves and in-vivo treatment trials were used to confirm the synergistic activity of special combinations against S. suis dominant clones. In this study, 11 S. suis isolates were highly resistant to erythromycin, clindamycin, trimethoprim/sulfamethoxazole, and tetracycline with ratios of 80–100%, and the resistance percentages to enrofloxacin, florfenicol, and spectinomycin were ~50%. The checkerboard data identified two combination regimens, ampicillin plus apramycin and tiamulin plus spectinomycin which gave the greatest level of synergism against the S. suis strains. In-vitro kill-curves showed a bacterial reduction of over 3-logCFU with the use of combination treatments, whilst the application of mono-therapies achieve less than a 2-logCFU cell killing. In-vivo models confirm that administration of these two combinations significantly reduced the number of bacterial cells after 24 h of treatment. In conclusions, the combinations of ampicillin plus apramycin and tiamulin plus spectinomycin showed the greatest synergism and may be potential strategies for treatment of multi-resistant S. suis in animal.

  16. Antimicrobial resistance prevalence of pathogenic and commensal Escherichia coli in food-producing animals in Belgium

    OpenAIRE

    Chantziaras, Ilias; Dewulf, Jeroen; Boyen, Filip; Callens, Benedicte; Butaye, Patrick

    2014-01-01

    In this article, detailed studies on antimicrobial resistance to commensal E. coli (in pigs, meat-producing bovines, broiler chickens and veal calves) and pathogenic E. coli (in pigs and bovines) in Belgium are presented for 2011. Broiler chicken and veal calf isolates of commensal E. coli demonstrated higher antimicrobial resistance prevalence than isolates from pigs and bovines. Fifty percent of E. coli isolates from broiler chickens were resistant to at least five antimicrobials, whereas s...

  17. Phenotypic and molecular characterization of antimicrobial resistance in Proteus mirabilis isolates from dogs.

    Science.gov (United States)

    Harada, Kazuki; Niina, Ayaka; Shimizu, Takae; Mukai, Yujiro; Kuwajima, Ken; Miyamoto, Tadashi; Kataoka, Yasushi

    2014-11-01

    Large-scale monitoring of resistance to 14 antimicrobial agents was performed using 103 Proteus mirabilis strains isolated from dogs in Japan. Resistant strains were analysed to identify their resistance mechanisms. Rates of resistance to chloramphenicol, streptomycin, enrofloxacin, trimethoprim/sulfamethoxazole, kanamycin, ampicillin, ciprofloxacin, cephalothin, gentamicin, cefoxitin and cefotaxime were 20.4, 15.5, 12.6, 10.7, 9.7, 8.7, 5.8, 2.9, 2.9, 1.9 and 1.9%, respectively. No resistance to ceftazidime, aztreonam or imipenem was found. Class 1 and 2 integrases were detected in 2.9 and 11.7% of isolates, respectively. Class 1 integrons contained aadB or aadB-catB-like-blaOXA10-aadA1, whereas those of class 2 contained sat-aadA1, dhfr1-sat-aadA1 or none of the anticipated resistance genes. Of five distinct plasmid-mediated quinolone-resistance (PMQR) genes, only qnrD gene was detected in 1.9% of isolates. Quinolone-resistance determining regions (QRDRs) of gyrA and parC from 13 enrofloxacin-intermediate and -resistant isolates were sequenced. Seven strains had double mutations and three had single mutations. Three of nine ampicillin-resistant isolates harboured AmpC-type β-lactamases (i.e. blaCMY-2, blaCMY-4 and blaDHA-1). These results suggest that canine Proteus mirabilis deserves continued surveillance as an important reservoir of antimicrobial resistance determinants. This is the first report, to our knowledge, describing integrons, PMQRs and QRDR mutations in Proteus mirabilis isolates from companion animals. © 2014 The Authors.

  18. Antimicrobial resistance and resistance genes in Salmonella strains isolated from broiler chickens along the slaughtering process in China.

    Science.gov (United States)

    Zhu, Yuanting; Lai, Haimei; Zou, Likou; Yin, Sheng; Wang, Chengtao; Han, Xinfeng; Xia, Xiaolong; Hu, Kaidi; He, Li; Zhou, Kang; Chen, Shujuan; Ao, Xiaolin; Liu, Shuliang

    2017-10-16

    A total of 189 Salmonella isolates were recovered from 627 samples which were collected from cecal contents of broilers, chicken carcasses, chicken meat after cutting step and frozen broiler chicken products along the slaughtering process at a slaughterhouse in Sichuan province of China. The Salmonella isolates were subjected to antimicrobial susceptibility testing to 10 categories of antimicrobial agents using the Kirby-Bauer disk diffusion method. Those antibiotics-resistant isolates were further investigated for the occurrence of resistance genes, the presence of class 1 integron as well as the associated gene cassettes, and the mutations within the gyrA and parC genes. Consequently, the prevalence of Salmonella was 30.14% (47.96% for cecal content, 18.78% for chicken carcasses, 31.33% for cutting meat and 14.00% for frozen meat, respectively). The predominant serotypes were S. Typhimurium (15.34%) and S. Enteritidis (69.84%). High resistance rates to the following drugs were observed: nalidixic acid (99.5%), ampicillin (87.8%), tetracycline (51.9%), ciprofloxacin (48.7%), trimethoprim/sulfamethoxazole (48.1%), and spectinomycin (34.4%). Antimicrobial resistance profiling showed that 60.8% of isolates were multidrug resistant (MDR), and MDR strains increased from 44.7% to 78.6% along the slaughtering line. 94.6% (n=157) of beta-lactam-resistant isolates harbored at least one resistance gene of bla TEM or bla CTX-M . The relatively low prevalence of aminoglycoside resistance genes (aac(3)-II, aac(3)-IV, and ant(2″)-I) was found in 49 (66.2%) of antibiotic-resistant isolates. The tetracycline resistance genes (tet(A), tet(B), tet(C), and tet(G) and sulfonamide resistance genes (sul1, sul2, and sul3) were identified in 84 (85.7%) and 89 (97.8%) antibiotic-resistant isolates respectively. floR was identified in 44 (97.8%) florfenicol-resistant isolates. Class 1 integron was detected in 37.4% (n=43) of the MDR isolates. Two different gene cassettes, bla OXA-30 -aad

  19. Administration of gentamicin and ampicillin by continuous intravenous infusion to newborn infants during parenteral nutrition

    DEFF Research Database (Denmark)

    Colding, H; Andersen, G E

    1982-01-01

    Gentamicin and ampicillin were dissolved in an L-amino acid solution especially prepared for newborn infants and infused intravenously over 24 h in 7 babies with serious neonatal surgical problems. Serum concentrations of the antibiotics were maintained rather constant and well above the minimal ...

  20. Prevalence and antibiotic susceptibility profiles of Listeria monocytogenes contamination of chicken flocks and meat in Oyo State, south-western Nigeria: Public health implications.

    Science.gov (United States)

    Ishola, O O; Mosugu, J I; Adesokan, H K

    2016-09-01

    Food contamination with Listeria monocytogenes is on the increase posing threats to public health with growing trends in food products recalls due to suspected Listeria contamination. We conducted a cross-sectional study to determine the prevalence and antibiotic susceptibility profiles of Listeria monocytogenes (Lm) among 71 randomly selected poultry farms in Oyo State, Nigeria. A total of 450 samples comprising cloacal swabs (426) and randomly selected dressed chicken meat (24) were cultured for Lm isolation using BrillianceTM Selective Listeria Agar with antibiotics and microbial load count with Nutrient Agar. Further identification was done using microscopic, biochemical characterization and antibiotic sensitivity tests. Data were analysed using bivariate analysis and student t-test. An overall prevalence of 91.8% Lm contamination was obtained comprising 91.5% (390/426) in cloacal swabs and 95.8% (23/24) in meat. The prevalence of Lm in cloacal samples was significantly associated with poultry type (p = 0.008) and breed (p = 0.000. In addition, all the flocks had at least one positive sample yielding 100% flock prevalence. Antibiotic sensitivity test revealed that most of the isolates were resistant to common antibiotics like Ampicillin-cloxacillin and cefuroxime. The results revealed a high level of contamination with Lm in the poultry flock and meat and the observed resistance to most common antibiotics has implications for future disease control as well as public health. There is need to step up routine screening of food animal products for Listeria contamination as well as measures towards reducing such contaminations.

  1. Role of Antimicrobial Selective Pressure and Secondary Factors on Antimicrobial Resistance Prevalence in Escherichia coli from Food-Producing Animals in Japan

    OpenAIRE

    Harada, Kazuki; Asai, Tetsuo

    2010-01-01

    The use of antimicrobial agents in the veterinary field affects the emergence, prevalence, and dissemination of antimicrobial resistance in bacteria isolated from food-producing animals. To control the emergence, prevalence, and dissemination of antimicrobial resistance, it is necessary to implement appropriate actions based on scientific evidence. In Japan, the Japanese Veterinary Antimicrobial Resistance Monitoring System (JVARM) was established in 1999 to monitor the antimicrobial suscepti...

  2. Surveillance of antimicrobial resistance at a tertiary hospital in Tanzania

    Directory of Open Access Journals (Sweden)

    Mashurano Marcellina

    2004-10-01

    Full Text Available Abstract Background Antimicrobial resistance is particularly harmful to infectious disease management in low-income countries since expensive second-line drugs are not readily available. The objective of this study was to implement and evaluate a computerized system for surveillance of antimicrobial resistance at a tertiary hospital in Tanzania. Methods A computerized surveillance system for antimicrobial susceptibility (WHONET was implemented at the national referral hospital in Tanzania in 1998. The antimicrobial susceptibilities of all clinical bacterial isolates received during an 18 months' period were recorded and analyzed. Results The surveillance system was successfully implemented at the hospital. This activity increased the focus on antimicrobial resistance issues and on laboratory quality assurance issues. The study identified specific nosocomial problems in the hospital and led to the initiation of other prospective studies on prevalence and antimicrobial susceptibility of bacterial infections. Furthermore, the study provided useful data on antimicrobial patterns in bacterial isolates from the hospital. Gram-negative bacteria displayed high rates of resistance to common inexpensive antibiotics such as ampicillin, tetracycline and trimethoprim-sulfamethoxazole, leaving fluoroquinolones as the only reliable oral drugs against common Gram-negative bacilli. Gentamicin and third generation cephalosporins remain useful for parenteral therapy. Conclusion The surveillance system is a low-cost tool to generate valuable information on antimicrobial resistance, which can be used to prepare locally applicable recommendations on antimicrobial use. The system pinpoints relevant nosocomial problems and can be used to efficiently plan further research. The surveillance system also functions as a quality assurance tool, bringing attention to methodological issues in identification and susceptibility testing.

  3. Meta-analysis and time series modeling allow a systematic review of primary HIV-1 drug-resistant prevalence in Latin America and Caribbean.

    Science.gov (United States)

    Coelho, Antonio Victor Campos; De Moura, Ronald Rodrigues; Da Silva, Ronaldo Celerino; Kamada, Anselmo Jiro; Guimarães, Rafael Lima; Brandão, Lucas André Cavalcanti; Coelho, Hemílio Fernandes Campos; Crovella, Sergio

    2015-01-01

    Here we review the prevalence of HIV-1 primary drug resistance in Latin America and Caribbean using meta-analysis as well as time-series modeling. We also discuss whether there could be a drawback to HIV/AIDS programs due to drug resistance in Latin America and Caribbean in the next years. We observed that, although some studies report low or moderate primary drug resistance prevalence in Caribbean countries, this evidence needs to be updated. In other countries, such as Brazil and Argentina, the prevalence of drug resistance appears to be rising. Mutations conferring resistance against reverse transcriptase inhibitors were the most frequent in the analyzed populations (70% of all mutational events). HIV-1 subtype B was the most prevalent in Latin America and the Caribbean, although subtype C and B/F recombinants have significant contributions in Argentina and Brazil. Thus, we suggest that primary drug resistance in Latin America and the Caribbean could have been underestimated. Clinical monitoring should be improved to offer better therapy, reducing the risk for HIV-1 resistance emergence and spread, principally in vulnerable populations, such as men who have sex with men transmission group, sex workers and intravenous drug users.

  4. Frequency of resistance in obligate anaerobic bacteria isolated from dogs, cats, and horses to antimicrobial agents.

    Science.gov (United States)

    Lawhon, S D; Taylor, A; Fajt, V R

    2013-11-01

    Clinical specimens from dogs, cats, and horses were examined for the presence of obligate anaerobic bacteria. Of 4,018 specimens cultured, 368 yielded 606 isolates of obligate anaerobic bacteria (248 from dogs, 50 from cats, and 308 from horses). There were 100 specimens from 94 animals from which only anaerobes were isolated (25 dogs, 8 cats, and 61 horses). The most common sites tested were abdominal fluid (dogs and cats) and intestinal contents (horses). The most common microorganism isolated from dogs, cats, and horses was Clostridium perfringens (75, 13, and101 isolates, respectively). The MICs of amoxicillin with clavulanate, ampicillin, chloramphenicol, metronidazole, and penicillin were determined using a gradient endpoint method for anaerobes. Isolates collected at necropsy were not tested for antimicrobial susceptibility unless so requested by the clinician. There were 1/145 isolates tested that were resistant to amoxicillin-clavulanate (resistance breakpoint ≥ 16/8 μg/ml), 7/77 isolates tested were resistant to ampicillin (resistance breakpoint ≥ 2 μg/ml), 4/242 isolates tested were resistant to chloramphenicol (resistance breakpoint ≥ 32 μg/ml), 12/158 isolates tested were resistant to clindamycin (resistance breakpoint ≥ 8 μg/ml), 10/247 isolates tested were resistant to metronidazole (resistance breakpoint ≥ 32 μg/ml), and 54/243 isolates tested were resistant to penicillin (resistance breakpoint ≥ 2 μg/ml). These data suggest that anaerobes are generally susceptible to antimicrobial drugs in vitro.

  5. The Prevalence of ESBL Isolates of Acinetobacter baumannii Using Pulsed-Field Gel Electrophoresis

    Directory of Open Access Journals (Sweden)

    Parviz Mohajeri

    2014-12-01

    Full Text Available Background: Antibiotics such as fluoroquinolones are used for treating infections caused by Gram-negative bacteria, including Acinetobacter baumannii strains some time have extended-spectrum β-lactamase (ESBL, but ESBL production is rather rare. Resistance to fluoroquinolones antibiotics is mediated by lactamases and other mechanisms of resistance. The aim of the present study was to investigate of the prevalence of ESBL production and clonal relatedness of A. baumannii in Iran. Materials and Methods: A. baumannii isolates identified from patients at hospitals in Kermanshah, Iran, were studied. The double disk method was used for detection of ESBL production. The susceptibility to different antibiotics was determined by the disk diffusion method (CLSI. Clonal relatedness was determined by pulsed-field gel electrophoresis (PFGE and processed by Bionumerics 7.0 software. Statistical analyses were performed using SPSS-16.0. Results: This study showed high prevalence of resistance to ampicillin and cefpodoxim (98.1 and 92.3%. Fifty-two of the 84 isolates were identified as ESBL producers. Only colistin and tigecycline remained active against all isolates tested. The PFGE identified eight distinct pulsotypes: A (N=9, B (N=10, C (N=2, D (N=5, E (N=9, F (N=15, G (N=1 and H (N=1. The PFGE profiles A, B and F were believed to be endemic (specially clone F that was dominant across different wards of the hospitals and appeared to be endemic in the ICU, emergency, pediatric and infection area throughout the years. Conclusion: Early and timely detection of ESBL-producing A. baumannii clones is useful for preventing their spread within the hospital. PFGE analysis is helpful for detection of common strains in different wards and prevention of further spread of these pulsotypes to other hospital environment.

  6. Rapid assessment of antimicrobial resistance prevalence using a Lot Quality Assurance sampling approach

    NARCIS (Netherlands)

    van Leth, Frank; den Heijer, Casper; Beerepoot, Marielle; Stobberingh, Ellen; Geerlings, Suzanne; Schultsz, Constance

    2017-01-01

    Increasing antimicrobial resistance (AMR) requires rapid surveillance tools, such as Lot Quality Assurance Sampling (LQAS). LQAS classifies AMR as high or low based on set parameters. We compared classifications with the underlying true AMR prevalence using data on 1335 Escherichia coli isolates

  7. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus isolates from Trinidad & Tobago

    Directory of Open Access Journals (Sweden)

    Monteil Michele

    2006-07-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has become increasingly prevalent worldwide since it was first reported in a British hospital. The prevalence however, varies markedly in hospitals in the same country, and from one country to another. We therefore sought to document comprehensively the prevalence and antimicrobial susceptibility pattern of MRSA isolates in Trinidad and Tobago. Methods All Staphylococcus aureus isolates encountered in routine clinical specimens received at major hospitals in the country between 2000 and 2001 were identified morphologically and biochemically by standard laboratory procedures including latex agglutination test (Staphaurex Plus; Murex Diagnostics Ltd; Dartford, England; tube coagulase test with rabbit plasma (Becton, Dickinson & Co; Sparks, MD, USA, and DNase test using DNase agar (Oxoid Ltd; Basingstoke, Hampshire, England. MRSA screening was performed using Mueller-Hinton agar containing 6 μg oxacillin and 4% NaCl, latex agglutination test (Denka Seiken Co. Ltd, Tokyo, Japan and E-test system (AB Biodisk, Solna, Sweden. Susceptibility to antimicrobial agents was determined by the modified Kirby Bauer disc diffusion method while methicillin MICs were determined with E-test system. Results Of 1,912 S. aureus isolates received, 12.8% were methicillin (oxacillin resistant. Majority of the isolates were recovered from wound swabs (86.9% and the least in urine (0.4% specimens. Highest number of isolates was encountered in the surgical (62.3% and the least from obstetrics and gynaecology (1.6% facilities respectively. Large proportions of methicillin sensitive isolates are >85% sensitive to commonly used and available antimicrobials in the country. All MRSA isolates were resistant to ceftriaxone, erythromycin, gentamicin and penicillin but were 100% sensitive to vancomycin, rifampin and chloramphenicol. Conclusion There is a progressive increase in MRSA prevalence in the country but

  8. Antimicrobial Resistance Patterns in Women with Positive Urine Culture: Does Menopausal Status Make a Significant Difference?

    Directory of Open Access Journals (Sweden)

    Pawel Miotla

    2017-01-01

    Full Text Available Aim. Urinary tract infection (UTI is considered one of the most common bacterial infections in women. The aim of this study was to investigate the types of uropathogens present, as well as the degree of antimicrobial drug resistance seen among premenopausal (n=2748 and postmenopausal (n=1705 women with uncomplicated UTI. Methods. Urinary samples (n=4453 collected from women with UTI were analyzed in terms of uropathogens present. These were considered as positive if bacterial growth was ≥105 colony forming units (CFUs/mL. Susceptibility and resistance testing for commonly used antibiotics was subsequently assessed. Results. The most common uropathogens cultured from urine samples were Escherichia coli (65.5%, followed by Enterococcus faecalis (12.2%, Klebsiella pneumoniae (4.7%, and Proteus mirabilis (4.2%. The resistance to ampicillin exceeded 40%, independently of menopausal status. Of note, resistance to ciprofloxacin exceeded 25% among postmenopausal patients. Moreover, resistance of all uropathogens to commonly used antimicrobials was significantly higher in postmenopausal women. Conclusion. Due to the high resistance rate, ampicillin, ciprofloxacin, and the trimethoprim/sulfamethoxazole combination should be avoided in treating postmenopausal women affected by UTI without being indicated by initial urine culture report. Finally, cephalexin and cefuroxime are promising alternatives as initial treatment in postmenopausal women.

  9. Studies on chelation properties of ampicillin with trace metal ions and comparison with penicillin complexes

    International Nuclear Information System (INIS)

    Rehmani, F.S.; Hameed, W.

    2003-01-01

    The penicillin is highly effective antibiotic with extremely wide margin of safety. Ampicillin e is the penicillin group of antibiotic in which side chain is phenyl group i.e. D-amino benzyl penicillin. The side chain determines many of anti bacterial and pharmacological characteristics. They inhibit the protein synthesis in bacterial cell wall. The chelating properties of the antibiotic may be used in the metal transport across the membrane. The present investigations are helpful in drug metabolism and their effects on minerals contents of the body. The complex formation between Ampicillin and penicillin with trace metal ions such as Fe(III), Cr(III), Al(III), Mn(II), Ni(II), Co(II), Ca(II), Mg(II), Cu(III) and Zn(II) were studied by potentiometric titrations and spectrophotometric methods. Stoichiometry of these complexes were studied by mole ratio method. It was found that the Fe(III) and Cu(II) ions form most stable complexes near physiological pH and the mole ratio was 1:1. (author)

  10. Estimating prevalence of accumulated HIV-1 drug resistance in a cohort of patients on antiretroviral therapy

    DEFF Research Database (Denmark)

    Bannister, Wendy P; Cozzi-Lepri, Alessandro; Kjær, Jesper

    2011-01-01

    Estimating the prevalence of accumulated HIV drug resistance in patients receiving antiretroviral therapy (ART) is difficult due to lack of resistance testing at all occasions of virological failure and in patients with undetectable viral load. A method to estimate this for 6498 EuroSIDA patients...... who were under follow-up on ART at 1 July 2008 was therefore developed by imputing data on patients with no prior resistance test results, based on the probability of detecting resistance in tested patients with similar profiles....

  11. Antimicrobial susceptibility and occurrence of resistance genes among Salmonella enterica serovar Weltevreden from different countries

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Lertworapreecha, M.; Evans, M.C.

    2003-01-01

    and gentamicin. All nine ampicillin-resistant isolates contained a sequence similar to the bla(TEM-1b) gene, one of the eight chloramphenicol-resistant isolates a sequence similar to the catA1 gene, all three neomycin-resistant isolates a sequence similar to the aphA-2 gene, 16 (73%) of the 22 streptomycin...... isolates were examined for susceptibility to antimicrobial agents, and resistant isolates were examined for the presence of selected resistance genes by PCR. Results: Only 48 (9.5%) of the isolates were resistant to one or more of the antimicrobial agents tested. A low frequency of resistance was found...

  12. Risk factors associated with the antimicrobial resistance of Staphylococcus aureus isolated from bovine mastitis

    Directory of Open Access Journals (Sweden)

    Daniele C. Beuron

    2014-10-01

    Full Text Available The objective of this study was to evaluate herd management practices and mastitis treatment procedures as risk factors associated with Staphylococcus aureus antimicrobial resistance. For this study, 13 herds were selected to participate in the study to evaluate the association between their management practices and mastitis treatment procedures and in vitro antimicrobial susceptibility. A total of 1069 composite milk samples were collected aseptically from the selected cows in four different periods over two years. The samples were used for microbiological culturing of S. aureus isolates and evaluation of their antimicrobial susceptibility. A total of 756 samples (70.7% were culture-positive, and S. aureus comprised 27.77% (n=210 of the isolates. The S. aureus isolates were tested using the disk-diffusion susceptibility assay with the following antimicrobials: ampicillin 10mg; clindamycin 2μg; penicillin 1mg; ceftiofur 30μg; gentamicin 10mg; sulfa-trimethoprim 25μg; enrofloxacin 5μg; sulfonamide 300μg; tetracycline 30μg; oxacillin 1mg; cephalothin 30μg and erythromycin 5μg. The variables that were significantly associated with S. aureus resistance were as follows: the treatment of clinical mastitis for ampicillin (OR=2.18, dry cow treatment for enrofloxacin (OR=2.11 and not sending milk samples for microbiological culture and susceptibility tests, for ampicillin (OR=2.57 and penicillin (OR=4.69. In conclusion, the identification of risk factors for S. aureus resistance against various mastitis antimicrobials is an important information that may help in practical recommendations for prudent use of antimicrobial in milk production.

  13. Antimicrobial Use for and Resistance of Zoonotic Bacteria Recovered from Nonhuman Primates.

    Science.gov (United States)

    Kim, Jeffrey; Coble, Dondrae J; Salyards, Gregory W; Bower, Julie K; Rinaldi, William J; Plauche, Gail B; Habing, Gregory G

    2017-02-01

    As a growing threat to human and animal health, antimicrobial resistance (AMR) has become a central public-health topic. Largescale surveillance systems, such as the National Antimicrobial Resistance Monitoring System (NARMS), are now established to monitor and provide guidance regarding AMR, but comprehensive literature on AMR among NHP is sparse. This study provides data regarding current antimicrobial use strategies and the prevalence of AMR in zoonotic bacteria recovered from NHP within biomedical research institutions. We focused on 4 enteric bacteria: Shigella flexneri, Yersinia enterocolitica, Y. pseudotuberculosis, and Campylobacter jejuni. Fifteen veterinarians, 7 biomedical research institutions, and 4 diagnostic laboratories participated, providing susceptibility test results from January 2012 through April 2015. Veterinarians primarily treated cases caused by S. flexneri, Y. enterocolitica, and Y. pseudotuberculosis with enrofloxacin but treated C. jejuni cases with azithromycin and tylosin. All isolates were susceptible to the associated primary antimicrobial but often showed resistance to others. Specifically, S. flexneri isolates frequently were resistant to erythromycin (87.5%), doxycycline (73.7%), and tetracycline (38.3%); Y. enterocolitica isolates to ampicillin (100%) and cefazolin (93.6%); and C. jejuni isolates to methicillin (99.5%) and cephalothin (97.5%). None of the 58 Y. pseudotuber-culosis isolates was resistant to any tested antimicrobial. Notably, resistance patterns were not shared between this study's NHP isolates and human isolates presented by NARMS. Our findings indicate that zoonotic bacteria from NHP diagnostic samples are broadly susceptible to the antimicrobials used to treat the clinical infections. These results can help veterinarians ensure effective antimicrobial therapy and protect staff by minimizing occupational risk.

  14. Short communication: Phenotypic protease inhibitor resistance and cross-resistance in the clinic from 2006 to 2008 and mutational prevalences in HIV from patients with discordant tipranavir and darunavir susceptibility phenotypes.

    Science.gov (United States)

    Bethell, Richard; Scherer, Joseph; Witvrouw, Myriam; Paquet, Agnes; Coakley, Eoin; Hall, David

    2012-09-01

    To test tipranavir (TPV) or darunavir (DRV) as treatment options for patients with phenotypic resistance to protease inhibitors (PIs), including lopinavir, saquinavir, atazanavir, and fosamprenavir, the PhenoSense GT database was analyzed for susceptibility to DRV or TPV among PI-resistant isolates. The Monogram Biosciences HIV database (South San Francisco, CA) containing 7775 clinical isolates (2006-2008) not susceptible to at least one first-generation PI was analyzed. Phenotypic responses [resistant (R), partially susceptible (PS), or susceptible (S)] were defined by upper and lower clinical cut-offs to each PI. Genotypes were screened for amino acid substitutions associated with TPV-R/DRV-S and TPV-S/DRV-R phenotypes. In all, 4.9% (378) of isolates were resistant to all six PIs and 31.0% (2407) were resistant to none. Among isolates resistant to all four first-generation PIs, DRV resistance increased from 21.2% to 41.9% from 2006 to 2008, respectively, and resistance to TPV remained steady (53.9 to 57.3%, respectively). Higher prevalence substitutions in DRV-S/TPV-R isolates versus DRV-R/TPV-S isolates, respectively, were 82L/T (44.4% vs. 0%) and 83D (5.8% vs. 0%). Higher prevalence substitutions in DRV-R/TPV-S virus were 50V (0.0% vs. 28.9%), 54L (1.0% vs. 36.1%), and 76V (0.4% vs. 15.5%). Mutations to help predict discordant susceptibility to DRV and TPV in isolates with reduced susceptibility to other PIs were identified. DRV resistance mutations associated with improved virologic response to TPV were more prevalent in DRV-R/TPV-S isolates. TPV resistance mutations were more prevalent in TPV-R and DRV-S isolates. These results confirm the impact of genotype on phenotype, illustrating how HIV genotype and phenotype data assist regimen optimization.

  15. Multidrug-Resistant Bacteria Isolated from Surface Water in Bassaseachic Falls National Park, Mexico.

    Science.gov (United States)

    Delgado-Gardea, Ma Carmen E; Tamez-Guerra, Patricia; Gomez-Flores, Ricardo; Zavala-Díaz de la Serna, Francisco Javier; Eroza-de la Vega, Gilberto; Nevárez-Moorillón, Guadalupe Virginia; Pérez-Recoder, María Concepción; Sánchez-Ramírez, Blanca; González-Horta, María Del Carmen; Infante-Ramírez, Rocío

    2016-06-16

    Bacterial pathogens are a leading cause of waterborne disease, and may result in gastrointestinal outbreaks worldwide. Inhabitants of the Bassaseachic Falls National Park in Chihuahua, Mexico show seasonal gastroenteritis problems. This aim of this study was to detect enteropathogenic microorganisms responsible for diarrheal outbreaks in this area. In 2013, 49 surface water samples from 13 selected sampling sites along the Basaseachi waterfall and its main rivers, were collected during the spring, summer, autumn, and winter seasons. Fecal and total coliform counts were determined using standard methods; the AutoScan-4 system was used for identification of isolates and the antibiotic resistance profile by challenging each organism using 21 antibiotics. Significant differences among seasons were detected, where autumn samples resulted in the highest total (p water-borne microorganisms exhibited resistance to cefazoline, cefuroxime, ampicillin, and ampicillin-sulbactam. The presence of these microorganisms near rural settlements suggests that wastewater is the contamination source, providing one possible transmission mechanism for diarrheal outbreaks.

  16. Prevalence of genetic determinants and phenotypic resistance to ciprofloxacin in Campylobacter jejuni from lithuania

    DEFF Research Database (Denmark)

    Aksomaitiene, Jurgita; Ramonaite, Sigita; Olsen, John E.

    2018-01-01

    Recently, the number of reports on isolation of ciprofloxacin resistant Campylobacter jejuni has increased worldwide. The aim of this study was to determine the prevalence of resistance to ciprofloxacin and its genetic determinants among C. jejuni isolated from humans (n = 100), poultry products (n...... = 96) and wild birds (n = 96) in Lithuania. 91.4% of the C. jejuni isolates were phenotypically resistant to ciprofloxacin. DNA sequence analyses of the gyrA gene from 292 isolates revealed that a change in amino acid sequence, Thr86Ile, was the main substition conferring resistance to ciprofloxacin...... forty-five C. jejuni isolates showed one or more silent mutations, and 32.4% of examined isolates possessed six silent mutations. In addition to the ciprofloxacin resistant isolates harboring only Thr86Ile point mutation (110 isolates), the current study identified resistant isolates (n = 101) harboring...

  17. High prevalence of clinical and environmental triazole-resistant Aspergillus fumigatus in Iran: is it a challenging issue?

    Science.gov (United States)

    Nabili, Mojtaba; Shokohi, Tahereh; Moazeni, Maryam; Khodavaisy, Sadegh; Aliyali, Masoud; Badiee, Parisa; Zarrinfar, Hossein; Hagen, Ferry; Badali, Hamid

    2016-06-01

    Triazole antifungal agents are the mainstay of aspergillosis treatment. As highlighted in numerous studies, the global increase in the prevalence of triazole resistance could hamper the management of aspergillosis. In the present three-year study, 513 samples (213 clinical and 300 environmental samples) from 10 provinces of Iran were processed and screened in terms of azole resistance (4 and 1 mg l-1 of itraconazole and voriconazole, respectively), using selective plates. Overall, 150 A. fumigatus isolates (71 clinical and 79 environmental isolates) were detected. The isolates were confirmed by partial sequencing of the β-tubulin gene. Afterwards, in vitro antifungal susceptibility tests against triazole agents were performed, based on the Clinical and Laboratory Standards Institute (CLSI) M38-A2 document. The CYP51A gene was sequenced in order to detect mutations. The MIC of itraconazole against 10 (6.6 %) strains, including clinical (n=3, 4.2 %) and environmental (n=7, 8.8 %) strains, was higher than the breakpoint and epidemiological cut-off value. Based on the findings, the prevalence of azole-resistant A. fumigatus in Iran has increased remarkablyfrom 3.3 % to 6.6 % in comparison with earlier epidemiological research. Among resistant isolates, TR34/L98H mutations in the CYP51A gene were the most prevalent (n=8, 80 %), whereas other point mutations (F46Y, G54W, Y121F, G138C, M172V, F219C, M220I, D255E, T289F, G432C and G448S mutations) were not detected. Although the number of patients affected by azole-resistant A. fumigatus isolates was limited, strict supervision of clinical azole-resistant A. fumigatus isolates and persistent environmental screening of azole resistance are vital to the development of approaches for the management of azole resistance in human pathogenic fungi.

  18. Infection dynamics of vancomycin and inducible clindamycin resistant Enterococcus faecalis in an Indian teaching hospital

    Directory of Open Access Journals (Sweden)

    Debasmita Dubey

    2015-06-01

    Full Text Available Objective: To do surveillance for vancomycin and inducible clindamycin resistance of Enterococcus faecalis (E. faecalis, a Gram-positive bacterium in a teaching hospital. Methods: E. faecalis strains isolated from clinical samples were screened for vancomycin and inducible clindamycin resistance, i.e., D-test positivity, using vancomycin screen agar and blood agar plates, respectively. For the D-test screening, erythromycin resistant (Er-r and clindamycin sensitive (Cd-s strain were used. Results: Of 265 isolated E. faecalis strains, 159 (60% were vancomycin resistant Enterococcus (VRE and 106 were vancomycin sensitive Enterococcus (VSE. Of 265 strains, 42 were constitutively resistant to clindamycin and erythromycin and of 148 Er-r and Cd-s strains, 87 (32.83% had D-test positivity, while the rest 61 strains were D-test negatives. D-test results examined with 6 hospital factors as bivalents, only 2 factors, the VSE/VRE and the presence/absence of prior antibiotic use > 90 days bivalent were statistically significant. A VRE strain with D-test positivity would be picked up 0.570 2 times more frequently than a strain with VSE and D-test positivity. Also, patients with prior antibiotic use > 90 days had 3.737 5 times more chance of picking up D-test positive strains than patients without any prior antibiotic use. Resistance pattern of E. faecalis strains to individual 14 antibiotics were recorded; the maximum values of resistance were against ampicillin 10 μg/disc and linezolid 30 μg/disc. Student’s t-test for hospital acquired and community acquired data revealed that drug resistant strains were equally prevalent in both sources. Conclusions: Prevalence of 60% VRE in both hospital and adjoining community creates consternation. In total 87 (32.83% strains had D-test positivity; patients who had used antibiotics within the last 90 days have got an ample chance of picking of D-test positive E. faecalis. D-test protocol should be followed with

  19. [Prevalence and predisposing factors of methicillin-resistant Staphylococcus aureus in long-term care facilities. An international view].

    Science.gov (United States)

    Szabó, Rita

    2016-07-03

    Methicillin-resistant Staphylococcus aureus is one of the most important pathogens of healthcare and long-term care-associated infections over the world, resulting high morbidity, mortality and extra costs in these settings. The authors analyze the prevalence and predisposing factors of methicillin-resistant Staphylococcus aureus in long-term care facilities. Systematic review using PubMed, ScienceDirect and Cochrane Library CENTRAL databases between January 1, 2006 and December 31, 2015 was performed. In the past ten years methicillin-resistant Staphylococcus aureus prevalence in European long-term care facilities (12.6%) was lower than in North America (33.9%). The most frequent predisposing factor was previous antimicrobial therapy, hospital admission and infection/colonisation, chronic wounds, and high care need. Based on the results, the prevention and control of methicillin-resistant Staphylococcus aureus is an important public health priority in the European and Hungarian long-term care facilities.

  20. Evaluation of prevalence of low and high level mupirocin resistance in methicillin resistant staphylococcus aureus isolates at a tertiary care hospital

    International Nuclear Information System (INIS)

    Nizamuddin, S.; Irfan, S.; Zafar, A.

    2011-01-01

    To evaluate the trend of mupirocin resistance in MRSA, isolated at the Clinical Microbiology Laboratory of a tertiary care hospital. Methods: A total of 200 MRSA strains recovered over a 2 year period from various body sites were tested using the 5 and 200 mu g discs of mupirocin to detect its resistance. Results: High level and low level mupirocin resistance were detected in zero and 1 % of MRSA strains, respectively. Resistance to other non beta lactam antibiotics was also high. No MRSA strains were found to be resistant to vancomycin and tegicycline. Conclusion: Mupirocin resistance was found to be very low among local clinical isolates of MRSA. Its judicious use to decolonize nasal carriers should be promoted among hospitalized patients to avoid further transmission and infections due to prevalent endemic MRSA strains in any health care setting. Concomitantly, regular surveillance and effective infection control initiatives are desirable to reduce the incidence of health care associated infections due to MRSA and also of mupirocin resistance. (author)

  1. High prevalence of the PER-1 gene among carbapenem-resistant Acinetobacter baumannii in Riyadh, Saudi Arabia.

    Science.gov (United States)

    Aly, M M; Abu Alsoud, N M; Elrobh, M S; Al Johani, S M; Balkhy, H H

    2016-11-01

    The prevalence of carbapenem-resistant Acinetobacter baumannii in Saudi Arabia and their resistance genetic mechanisms are yet to be identified. We studied the prevalence and genetic diversity of extended-spectrum beta-lactamase genes, particularly the PER-1 gene, among carbapenem-resistant A. baumannii strains from patients at a tertiary care hospital in Riyadh, Saudi Arabia between 2006 and 2014. Fresh subcultured samples were tested for antimicrobial susceptibility minimum inhibitory concentration (MIC). Total genomic DNA was extracted from each isolate and further used for polymerase chain reaction (PCR) genotyping, sequence-based typing (SBT) of PER-1 and OXA-51-like gene, and multilocus sequence typing (MLST) of positive isolates. Randomly selected clinical isolates (n = 100) were subjected to MLST. A total of 503 isolates were characterized as multidrug-resistant (MDR) using the MIC. Isolates were further PCR tested for bla -TEM and bla -PER-1 resistance genes (n = 503). The genotyping results showed that 68/503 (14 %) isolates were positive to bla TEM. The genotyping results of PER-1-like genes showed that 384/503 (76.3 %) were positive among MDR Acinetobacter isolates. Based on SBT, the majority of these isolates were clustered into three main groups including isolates harboring PER-1: AB11 (bla -PER-1 ), isolate AB16 (bla -PER-1 ), and, finally, the plasmid pAB154 (bla -PER-7 ). Remarkably, many isolates were concealing the PER-1 gene and harboring the TEM resistance genes as well. MLST results for selected isolates (n = 100) identified four main sequence types (STs: 2, 19, 20, and 25) and four novel isolates (ST 486-489). We report 76.3 % prevalence of the PER-1 resistance gene among Acinetobacter clinical isolates from Riyadh, Saudi Arabia. Further work is needed to explore the clinical risks and patient outcome with such resistance related to healthcare-associated infections and investigate the genetic and molecular mechanisms that confer the MDR

  2. Carriage of multidrug-resistant organisms in a tertiary university hospital in Albania—a point prevalence survey

    Directory of Open Access Journals (Sweden)

    Falzon A. Parascandalo

    2016-08-01

    Full Text Available Abstract Background Antimicrobial resistance has been recognised as a serious global Public Health problem. Prevalence of Multiple-Drug-Resistant (MDR organism carriage in Albania is largely unknown since no national surveillance system is in place and few publications are accessible in the literature. Methods A 1-day point-prevalence-survey (PPS screening for nasal methicillin-resistant Staphylococcus aureus (MRSA and rectal MDR Gram-negative carriage was carried out at the high-dependency wards in the country’s only tertiary care hospital, in Tirana. Results A total of 106 nasal and 104 rectal swabs were collected. 14.2 % of patients (95 % Confidence Interval [95 CI]: 8.1–22.3 % were MRSA nasal carriers. Resistance to aminoglycosides and fluoroquinolones was common in these isolates (≥80 % but no resistance was identified against glycopeptides, nitrofurantoin and the relatively newer agents, tigecycline and linezolid. Fifty Enterobacteriaceae isolates were cultivated from 33 of 104 screened patients (31.7 % [95 CI: 22.9–41.6 % 95 CI]. The prevalence of Extended Spectrum Beta-Lactamase (ESBL production in Enterobacteriaceae was 41.3 % (95 CI: 31.8–51.4 %. The two more commonly isolated Enterobacteriaceae were E. coli ([n = 28], 24 ESBL positive; 1 AmpC positive and 3 without an identified mechanism of resistance and Klebsiella pneumoniae ([n = 13], all ESBL positive; 1 also AmpC and metallo-β-lactamase (MBL positive. Susceptibility to carbapenems (≥98 %, fosfomycin (90 % and amikacin (70 + 20 % intermediate was high but a high level of resistance to all other agents tested was noted. Non-fermenting Gram-negative bacilli were less commonly isolated {22 isolates: Acinetobacter baumannii (9; Pseudomonas aeruginosa (8 and Stenotrophomonas maltophilia (5}. Conclusion Although a significant rate of MRSA carriage was identified, the main resistance challenge in Albania appears to be linked with Gram

  3. Increased prevalence of antibiotic-resistant E. coli in gulls sampled in southcentral Alaska is associated with urban environments

    Science.gov (United States)

    Atterby, Clara; Ramey, Andrew M.; Gustafsson Hall, Gabriel; Jarhult, Josef; Borjesson, Stefan; Bonnedahl, Jonas

    2016-01-01

    BackgroundAntibiotic-resistant bacteria pose challenges to healthcare delivery systems globally; however, limited information is available regarding the prevalence and spread of such bacteria in the environment. The aim of this study was to compare the prevalence of antibiotic-resistant bacteria in large-bodied gulls (Larus spp.) at urban and remote locations in Southcentral Alaska to gain inference into the association between antibiotic resistance in wildlife and anthropogenically influenced habitats.MethodsEscherichia coli was cultured (n=115 isolates) from fecal samples of gulls (n=160) collected from a remote location, Middleton Island, and a more urban setting on the Kenai Peninsula.ResultsScreening of E. coli from fecal samples collected from glaucous-winged gulls (Larus glaucescens) at Middleton Island revealed 8% of isolates were resistant to one or more antibiotics and 2% of the isolates were resistant to three or more antibiotics. In contrast, 55% of E. coli isolates derived from fecal samples collected from large-bodied gulls (i.e. glaucous, herring [Larus argentatus], and potentially hybrid gulls) on the Kenai Peninsula were resistant to one or more antibiotics and 22% were resistant to three or more antibiotics. In addition, total of 16% of the gull samples from locations on the Kenai Peninsula harbored extended-spectrum cephalosporin-resistant E. coli isolates (extended-spectrum beta-lactamases [ESBL] and plasmid-encoded AmpC [pAmpC]), in contrast to Middleton Island where no ESBL- or pAmpC-producing isolates were detected.ConclusionOur findings indicate that increased prevalence of antibiotic resistance is associated with urban environments in Southcentral Alaska and presumably influenced by anthropogenic impacts. Further investigation is warranted to assess how migratory birds may maintain and spread antimicrobial-resistant bacteria of relevance to human and animal health.

  4. Campylobacter coli in Organic and Conventional Pig Production in France and Sweden: Prevalence and Antimicrobial Resistance

    Directory of Open Access Journals (Sweden)

    Isabelle Kempf

    2017-05-01

    Full Text Available The purpose of the study was to evaluate and compare the prevalence and antimicrobial resistance of Campylobacter coli in conventional and organic pigs from France and Sweden. Fecal or colon samples were collected at farms or at slaughterhouses and cultured for Campylobacter. The minimum inhibitory concentrations of ciprofloxacin, nalidixic acid, streptomycin, tetracycline, erythromycin, and gentamicin were determined by microdilution for a total of 263 French strains from 114 pigs from 50 different farms and 82 Swedish strains from 144 pigs from 54 different farms. Erythromycin resistant isolates were examined for presence of the emerging rRNA methylase erm(B gene. The study showed that within the colon samples obtained in each country there was no significant difference in prevalence of Campylobacter between pigs in organic and conventional productions [France: conventional: 43/58 (74%; organic: 43/56 (77% and Sweden: conventional: 24/36 (67%; organic: 20/36 (56%]. In France, but not in Sweden, significant differences of percentages of resistant isolates were associated with production type (tetracycline, erythromycin and the number of resistances was significantly higher for isolates from conventional pigs. In Sweden, the number of resistances of fecal isolates was significantly higher compared to colon isolates. The erm(B gene was not detected in the 87 erythromycin resistant strains tested.

  5. Aquaculture can promote the presence and spread of antibiotic-resistant Enterococci in marine sediments.

    Directory of Open Access Journals (Sweden)

    Andrea Di Cesare

    Full Text Available Aquaculture is an expanding activity worldwide. However its rapid growth can affect the aquatic environment through release of large amounts of chemicals, including antibiotics. Moreover, the presence of organic matter and bacteria of different origin can favor gene transfer and recombination. Whereas the consequences of such activities on environmental microbiota are well explored, little is known of their effects on allochthonous and potentially pathogenic bacteria, such as enterococci. Sediments from three sampling stations (two inside and one outside collected in a fish farm in the Adriatic Sea were examined for enterococcal abundance and antibiotic resistance traits using the membrane filter technique and an improved quantitative PCR. Strains were tested for susceptibility to tetracycline, erythromycin, ampicillin and gentamicin; samples were directly screened for selected tetracycline [tet(M, tet(L, tet(O] and macrolide [erm(A, erm(B and mef] resistance genes by newly-developed multiplex PCRs. The abundance of benthic enterococci was higher inside than outside the farm. All isolates were susceptible to the four antimicrobials tested, although direct PCR evidenced tet(M and tet(L in sediment samples from all stations. Direct multiplex PCR of sediment samples cultured in rich broth supplemented with antibiotic (tetracycline, erythromycin, ampicillin or gentamicin highlighted changes in resistance gene profiles, with amplification of previously undetected tet(O, erm(B and mef genes and an increase in benthic enterococcal abundance after incubation in the presence of ampicillin and gentamicin. Despite being limited to a single farm, these data indicate that aquaculture may influence the abundance and spread of benthic enterococci and that farm sediments can be reservoirs of dormant antibiotic-resistant bacteria, including enterococci, which can rapidly revive in presence of new inputs of organic matter. This reservoir may constitute an

  6. Antimicrobial resistance in equine faecal Escherichia coli isolates from North West England

    Directory of Open Access Journals (Sweden)

    Williams Nicola J

    2010-04-01

    Full Text Available Abstract Background Escherichia coli isolates of equine faecal origin were investigated for antibiotic resistance, resistance genes and their ability to perform horizontal transfer. Methods In total, 264 faecal samples were collected from 138 horses in hospital and community livery premises in northwest England, yielding 296 resistant E. coli isolates. Isolates were tested for susceptibility to antimicrobial drugs by disc diffusion and agar dilution methods in order to determine minimum inhibitory concentrations (MIC. PCR amplification was used to detect genes conferring resistance to: ampicillin (TEM and SHV beta-lactamase, chloramphenicol (catI, catII, catIII and cml, tetracycline (tetA, tetB, tetC, tetD, tet E and tetG, and trimethoprim (dfrA1, dfrA9, dfrA12, dfrA13, dfr7, and dfr17. Results The proportion of antibiotic resistant isolates, and multidrug resistant isolates (MDR was significantly higher in hospital samples compared to livery samples (MDR: 48% of hospital isolates; 12% of livery isolates, p dfr, TEM beta-lactamase, tet and cat, conferring resistance to trimethoprim, ampicillin, tetracycline and chloramphenicol, respectively. Within each antimicrobial resistance group, these genes occurred at frequencies of 93% (260/279, 91%, 86.8% and 73.5%, respectively; with 115/296 (38.8% found to be MDR isolates. Conjugation experiments were performed on selected isolates and MDR phenotypes were readily transferred. Conclusions Our findings demonstrate that E. coli of equine faecal origin are commonly resistant to antibiotics used in human and veterinary medicine. Furthermore, our results suggest that most antibiotic resistance observed in equine E. coli is encoded by well-known and well-characterized resistant genes common to E. coli from man and domestic animals. These data support the ongoing concern about antimicrobial resistance, MDR, antimicrobial use in veterinary medicine and the zoonotic risk that horses could potentially pose to

  7. Molecular Characterization of Multidrug-Resistant Salmonella enterica subsp. enterica Serovar Typhimurium Isolates from Swine

    OpenAIRE

    Gebreyes, Wondwossen Abebe; Altier, Craig

    2002-01-01

    As part of a longitudinal study of antimicrobial resistance among salmonellae isolated from swine, we studied 484 Salmonella enterica subsp. enterica serovar Typhimurium (including serovar Typhimurium var. Copenhagen) isolates. We found two common pentaresistant phenotypes. The first was resistance to ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (the AmCmStSuTe phenotype; 36.2% of all isolates), mainly of the definitive type 104 (DT104) phage type (180 of 187 ...

  8. Characterization and Antimicrobial Resistance of Salmonella Typhimurium Isolates from Clinically Diseased Pigs in Korea.

    Science.gov (United States)

    Oh, Sang-Ik; Kim, Jong Wan; Chae, Myeongju; Jung, Ji-A; So, Byungjae; Kim, Bumseok; Kim, Ha-Young

    2016-11-01

    This study investigated the prevalence of Salmonella enterica serovar and antimicrobial resistance in Salmonella Typhimurium isolates from clinically diseased pigs collected from 2008 to 2014 in Korea. Isolates were also characterized according to the presence of antimicrobial resistance genes and pulsed-field gel electrophoresis patterns. Among 94 Salmonella isolates, 81 (86.2%) were identified as being of the Salmonella Typhimurium serotype, followed by Salmonella Derby (6 of 94, 6.4%), Salmonella 4,[5],12:i:- (4 of 94, 4.3%), Salmonella Enteritidis (2 of 94, 2.1%), and Salmonella Brandenburg (1 of 94, 1.1%). The majority of Salmonella Typhimurium isolates were resistant to tetracycline (92.6%), followed by streptomycin (88.9%) and ampicillin (80.2%). Overall, 96.3% of Salmonella Typhimurium isolates showed multidrug-resistant phenotypes and commonly harbored the resistance genes bla TEM (64.9%), flo (32.8%), aadA (55.3%), strA (58.5%), strB (58.5%), sulII (53.2%), and tetA (61.7%). The pulsed-field gel electrophoresis analysis of 45 Salmonella Typhimurium isolates from individual farms revealed 27 distinct patterns that formed one major and two minor clusters in the dendrogram analysis, suggesting that most of the isolates (91.1%) from diseased pigs were genetically related. These findings can assist veterinarians in the selection of appropriate antimicrobial agents to combat Salmonella Typhimurium infections in pigs. Furthermore, they highlight the importance of continuous surveillance of antimicrobial resistance and genetic status in Salmonella Typhimurium for the detection of emerging resistance trends.

  9. Antimicrobial drug resistance of Escherichia coli isolated from poultry abattoir workers at risk and broilers on antimicrobials

    Directory of Open Access Journals (Sweden)

    J.W. Oguttu

    2008-05-01

    Full Text Available Antimicrobial usage in food animals increases the prevalence of antimicrobial drug resistance among their enteric bacteria. It has been suggested that this resistance can in turn be transferred to people working with such animals, e.g. abattoir workers. Antimicrobial drug resistance was investigated for Escherichia coli from broilers raised on feed supplemented with antimicrobials, and the people who carry out evisceration, washing and packing of intestines in a high-throughput poultry abattoir in Gauteng, South Africa. Broiler carcasses were sampled from 6 farms, on each of which broilers are produced in a separate 'grow-out cycle'. Per farm, 100 caeca were randomly collected 5 minutes after slaughter and the contents of each were selectively cultured for E. coli. The minimum inhibitory concentration (MIC of each isolate was determined for the following antimicrobials : doxycycline, trimethoprim, sulphamethoxazole, ampicillin, enrofloxacin, fosfomycin, ceftriaxone and nalidixic acid. The same was determined for the faeces of 29 abattoir workers and 28 persons used as controls. The majority of isolates from broilers were resistant, especially to antimicrobials that were used on the farms in the study. Overall median MICs and the number of resistant isolates from abattoir workers (packers plus eviscerators tended to be higher than for the control group. However, no statistically significant differences were observed when the median MICs of antimicrobials used regularly in poultry and percentage resistance were compared, nor could an association between resistance among the enteric E. coli from packers and those from broilers be demonstrated.

  10. Molecular epidemiology of clinical and carrier strains of methicillin resistant Staphylococcus aureus (MRSA in the hospital settings of north India

    Directory of Open Access Journals (Sweden)

    Dar Mohammad J

    2006-09-01

    and the ciprofloxacin (Cf and erythromycin (E resistance seemed to be chromosomal mediated. In one of the experiments, plasmid pJMR1O from Staphylococcus aureus coding for ampicillin (A, gentamicin (G and amikacin (Ak resistance was transformed into Escherichia coli. The minimal inhibitory concentrations (MICs for A and G were lower in E. coli than in S. aureus. However, the MIC for Ak was higher in E. coli transformants than in S. aureus. Conclusion There is a progressive increase in MRSA prevalence and multi-drug resistance in staphylococci. Vancomycin is still the drug of choice for MRSA infections. The major reservoir of methicillin resistant staphylococci in hospitals is colonized/infected inpatients and colonized hospital workers. Resistance transfer from staphylococci to E. coli as well as from clinical to carrier staphylococci due to antibiotic stress seemed to be an alarming threat to antimicrobial chemotherapy.

  11. Incidence and transferability of antibiotic resistance in the enteric bacteria isolated from hospital wastewater

    Directory of Open Access Journals (Sweden)

    Mohammad Zubair Alam

    2013-09-01

    Full Text Available This study reports the occurrence of antibiotic resistance and production of β-lactamases including extended spectrum beta-lactamases (ESβL in enteric bacteria isolated from hospital wastewater. Among sixty-nine isolates, tested for antibiotic sensitivity, 73.9% strains were resistant to ampicillin followed by nalidixic acid (72.5%, penicillin (63.8%, co-trimoxazole (55.1%, norfloxacin (53.6%, methicillin (52.7%, cefuroxime (39.1%, cefotaxime (23.2% and cefixime (20.3%. Resistance to streptomycin, chloramphenicol, nitrofurantoin, tetracycline, and doxycycline was recorded in less than 13% of the strains. The minimum inhibitory concentration (MIC showed a high level of resistance (800-1600 µg/mL to one or more antibiotics. Sixty three (91% isolates produced β-lactamases as determined by rapid iodometric test. Multiple antibiotic resistances were noted in both among ESβL and non-ESβL producers. The β-lactamases hydrolyzed multiple substrates including penicillin (78.8% isolates, ampicillin (62.3%, cefodroxil (52.2%, cefotoxime (21.7% and cefuroxime (18.8%. Fifteen isolates producing ESβLs were found multidrug resistant. Four ESβL producing isolates could transfer their R-plasmid to the recipient strain E. coli K-12 with conjugation frequency ranging from 7.0 x 10-3 to 8.8 x 10-4. The findings indicated that ESβL producing enteric bacteria are common in the waste water. Such isolates may disseminate the multiple antibiotic resistance traits among bacterial community through genetic exchange mechanisms and thus requires immediate attention.

  12. Incidence and transferability of antibiotic resistance in the enteric bacteria isolated from hospital wastewater

    Science.gov (United States)

    Alam, Mohammad Zubair; Aqil, Farrukh; Ahmad, Iqbal; Ahmad, Shamim

    2013-01-01

    This study reports the occurrence of antibiotic resistance and production of β-lactamases including extended spectrum beta-lactamases (ESβL) in enteric bacteria isolated from hospital wastewater. Among sixty-nine isolates, tested for antibiotic sensitivity, 73.9% strains were resistant to ampicillin followed by nalidixic acid (72.5%), penicillin (63.8%), co-trimoxazole (55.1%), norfloxacin (53.6%), methicillin (52.7%), cefuroxime (39.1%), cefotaxime (23.2%) and cefixime (20.3%). Resistance to streptomycin, chloramphenicol, nitrofurantoin, tetracycline, and doxycycline was recorded in less than 13% of the strains. The minimum inhibitory concentration (MIC) showed a high level of resistance (800–1600 μg/mL) to one or more antibiotics. Sixty three (91%) isolates produced β-lactamases as determined by rapid iodometric test. Multiple antibiotic resistances were noted in both among ESβL and non-ESβL producers. The β-lactamases hydrolyzed multiple substrates including penicillin (78.8% isolates), ampicillin (62.3%), cefodroxil (52.2%), cefotoxime (21.7%) and cefuroxime (18.8%). Fifteen isolates producing ESβLs were found multidrug resistant. Four ESβL producing isolates could transfer their R-plasmid to the recipient strain E. coli K-12 with conjugation frequency ranging from 7.0 × 10−3 to 8.8 × 10−4. The findings indicated that ESβL producing enteric bacteria are common in the waste water. Such isolates may disseminate the multiple antibiotic resistance traits among bacterial community through genetic exchange mechanisms and thus requires immediate attention. PMID:24516448

  13. Antimicrobial Resistance and Genotypic Diversity of Campylobacter Isolated from Pig, Dairy and Beef Cattle in Tanzania

    Directory of Open Access Journals (Sweden)

    Isaac eKashoma

    2015-11-01

    Full Text Available Foodborne Campylobacter infections pose a serious threat to public health worldwide. However, the occurrence and characteristics of Campylobacter in food animals and products remain largely unknown in Tanzania. The objective of this study was to determine the prevalence, antibiotic resistance, and genetic profiles (sequence types, STs of Campylobacter isolated from feces of pigs and dairy and beef cattle in Tanzania. Overall, 259 (~ 30% of 864 samples were positive for Campylobacter spp, which were detected in 32.5%, 35.4%, and 19.6% of the pig, dairy, and beef cattle samples, respectively. Multiplex PCR analysis identified 64.5% and 29.3% of the Campylobacter isolates as C. coli and C. jejuni, respectively. The majority (91.9% of the isolates from pig samples were identified as C. coli, while C. jejuni accounted for 65.5% of the isolates from cattle. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method revealed resistance to: ampicillin (70% and 76%, gentamicin (1.8% and 12.6%, respectively, streptomycin (65.8% and 74.8%, erythromycin (41.4% and 48.7%, tetracycline (18.9% and 23.4%, and ciprofloxacin (14.4% and 7.2%. Resistance to nalidixic acid (39.6%, azithromycin (13.5%, and chloramphenicol (4.5% was determined using the disk diffusion assay only, while resistance to tylosin (38.7% was quantified using the broth microdilution method. Multilocus sequence typing of 111 Campylobacter isolates resulted in the identification of 48 STs (26 C. jejuni and 22 C. coli of which 7 were novel (6 C. jejuni and 1 C. coli. Taken together, this study revealed the high prevalence, genetic diversity and antimicrobial resistance of Campylobacter in important food animals in Tanzania, which highlights the urgent need for the surveillance and control of Campylobacter in this country.

  14. Inhibition of bacterial multidrug resistance by celecoxib, a cyclooxygenase-2 inhibitor.

    Science.gov (United States)

    Kalle, Arunasree M; Rizvi, Arshad

    2011-01-01

    Multidrug resistance (MDR) is a major problem in the treatment of infectious diseases and cancer. Accumulating evidence suggests that the cyclooxygenase-2 (COX-2)-specific inhibitor celecoxib would not only inhibit COX-2 but also help in the reversal of drug resistance in cancers by inhibiting the MDR1 efflux pump. Here, we demonstrate that celecoxib increases the sensitivity of bacteria to the antibiotics ampicillin, kanamycin, chloramphenicol, and ciprofloxacin by accumulating the drugs inside the cell, thus reversing MDR in bacteria.

  15. Identification, antimicrobial resistance and genotypic characterization of Enterococcus spp. isolated in Porto Alegre, Brazil

    Science.gov (United States)

    Bender, Eduardo André; de Freitas, Ana Lúcia Peixoto; Reiter, Keli Cristine; Lutz, Larissa; Barth, Afonso Luís

    2009-01-01

    In the past two decades the members of the genus Enterococcus have emerged as important nosocomial pathogens worldwide. In the present study, we evaluated the antimicrobial resistance and genotypic characteristics of 203 Enterococcus spp. recovered from different clinical sources from two hospitals in Porto Alegre, Rio Grande do Sul, Brazil. The species were identified by conventional biochemical tests and by an automated system. The genetic diversity of E. faecalis presenting high-level aminoglycoside resistance (HLAR) was assessed by pulsed-field gel electrophoresis of chromosomal DNA after SmaI digestion. The E. faecalis was the most frequent specie (93.6%), followed by E. faecium (4.4%). The antimicrobial resistance profile was: 2.5% to ampicillin, 0.5% to vancomycin, 0.5% teicoplanin, 33% to chloramphenicol, 2% to nitrofurantoin, 66.1% to erythromycin, 66.5% to tetracycline, 24.6% to rifampicin, 30% to ciprofloxacin and 87.2% to quinupristin-dalfopristin. A total of 10.3% of the isolates proved to be HLAR to both gentamicin and streptomycin (HLR-ST/GE), with 23.6% resistant only to gentamicin (HLR-GE) and 37.4% only to streptomycin (HLR-ST). One predominant clonal group was found among E. faecalis HLR-GE/ST. The prevalence of resistance among beta-lactam antibiotics and glycopeptides was very low. However, in this study there was an increased number of HLR Enterococcus which may be spreading intra and inter-hospital. PMID:24031416

  16. Prevalence of Multiple Drug Resistant Clinical Isolates of Extended-Spectrum Beta-Lactamase Producing Enterobacteriaceae in Southeast Iran

    Directory of Open Access Journals (Sweden)

    Shahla Mansouri

    2010-06-01

    Full Text Available AbstractBackground: Multidrug resistance and production of extendedspectrum β-lactamases (ESBLs by enteric gramnegativerods in hospitals and community continue to beworsened. We aimed to characterize the multidrug resistanceand determine the prevalence of ESBL production by clinicalisolates of Enterobacteriaceae in southeast Iran.Methods: Gram-negative bacteria isolated from clinical samplesof hospital inpatients and outpatients from three hospitalsin southeast Iran were tested for susceptibility to 10commonly used antimicrobials. For 500 isolates whichshowed resistance to ≥3 antibiotics from different classes,minimum inhibitory concentration, and prevalence of ESBLproduction were determined by agar dilution and double discsynergy method respectively. The isolated bacterial specieswere compared in respect of antibacterial resistance, ESBLproduction, patients' gender, hospital ward, and type ofspecimen.Results: The most frequent resistance was to trimethoprim/sulfamethoxazole, amoxicillin, and tetracycline. Imipenemwith 99.8% and ceftizoxime with 83% susceptibility were themost active agents. A total of 53.8% of isolates expressedESBL production. Escherichia coli and Klebsiella pneumoniaewere most common in outpatients, and inpatients samplesrespectively. Higher rate of resistance to most antibacterialagents and ESBL production was found in samples ofinpatients.Conclusion: The present study showed high prevalence ofESBL-producing Enterobacteriaceae especially in the patientsadmitted to hospital. Infection control strategy with continuousresistance surveillance is essential to monitor in vitro susceptibilityto antibacterial agents currently used in clinicalpractice. Determination of the type of involved ESBL enzymesis important for a better antimicrobial control and empiricaltherapy of critically ill patients in hospitals.Iran J Med Sci 2010; 35(2: 101-108.

  17. Prevalence of virulence determinants and antimicrobial resistance among commensal Escherichia coli derived from dairy and beef cattle.

    Science.gov (United States)

    Bok, Ewa; Mazurek, Justyna; Stosik, Michał; Wojciech, Magdalena; Baldy-Chudzik, Katarzyna

    2015-01-19

    Cattle is a reservoir of potentially pathogenic E. coli, bacteria that can represent a significant threat to public health, hence it is crucial to monitor the prevalence of the genetic determinants of virulence and antimicrobial resistance among the E. coli population. The aim of this study was the analysis of the phylogenetic structure, distribution of virulence factors (VFs) and prevalence of antimicrobial resistance among E. coli isolated from two groups of healthy cattle: 50 cows housed in the conventional barn (147 isolates) and 42 cows living on the ecological pasture (118 isolates). The phylogenetic analysis, identification of VFs and antimicrobial resistance genes were based on either multiplex or simplex PCR. The antimicrobial susceptibilities of E. coli were examined using the broth microdilution method. Two statistical approaches were used to analyse the results obtained for two groups of cattle. The relations between the dependent (VFs profiles, antibiotics) and the independent variables were described using the two models. The mixed logit model was used to characterise the prevalence of the analysed factors in the sets of isolates. The univariate logistic regression model was used to characterise the prevalence of these factors in particular animals. Given each model, the odds ratio (OR) and the 95% confidence interval for the population were estimated. The phylogroup B1 was predominant among isolates from beef cattle, while the phylogroups A, B1 and D occurred with equal frequency among isolates from dairy cattle. The frequency of VFs-positive isolates was significantly higher among isolates from beef cattle. E. coli from dairy cattle revealed significantly higher resistance to antibiotics. Some of the tested resistance genes were present among isolates from dairy cattle. Our study showed that the habitat and diet may affect the genetic diversity of commensal E. coli in the cattle. The results suggest that the ecological pasture habitat is related to

  18. A high prevalence of antimicrobial resistant Escherichia coli isolated from pigs and a low prevalence of antimicrobial resistant E. coli from cattle and sheep in Great Britain at slaughter.

    Science.gov (United States)

    Enne, Virve I; Cassar, Claire; Sprigings, Katherine; Woodward, Martin J; Bennett, Peter M

    2008-01-01

    The incidence of antimicrobial resistance and expressed and unexpressed resistance genes among commensal Escherichia coli isolated from healthy farm animals at slaughter in Great Britain was investigated. The prevalence of antimicrobial resistance among the isolates varied according to the animal species; of 836 isolates from cattle tested only 5.7% were resistant to one or more antimicrobials, while only 3.0% of 836 isolates from sheep were resistant to one or more agents. However, 92.1% of 2480 isolates from pigs were resistant to at least one antimicrobial. Among isolates from pigs, resistance to some antimicrobials such as tetracycline (78.7%), sulphonamide (66.9%) and streptomycin (37.5%) was found to be common, but relatively rare to other agents such as amikacin (0.1%), ceftazidime (0.1%) and coamoxiclav (0.2%). The isolates had a diverse range of resistance gene profiles, with tet(B), sul2 and strAB identified most frequently. Seven out of 615 isolates investigated carried unexpressed resistance genes. One trimethoprim-susceptible isolate carried a complete dfrA17 gene but lacked a promoter for it. However, in the remaining six streptomycin-susceptible isolates, one of which carried strAB while the others carried aadA, no mutations or deletions in gene or promoter sequences were identified to account for susceptibility. The data indicate that antimicrobial resistance in E. coli of animal origin is due to a broad range of acquired genes.

  19. Antibiotic-resistant bacteria: prevalence in food and inactivation by food-compatible compounds and plant extracts.

    Science.gov (United States)

    Friedman, Mendel

    2015-04-22

    Foodborne antibiotic-resistant pathogenic bacteria such as Campylobacter jejuni, Bacillus cereus, Clostridium perfringens, Escherichia coli, Salmonella enterica, Staphylococcus aureus, Vibrio cholerae, and Vibrio parahemolyticus can adversely affect animal and human health, but a better understanding of the factors involved in their pathogenesis is needed. To help meet this need, this overview surveys and interprets much of our current knowledge of antibiotic (multidrug)-resistant bacteria in the food chain and the implications for microbial food safety and animal and human health. Topics covered include the origin and prevalence of resistant bacteria in the food chain (dairy, meat, poultry, seafood, and herbal products, produce, and eggs), their inactivation by different classes of compounds and plant extracts and by the use of chlorine and physicochemical methods (heat, UV light, pulsed electric fields, and high pressure), the synergistic antimicrobial effects of combinations of natural antimicrobials with medicinal antibiotics, and mechanisms of antimicrobial activities and resistant effects. Possible areas for future research are suggested. Plant-derived and other safe natural antimicrobial compounds have the potential to control the prevalence of both susceptible and resistant pathogens in various environments. The collated information and suggested research will hopefully contribute to a better understanding of approaches that could be used to minimize the presence of resistant pathogens in animal feed and human food, thus reducing adverse effects, improving microbial food safety, and helping to prevent or treat animal and human infections.

  20. Antimicrobial resistance profile of Enterococcus spp isolated from food in Southern Brazil

    Science.gov (United States)

    Riboldi, Gustavo Pelicioli; Frazzon, Jeverson; d’Azevedo, Pedro Alves; Frazzon, Ana Paula Guedes

    2009-01-01

    Fifty-six Enterococcus spp. strains were isolated from foods in Southern Brazil, confirmed by PCR and classified as Enterococcus faecalis (27), Enterococcus faecium (23) and Enterococcus spp (6). Antimicrobial susceptibility tests showed resistance phenotypes to a range of antibiotics widely administrated in humans such as gentamycin, streptomycin, ampicillin and vancomycin. PMID:24031330

  1. Survey of strain distribution and antibiotic resistance pattern of group B streptococci (Streptococcus agalactiae isolated from clinical specimens

    Directory of Open Access Journals (Sweden)

    Mousavi, Seyed Masoud

    2016-09-01

    Full Text Available Aim: The aims of the present study were to determine the antibiotic susceptibility profils with particular emphasis on susceptible or resistant strains to macrolides and lincosamids antibiotics and to determine possible antibiotic resistance mechanisms occurring in group B streptococci (GBS strains using PCR assay and disk diffusion method.Methods: A total of 62 clinical GBS strains were investigated. Antibacterial susceptibility testing was performed using the disk diffusion method and inducible resistance test for clindamycin by standard double disk diffusion or D-zone test for all isolates to differentiate macrolide resistance phenotype (M, constitutive macrolide-lincosamide-streptogramin B phenotype (cMLS and induced macrolide-lincosamide-streptogramin B phenotype (iMLS. In addition, minimum inhibitory concentrations (MIC of penicillin were determined for all isolates. Finally, possible existence of antibiotic resistance genes for erythromycin , and and for clindamycin were examined among isolates using PCR assay.Results: All 62 isolates were susceptible to penicillin, ampicillin, linezolid, cefazoline and vancomycin. However, 93.5% (n=58 of isolates showed an increased MIC to penicillin. The overall rate of erythromycin resistance was 35.5% (n=22. All erythromycin-resistant isolates displayed the M phenotype (100%, n=22. All three erythromycin resistance genes (i.e. , and were found in erythromycin-resistant isolates.Conclusion: It was concluded that prescribing antibiotic without antibacterial susceptibility tests should be prevented because of the high prevalence of erythromycin-resistant GBS strains and the fact that erythromycin-resistant GBS strains has shown an increased MIC to penicillin, as the drug of choice for treating GBS infections.

  2. Gonococcal infections in Austria: a long-term observation of prevalence and resistance profiles from 1999 to 2014.

    Science.gov (United States)

    Stary, Angelika; Heller-Vitouch, Claudia; Binder, Michael; Geusau, Alexandra; Stary, Georg; Rappersberger, Klemens; Komericki, Peter; Hoepfl, Reinhard; Haller, Maria

    2015-11-01

    The increase in minimum inhibitory concentrations (MICs) of cephalosporins for Neisseria gonorrhoeae has given rise to concerns regarding potentially untreatable gonococcal infections. The goal was to ascertain the prevalence of gonorrhea in a Viennese patient group and determine resistance patterns. Another objective was to evaluate resistance profiles and MIC values of gonococcal isolates in an Austria-wide surveillance project. From 1999 to 2014, 350,000 individuals were tested for gonococci at the Viennese Outpatient Clinic. In addition, from 2010 to 2014, the MICs of recommended antibiotics was determined in 3,584 gonococcal isolates, initially by agar dilution and breakpoint determination, and, from 2012 onwards, by Etest®. During the observation period, the prevalence of gonorrhea increased eightfold, with a significantly greater number of quinolone, penicillin, and tetracycline- resistant strains. In gonococcal strains isolated from across Austria, there was an increase in cefixime and ceftriaxone MICs toward breakpoints. Twenty-one isolates showed cefixime resistance, and while there was an increase in azithromycin resistance from 0.9 % (2013) to 3.2 % (2014), no resistance to ceftriaxone was observed. Currently, there is no imminent risk of untreatable gonorrhea in Austria. However, continuing the use of gonococcal cultures as a diagnostic tool for establishing resistance profiles is essential in order to monitor trends in the development of Neisseria (N.) gonorrhoeae resistance. © 2015 Deutsche Dermatologische Gesellschaft (DDG). Published by John Wiley & Sons Ltd.

  3. PREVALENCE AND ANTIBIOTIC RESISTANCE OF STAPHYLOCOCCI ISOLATED FROM KOLEA HOSPITAL (ALGERIA

    Directory of Open Access Journals (Sweden)

    M. N. Boukhatem

    2015-03-01

    Full Text Available The resurgence of multiple antibiotic resistances is a major public health problem, often causing therapeutic impasses. Our study was conducted at the Bacteriology Laboratory of Kolea (Tipaza, Algeria Hospital. The aim of this study was to determine the prevalence of staphylococci in clinical purulent, sepsis and urinary samples, and to test the sensitivity of the selected strains against a various antibiotic (ATB discs. The identification of Staphylococcus strains was performed by using classic biochemistry tests. Susceptibility against ATB was determined by the agar disk diffusion method. Among 1403 clinical samples obtained, only 61 was related to staphylococci infections (4.34%. Staphylococcus strains were found mainly in the purulent samples (60.65%. S.aureus was found to be the mainly isolated strains with a rate of 61.53% while the S. epidermidis strain was found predominantly in hospitalized patients (68.57%. The frequency of the overall resistance of S.aureus against penicillin G (80.6% and gentamicin (61.53% remains high. The multi-resistant Staphylococcus has become extremely widespread, hence the need for the accomplishment of a strategy to prevent the spread of this resistance.

  4. PREVALENCE AND ANTIBIOTIC RESISTANCE OF STAPHYLOCOCCI ISOLATED FROM KOLEA HOSPITAL (ALGERIA

    Directory of Open Access Journals (Sweden)

    M. N. Boukhatem

    2015-05-01

    Full Text Available The resurgence of multiple antibiotic resistances is a major public health problem, often causing therapeutic impasses. Our study was conducted at the Bacteriology Laboratory of Kolea (Tipaza, Algeria Hospital. The aim of this study was to determine the prevalence of staphylococci in clinical purulent, sepsis and urinary samples, and to test the sensitivity of the selected strains against a various antibiotic (ATB discs. The identification of Staphylococcus strains was performed by using classic biochemistry tests. Susceptibility against ATB was determined by the agar disk diffusion method. Among 1403 clinical samples obtained, only 61 was related to staphylococci infections (4.34%. Staphylococcus strains were found mainly in the purulent samples (60.65%. S.aureus was found to be the mainly isolated strains with a rate of 61.53% while the S. epidermidis strain was found predominantly in hospitalized patients (68.57%. The frequency of the overall resistance of S.aureus against penicillin G (80.6% and gentamicin (61.53% remains high. The multi-resistant Staphylococcus has become extremely widespread, hence the need for the accomplishment of a strategy to prevent the spread of this resistance.

  5. [Changes of resistant phenotype and CRISPR/Cas system of four Shigella strains passaged for 90 times without antibiotics].

    Science.gov (United States)

    Zhang, B; Hong, L J; Duan, G C; Liang, W J; Yang, H Y; Xi, Y L

    2017-02-10

    Objective: To explore the stability of resistant phenotypes and changes of clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) gene system on four Shigella strains in the absence of antibiotics. Methods: Four clinical isolated Shigella strains that resistant to different antibiotics were consecutive passaged for 90 times without antibiotics. Agar dilution method was used to determine the minimum inhibitory concentration of Shigella strains. After sequence analysis with PCR, CRISPR Finder and Clustal X 2.1 were applied to identify the changes of CRISPR loci in the Shigella strains. Results: After the consecutive transfer of 90 generations, sensitivity to certain antibiotics of four Shigella strains with different drug resistant spectrums increased. Mel-sf1998024/zz resistance to ampicillin, cephalexin, cefotaxime, chloramphenicol decreased, mel-s2014026/sx resistance to norfloxacin, trimethoprim decreased, mel-sf2004004/sx drug resistance to ampicillin, cefuroxime, cefotaxime, chloramphenicol, trimethoprim decreased and mel-sf2013004/bj resistance to chloramphenicol decreased. The spacer of which matched gene codes Cas and its upstream repeat in 3'end of CRISPR3 got lost in mel-sf1998024/zz and mel-sf2013004/bj. Conclusions: Shigella strains could reduce or lose their resistance to some antibiotics after consecutive transfers, without the interference of antibiotics. CRISPR3 locus had dynamic spacers in Shigella strains while CRISPR3 locus and cas genes might have been co-evolved.

  6. [Etiology and antimicrobial resistance profile of urinary tract infection in children, Valdivia 2012].

    Science.gov (United States)

    Herrera, Carolina; Navarro, Diego; Täger, Marlis

    2014-12-01

    Since initial antibiotic treatment in patients with urinary tract infection (UTI) is empiric, is very important to know the local epidemiology to make the correct therapeutical decisions. Determinate local features of antimicrobial resistance in pediatric patients with UTI. Retrospective review of urine culture tests of children under 15 years old, obtained in a pediatric emergency department in Valdivia, between february and december 2012. Escherichia coli showed high percentage of resistance to ampicillin (44,8%) and first generation cephalosporin (36%). A well understanding of local antimicrobial resistance profile is useful to a correct empiric treatment.

  7. Frequency Of Isolation Of Salmonella From Commercial Poultry Feeds And Their Anti-Microbial Resistance Profiles, Imo State, Nigeria

    Directory of Open Access Journals (Sweden)

    Okoli IC

    2006-09-01

    Full Text Available This study was conducted to determine the frequency of isolation of salmonella and their microbial resistance profiles across different commercial poultry feeds sold in Imo State, Nigeria. Thirty-six bulk feed samples were colleted from 154 bag across different feed types and brands which included Guinea (GF, Top (TF, Vital (VF, Extra (EF, Animal care (AF and livestock (LF feeds. The salmonella isolated were tested against 14 anti-microbial drugs using the disc diffusion method. Bacterial load enumeration of the samples indicated a range of <30 colony forming unit (CFU to overgrowth at 104 serial dilutions. Eight feed samples (22.2% which cuts across the entire feed brands expect EF were positive for salmonella. The highest prevalence of 28.8% and 25.0% were recorded for LF and TF respectively, while VF, GF and AF had 11.1 and 10.0% respectively. Salmonella isolates showed high rates of resistance (51-100% against nitrofurantoin, ampicillin, tetracycline and ceftriazole, while moderate rates (31-50% were recorded for chloramphenicol, oxfloxacin and cotrimoxazole. Low resistance rates (1-30% were on the other hand recorded against ciprofloxacin and amoxycillin clavulanate (Augumentine, whereas zero resistance was demonstrated against pefloxacin, gentamycin, streptomycin and nalidixic. Commercial feeds form important channels for the dissemination of multi-drug resistant salmonella in Imo State, Nigeria.

  8. CHANGES IN THE PREVALENCE OF DRUG RESISTANT TUBERCULOSIS

    Directory of Open Access Journals (Sweden)

    V. B. Galkin

    2017-01-01

    Full Text Available The tendency of tuberculosis prevalence reduction observed in the Russian Federation is mostly related to the cases without multiple drug resistance (MDR. In general the number of MDR TB cases still tends to be increasing in the Russian Federation. Confident long-term reduction is registered only in the Central and North-Western Districts with relatively low level of MDR TB prevalence. From 2017 MDR TB patients are expected to prevail in the structure of the sputum positive cases which surely provides negative impact on the treatment efficiency and epidemic trends. The system of dispensary follow-up allows evaluating the annual number of MDT TB cases and following the ways of its increase and reduction. Taking MDR TB sources on and off the register is less intensive compared to the same flows of non-MDR infectious cases. The number of MDR TB sources is increasing mostly due new tuberculosis cases however acquired MDR TB makes significant contribution to the growth of MDR TB sources number. The increase in the ratio of respiratory MDR TB patients with sputum conversion to those died reflects the success in the improvement of the treatment strategy of MDR TB patients.

  9. Bacterial cheating limits antibiotic resistance

    Science.gov (United States)

    Xiao Chao, Hui; Yurtsev, Eugene; Datta, Manoshi; Artemova, Tanya; Gore, Jeff

    2012-02-01

    The widespread use of antibiotics has led to the evolution of resistance in bacteria. Bacteria can gain resistance to the antibiotic ampicillin by acquiring a plasmid carrying the gene beta-lactamase, which inactivates the antibiotic. This inactivation may represent a cooperative behavior, as the entire bacterial population benefits from removing the antibiotic. The cooperative nature of this growth suggests that a cheater strain---which does not contribute to breaking down the antibiotic---may be able to take advantage of cells cooperatively inactivating the antibiotic. Here we find experimentally that a ``sensitive'' bacterial strain lacking the plasmid conferring resistance can invade a population of resistant bacteria, even in antibiotic concentrations that should kill the sensitive strain. We observe stable coexistence between the two strains and find that a simple model successfully explains the behavior as a function of antibiotic concentration and cell density. We anticipate that our results will provide insight into the evolutionary origin of phenotypic diversity and cooperative behaviors.

  10. Evaluation of Antimicrobial Resistance and Virulence Genes in Uropathogenic Escherichia coli in Pediatric and Adult Patients

    Directory of Open Access Journals (Sweden)

    Kerem YILMAZ

    2017-06-01

    Full Text Available We aimed to evaluate the antimicrobial resistance patterns and the prevalence of certain virulence genes in uropathogenic E. coli isolated from pediatric and adult patients with uncomplicated urinary tract infection.We examined nonduplicate 83 uropathogenic E. coli isolated from mid-stream clean-catch urine samples of the pediatric and adult outpatients with the diagnosis of acute uncomplicated urinary tract infection. VITEK® 2 automated system (bioMerieux, Marcy l’Etoile, France was used for identification and determination of antimicrobial resistance. We examined the isolates in respect to their antimicrobial resistance patterns and the presence of virulence genes (pap, aer, sfa, hly and cnf-1. Antimicrobial susceptibility testing results of the E. coli isolates revealed that commonly used empiric antimicrobials (ciprofloxacin, trimethoprim–sulfamethoxazole, gentamicin, ampicillin and cephalothin for urinary tract infections were less effective than others. Most frequently detected virulence genes were pap and aer in both age groups. Sfa and hly genes were the least frequently detected genes in the pediatric age group; hly gene was the also the least common in the adult age group. There was no association with virulence factors and antimicrobial resistance patterns of the uropathogenic E. coli isolates in contrary to literature. More comprehensive studies with larger sample groups are needed to demonstrate the relation between virulence factors with antimicrobial drugs in different age groups.

  11. Antibiotic resistance and biofilm formation of some bacteria isolated from sediment, water and fish farms in Malaysia

    Science.gov (United States)

    Faja, Orooba Meteab; Usup, Gires; Ahmad, Asmat

    2018-04-01

    A total of 90 isolates of bacteria were isolated, from sediment (10) samples, water (10) samples and fish (12) samples (Sea bass, Snapper, Grouper and Tilapia). These include 22 isolates of bacteria from sediment, 28 isolates from water and 40 isolates from fish. All the isolates were tested for sensitivity to 13 antibiotics using disc diffusion method. The isolates showed high resistance to some antibiotics based on samples source. Isolates from sediment showed highest resistance toward novobiocin, kanamycin, ampicillin and streptomycin while isolates from water showed highest resistance against vancomycin, penicillin, streptomycin and tetracycline, in contrast, in fish sample showed highest resistance toward vancomycin, ampicillin, streptomycin and tetracycline. Most of the isolates showed biofilm formation ability with different degrees. Out of 22 bacteria isolates from water, two isolates were weak biofilm formers, six isolates moderate biofilm formers and fourteen isolates strong biofilm formers. While, out of 28 bacteria isolates from water one isolate was weak biofilm former, five isolates moderate biofilm formers and 22 strong biofilm formers Fish isolate showed three isolates (8%) moderate biofilm formers and 27 isolates strong biofilm formers. Biofilm formation was one of the factors that lead to antibiotic resistance of the bacterial isolates from these samples.

  12. Livestock-associated methicillin resistant Staphylococcus aureus in pigs - prevalence, risk factors and transmission dynamics

    NARCIS (Netherlands)

    Broens, E.M.

    2011-01-01

    In 2004, an association between human carriage of methicillin resistant Staphylococcus aureus (MRSA) and contact with pigs was found. To assess the implications of this finding for veterinary and public health more insight into the prevalence, risk factors and transmission dynamics of

  13. Cefepime shows good efficacy and no antibiotic resistance in pneumonia caused by Serratia marcescens and Proteus mirabilis - an observational study.

    Science.gov (United States)

    Yayan, Josef; Ghebremedhin, Beniam; Rasche, Kurt

    2016-03-23

    Many antibiotics have no effect on Gram-positive and Gram-negative microbes, which necessitates the prescription of broad-spectrum antimicrobial agents that can lead to increased risk of antibiotic resistance. These pathogens constitute a further threat because they are also resistant to numerous beta-lactam antibiotics, as well as other antibiotic groups. This study retrospectively investigates antimicrobial resistance in hospitalized patients suffering from pneumonia triggered by Gram-negative Serratia marcescens or Proteus mirabilis. The demographic and clinical data analyzed in this study were obtained from the clinical databank of the HELIOS Clinic, Witten/Herdecke University, Wuppertal, Germany, for inpatients presenting with pneumonia triggered by S. marcescens or P. mirabilis from 2004 to 2014. An antibiogram was conducted for the antibiotics utilized as part of the management of patients with pneumonia triggered by these two pathogens. Pneumonia was caused by Gram-negative bacteria in 115 patients during the study period from January 1, 2004, to August 12, 2014. Of these, 43 (37.4 %) hospitalized patients [26 males (60.5 %, 95 % CI 45.9 %-75.1 %) and 17 females (39.5 %, 95 % CI 24.9 %-54.1 %)] with mean age of 66.2 ± 13.4 years had pneumonia triggered by S. marcescens, while 20 (17.4 %) patients [14 males (70 %, 95 % CI 49.9 %-90.1 %) and 6 females (30 %, 95 % CI 9.9 %-50.1 %)] with a mean age of 64.6 ± 12.8 years had pneumonia caused by P. mirabilis. S. marcescens showed an increased antibiotic resistance to ampicillin (100 %), ampicillin-sulbactam (100 %), and cefuroxime (100 %). P. mirabilis had a high resistance to tetracycline (100 %) and ampicillin (55 %). S. marcescens (P < 0.0001) and P. mirabilis (P = 0.0003) demonstrated no resistance to cefepime in these patients with pneumonia. S. marcescens and P. mirabilis were resistant to several commonly used antimicrobial agents, but showed no resistance to

  14. Antimicrobial Resistance Pattern in Escherichia coli Isolates Obtained from a Specialized Women and Children Hospital in Shiraz, Iran: A Prevalence Study

    Directory of Open Access Journals (Sweden)

    Mahtab Hadadi

    2016-10-01

    Full Text Available Abstract Background: Escherichia coli, known as a clinically significant bacteria, can cause a wide range of infections, including urinary tract infections (UTIs, blood stream infections (BSIs, and can frequently be isolated from various clinical specimens. Evaluation of antimicrobial resistant pattern is a necessary action, especially about such bacteria which are frequent and life threatening. The aim of this study was to determine the frequency and antimicrobial resistance pattern of E. coli isolates obtained from various clinical specimens. Methods: This retrospective study was performed within a seven month period from January 2015 to August 2015 at a specialized women and children hospital in Shiraz, Iran. E. coli isolates were obtained from various clinical specimens and identified using standard microbiological procedure. Antimicrobial susceptibility patterns were determined using disk diffusion method in accordance with CLSI recommendation. Results: Of the total 130 positive cultures, the majority of E. coli isolates were obtained from urine (96=73.8% and blood (11=8.5% specimens. Overall, gentamicin (70.8% was the effective antibiotic for the tested E. coli isolates. E. coli isolates obtained from urine specimens showed the highest resistance rates against ampicillin (84.4% and nalidixic acid (61.5%; while they showed the most sensitivity to gentamicin (79.2%, nitrofurantoin (70.8% and ciprofloxacin (66.7%. Moreover, the highest antibiotic resistance rates belonged to the isolates recovered from endotracheal tube (ETT. Conclusion: The results showed that gentamicin was the most effective antibiotic against E. coli infections. However, in addition to the gentamicin, we can recommend nitrofurantoin and ciprofloxacin as the other effective agents for UTIs

  15. Cloning of the nptII gene of Escherichia coli and construction of a recombinant strain harboring functional recA and nptII antibiotic resistance.

    Science.gov (United States)

    Ghanem, S

    2011-01-01

    In an attempt to clone the ORF of the nptII gene of Escherichia coli K12 (ATCC 10798), two degenerate primers were designed based on the nptII sequence of its Tn5 transposon. The nptII ORF was placed under the control of the E. coli hybrid trc promoter, in the pKK388-1 vector, transformed into E. coli DH5α ΔrecA (recombinant, deficient strain). Transferred cells were tested for ampicillin, tetracycline, kanamycin, neomycin, geneticin, paromomycin, penicillin, and UV resistance. The neomycin phosphotransferase gene of E. coli was cloned successfully and conferred kanamycin, neomycin, geneticin, and paromomycin resistance to recombinant DH5α; this did not inhibit insertion of additional antibiotic resistance against ampicillin and tetracycline, meaning the trc promoter can express two different genes carried by two different plasmids harbored in the same cell. This resistance conferral process could be considered as an emulation of horizontal gene transfer occurring in nature and would be a useful tool for understanding mechanisms of evolution of multidrug-resistant strains.

  16. Prevalence of methicillin-resistant Staphylococcus aureus (MRSA in community-acquired primary pyoderma

    Directory of Open Access Journals (Sweden)

    Patil Rahul

    2006-01-01

    Full Text Available Background: Although prevalence of MRSA strains is reported to be increasing, there are no studies of their prevalence in community-acquired primary pyodermas in western India. Aims: This study aimed at determining the prevalence of MRSA infection in community-acquired primary pyodermas. Methods: Open, prospective survey carried out in a tertiary care hospital in Mumbai. Materials and Methods: Eighty-six patients with primary pyoderma, visiting the dermatology outpatient, were studied clinically and microbiologically. Sensitivity testing was done for vancomycin, sisomycin, gentamicin, framycetin, erythromycin, methicillin, cefazolin, cefuroxime, penicillin G and ciprofloxacin. Phage typing was done for MRSA positive strains. Results : The culture positivity rate was 83.7%. Staphylococcus aureus was isolated in all cases except two. Barring one, all strains of Staphylococcus were sensitive to methicillin. Conclusions: Methicillin resistance is uncommon in community-acquired primary pyodermas in Mumbai. Treatment with antibacterials active against MRSA is probably unwarranted for community-acquired primary pyodermas.

  17. Antibiotic Resistance and Prevalence of Campylobacter jejuni and Campylobacter coli in Poultry Liver

    Directory of Open Access Journals (Sweden)

    A. Saadatmand

    2017-10-01

    Full Text Available Background and Objective: Campylobacter is a common type of bacteria in humans and poultry, which generally accounts for various diseases in humans, such as gastroenteritis. The poultry digestive system contains a high level of these bacteria. The aim of this study was to evaluate the prevalence of C. jejuni and C. coli in the poultry liver packed for marketing and determine the antibiotic resistance of the isolates. Materials and Methods: This cross-sectional study was conducted in the spring of 2016 in the city of Hamadan, Iran. A total of 80 samples of packed chicken liver were collected from the stores supplying meat and poultry products in Hamadan. The enrichment of the liver samples was performed in brucella broth; subsequently, separation was carried out on Campylobacter selective agar. The presence of bacteria was confirmed by the implementation of chemical diagnostic tests and direct microscopic observation. Finally, the antibiotic resistance of the isolates was tested using disk diffusion method. Results: According to the results, Campylobacter had a prevalence rate of 90%, 73.61% and 26.39% of which were C. jejuni and C. coli, respectively. Out of the 12 antibiotic discs used in this study, the highest resistance (79% and sensitivity (99% rates were observed for cotrimoxazole (10 µg and gentamycin (10 µg, respectively. Conclusion: The packed poultry liver in Hamadan had a relatively high prevalence of C. jejuni and C. coli. Therefore, the consumers should be careful about the cooking time and using this food. Accordingly, they can prevent the dissemination of this bacteria by cooking the liver at a temperature of above 70°C for 20 min and properly washing the devices before cooking this product. Additionally, the elderly, children, and those with immunodeficiency are recommended to avoid eating poultry liver.

  18. Prevalence of insulin resistance in siblings of type 2 diabetics of north west punjabi population.

    Science.gov (United States)

    Kaur, Sukhraj; Mahajan, Mridula; Bal, B S

    2014-08-01

    Insulin resistance a physiological condition is marked by hyperglycemia and failure of cells to respond to normal action thus hyperinsulinemia. It is prevalent in individuals having genetic predisposition and family history of type 2 diabetes mellitus. Physically inactive individuals having sedentary life style are also at a risk of developing insulin resistance. The present study was planned to observe the prevalence of insulin resistance or pre diabetes in various age groups of North West Punjabi population. A total of 400 families comprising of 1159 offsprings of diabetic patients and siblings amongst each were included in the present study. All these 400 families had history of type 2 diabetes mellitus in the present or past generation. Written consent was taken from the head of the family for inclusion in the study. Fasting samples were collected and analysed for Glucose, Glycosylated Hb, complete lipid profile, Insulin and c-peptide. Body mass index, waist hip ratio and HOMA-IR were calculated. Comparison of mean of various parameters was done using student t-test. Analysis of variance (ANOVA) was applied for comparison between groups followed by Bonferroni post hoc analysis. Pearson's correlation method was used for quantitative variables. Statistical significance was defined as p18-35 years were more prone to insulin resistance as compared to other age groups. Insulin resistance at a young age of 18-35 years predisposes these individuals to coronary events. Females in reproductive years are more prone to insulin resistance or pre diabetes as compared to males of the same age group.

  19. Prevalência e perfil de resistência a antimicrobianos de sorovares de Salmonella isolados de lingüiças suínas tipo frescal em Lages, SC Prevalence and profile of resistance to antimicrobials of Salmonella serovars isolated from raw pork sausage in Lages, SC

    Directory of Open Access Journals (Sweden)

    D.A. Spricigo

    2008-04-01

    Full Text Available The prevalence and profile of resistance to antimicrobials of Salmonella serovars isolated from raw pork sausage were studied in Lages county, Santa Catarina, Brazil. A total of 125 samples of 12 trademarks were collected in different commercial establishments. Salmonella sp. was present in 12.8% (16/125 of the samples and Typhimurium serovar was the most prevalent. Fourteen different antimicrobials were tested and most of the samples showed resistance to sulfonamide and tetracycline (81.2%. Eight positive samples (50% were resistant at least to four antimicrobials, being considered as multi-resistant Salmonella. Seven (58.3% trademarks were disagreement with the Brazilian law, representing a risk to the public health. The high level of resistance to the antimicrobials should produce a concern by the pig industry and veterinarians in order to prevent the transmission of resistant strains through the food chain.

  20. Study of antagonistic effects of Lactobacillus strains as probiotics on multi drug resistant (MDR bacteria isolated from urinary tract infections (UTIs

    Directory of Open Access Journals (Sweden)

    Atiyeh Naderi

    2014-03-01

    Conclusion: Treatment of E. coli with probiotic suspension was not effective on inhibition of the plasmid carrying hypothetical ampicillin resistant gene. Moreover, the plasmid profiles obtained from probiotic-treated isolates were identical to untreated isolates.

  1. Multidrug-Resistance and Toxic Metal Tolerance of Medically Important Bacteria Isolated from an Aquaculture System

    Science.gov (United States)

    Resende, Juliana Alves; Silva, Vânia L.; Fontes, Cláudia Oliveira; Souza-Filho, Job Alves; de Oliveira, Tamara Lopes Rocha; Coelho, Cíntia Marques; César, Dionéia Evangelista; Diniz, Cláudio Galuppo

    2012-01-01

    The use of antimicrobials and toxic metals should be considered carefully in aquaculture and surrounding environments. We aimed to evaluate medically relevant bacteria in an aquaculture system and their susceptibility to antimicrobials and toxic metals. Selective cultures for enterobacteria (ENT), non-fermenting Gram-negative rods (NFR) and Gram-positive cocci (GPC) were obtained from water samples collected in two different year seasons. The isolated bacteria were biochemically identified and antimicrobial and toxic metal susceptibility patterns were determined. Overall, 407 representative strains were recovered. In general, bacteria isolated from fish ponds showed higher multiple antibiotic resistance indices when compared to those isolated from a water-fed canal. Resistance to penicillin and azithromycin was observed more frequently in the GPC group, whereas resistance to ampicillin and ampicillin/sulbactam or gentamicin was observed more frequently in the ENT and NFR groups, respectively. All the isolated bacteria were tolerant to nickel, zinc, chromium and copper at high levels (≥1,024 μg mL−1), whereas tolerance to cadmium and mercury varied among the isolated bacteria (2–1,024 μg mL−1). Multidrug-resistant bacteria were more frequent and diverse in fish ponds than in the water-fed canal. A positive correlation was observed between antimicrobial resistance and metal tolerance. The data point out the need for water treatment associated with the aquaculture system. PMID:22972388

  2. Antimicrobial susceptibility and antibiotic resistance gene transfer analysis of foodborne, clinical, and environmental Listeria spp. isolates including Listeria monocytogenes.

    Science.gov (United States)

    Bertsch, David; Muelli, Mirjam; Weller, Monika; Uruty, Anaïs; Lacroix, Christophe; Meile, Leo

    2014-02-01

    The aims of this study were to assess antibiotic resistance pheno- and genotypes in foodborne, clinical, and environmental Listeria isolates, as well as to elucidate the horizontal gene transfer potential of detected resistance genes. A small fraction of in total 524 Listeria spp. isolates (3.1%) displayed acquired antibiotic resistance mainly to tetracycline (n = 11), but also to clindamycin (n = 4) and trimethoprim (n = 3), which was genotypically confirmed. In two cases, a tetracycline resistance phenotype was observed together with a trimethoprim resistance phenotype, namely in a clinical L. monocytogenes strain and in a foodborne L. innocua isolate. Depending on the applied guidelines, a differing number of isolates (n = 2 or n = 20) showed values for ampicillin that are on the edge between intermediate susceptibility and resistance. Transferability of the antibiotic resistance genes from the Listeria donors, elucidated in vitro by filter matings, was demonstrated for genes located on transposons of the Tn916 family and for an unknown clindamycin resistance determinant. Transfer rates of up to 10(-5) transconjugants per donor were obtained with a L. monocytogenes recipient and up to 10(-7) with an Enterococcus faecalis recipient, respectively. Although the prevalence of acquired antibiotic resistance in Listeria isolates from this study was rather low, the transferability of these resistances enables further spread in the future. This endorses the importance of surveillance of L. monocytogenes and other Listeria spp. in terms of antibiotic susceptibility. © 2014 The Authors. MicrobiologyOpen published by John Wiley & Sons Ltd.

  3. Outcomes after chemotherapy with WHO category II regimen in a population with high prevalence of drug resistant tuberculosis.

    Directory of Open Access Journals (Sweden)

    Francine Matthys

    Full Text Available Standard short course chemotherapy is recommended by the World Health Organization to control tuberculosis worldwide. However, in settings with high drug resistance, first line standard regimens are linked with high treatment failure. We evaluated treatment outcomes after standardized chemotherapy with the WHO recommended category II retreatment regimen in a prison with a high prevalence of drug resistant tuberculosis (TB. A cohort of 233 culture positive TB patients was followed through smear microscopy, culture, drug susceptibility testing and DNA fingerprinting at baseline, after 3 months and at the end of treatment. Overall 172 patients (74% became culture negative, while 43 (18% remained positive at the end of treatment. Among those 43 cases, 58% of failures were determined to be due to treatment with an inadequate drug regimen and 42% to either an initial mixed infection or re-infection while under treatment. Overall, drug resistance amplification during treatment occurred in 3.4% of the patient cohort. This study demonstrates that treatment failure is linked to initial drug resistance, that amplification of drug resistance occurs, and that mixed infection and re-infection during standard treatment contribute to treatment failure in confined settings with high prevalence of drug resistance.

  4. The prevalence of antiretroviral multidrug resistance in highly active antiretroviral therapy-treated patients with HIV/AIDS between 2004 and 2009 in South Korea.

    Science.gov (United States)

    Choi, Ju-yeon; Kwon, Oh-Kyung; Choi, Byeong-Sun; Kee, Mee-Kyung; Park, Mina; Kim, Sung Soon

    2014-06-01

    Highly active antiretroviral therapy (HAART) including protease inhibitors (PIs) has been used in South Korea since 1997. Currently, more than 20 types of antiretroviral drugs are used in the treatment of human immunodeficiency virus-infected/acquired immune deficiency syndrome patients in South Korea. Despite the rapid development of various antiretroviral drugs, many drug-resistant variants have been reported after initiating HAART, and the efficiency of HAART is limited by these variants. To investigate and estimate the annual antiretroviral drug resistance and prevalence of antiretroviral multi-class drug resistance in Korean patients with experience of treatment. The amplified HIV-1 pol gene in 535 patients requested for genotypic drug resistance testing from 2004 to 2009 by the Korea Centers for Disease Control and Prevention was sequenced and analyzed annually and totally. The prevalence of antiretroviral drug resistance was estimated based on "SIR" interpretation of the Stanford sequence database. Of viruses derived from 787 specimens, 380 samples (48.3%) showed at least one drug class-related resistance. Predicted NRTI drug resistance was highest at 41.9%. NNRTI showed 27.2% resistance with 23.3% for PI. The percent of annual drug resistance showed similar pattern and slightly declined except 2004 and 2005. The prevalence of multi-class drug resistance against each drug class was: NRTI/NNRTI/PI, 9.8%; NRTI/PI, 21.9%; NNRTI/PI, 10.4%; and NRTI/NNRTI, 21.5%. About 50% and less than 10% of patients infected with HIV-1 have multidrug and multiclass resistance linked to 16 antiretroviral drugs, respectively. The significance of this study lies in its larger-scale examination of the prevalence of drug-resistant variants and multidrug resistance in HAART-experienced patients in South Korea. Copyright © 2014 Elsevier B.V. All rights reserved.

  5. Prevalence, predictors, and outcomes in treatment-resistant hypertension in patients with coronary disease.

    Science.gov (United States)

    Bangalore, Sripal; Fayyad, Rana; Laskey, Rachel; Demicco, David A; Deedwania, Prakash; Kostis, John B; Messerli, Franz H

    2014-01-01

    Increasingly, apparent treatment-resistant hypertension has been recognized. However, much of the prevalence, predictors, and outcomes are largely unknown, especially in patients with coronary artery disease. We evaluated 10,001 patients with coronary artery disease who were enrolled in the Treating to New Targets trial. Apparent treatment-resistant hypertension was defined as blood pressure ≥ 140 mm Hg despite 3 antihypertensive agents or hypertension. In a multivariable model adjusting for baseline differences, the treatment-resistant hypertension group had a 64% increase in primary outcome (hazard ratio [HR], 1.64; 95% confidence interval [CI], 1.39-1.94; P hypertension group. In addition, patients with apparent treatment-resistant hypertension had a 71% increase in major coronary event (P hypertension group. Results were largely similar whether the definition of apparent treatment-resistant hypertension was based on a blood pressure ≥ 140 mm Hg despite 3 agents or a blood pressure hypertension is associated with a marked increase in the risk of cardiovascular morbidity and mortality, including an increase in all-cause death. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Prevalence of multi drug resistant Acinetobacter baumannii in the clinical samples from Tertiary Care Hospital in Islamabad, Pakistan.

    Science.gov (United States)

    Begum, Shahzeera; Hasan, Fariha; Hussain, Shagufta; Ali Shah, Aamer

    2013-09-01

    Acinetobacter baumannii can cause a wide range of infections, including bacteremia, pneumonia, urinary tract infection, peritonitis, etc. This organism is becoming resistant to a large group of antibiotics, especially β-lactam antibiotics. The reason for multi-drug resistance may be the production of extended- spectrum β-lactamses (ESBLs), carbapenemases/metallo β-lactamases or AmpC β-lactamases. The aim of the present study was to determine the prevalence of multi-drug resistant Acinetobacter baumannii isolated from the patients in Surgical Intensive Care Units (SICUs) of Pakistan Institute of Medical Sciences (PIMS), Islamabad, Pakistan. A total of 91 A. baumanni isolates were collected from PIMS during the period from February 2011 to December 2011. The antibiotic susceptibility testing was performed by standard disc diffusion method as recommended by CLSI. Combination disc method, Modified Hodge test, EDTA disc synergy test and AmpC disc test were performed for detection of ESBLs, carbapenemases, metallo β-lactamases, and AmpC β-lactamases, respectively. The prevalence of MDRs was reported 100% among A. baumannii. The antibiotic susceptibility profile showed that minocycline and tigecycline were the most effective drugs against A. baumannii. Almost all of A. baumannii isolates were carbapenemase and metallo β-lactamase producers. AmpC prevalence was observed in 41.76%, while none of the isolates was ESBL producer. Antibiogram and minimal inhibitory concentrations (MICs) indicated tetracycline is relatively effective against A. baumanii. Increased frequency of multi-drug resistance supports the need for continuous surveillance to determine prevalence and evolution of these enzymes in Pakistan.

  7. Antibacterial resistances in uncomplicated urinary tract infections in women: ECO·SENS II data from primary health care in Austria

    Directory of Open Access Journals (Sweden)

    Kamenski Gustav

    2012-09-01

    Full Text Available Abstract Background Uncomplicated urinary tract infections (UTI are a frequent reason for consultation of women in primary health care. To avoid therapy failure and development of resistances, the choice of an antibiotic should be based on the knowledge of recent local resistance data but these data are scarce for the Austrian primary health care sector. Within the context of the ECO·SENS II study it was the aim to obtain appropriate and relevant local resistance data and describe the changes in the resistance pattern in comparison to the ECO·SENS study. Methods 23 GPs from different parts of Austria participated in the study between July 2007 and November 2008. According to the defined inclusion- and exclusion criteria female patients with symptoms of an uncomplicated UTI were included and a midstream urine sample was collected. In case of significant bacteriuria susceptibility testing of E. coli against 14 antibiotics was performed. Descriptive statistical methods were used. Results In 313 patients included in the study, a total of 147 E. coli isolates (47% were detected and tested. The resistance rates were in %: Mecillinam (0.0, nitrofurantoin (0.7, fosfomycin trometamol (0.7, gentamycin (1.4, cefotaxime (2.7, ceftazidime (2.7, Cephadroxil (4.1 and ciprofloxacin (4.1. Higher resistance rates were found in amoxicillin/clavulanic acid (8.9, nalidixic acid (9.6, trimethoprim/sulphamethoxazole (14.4, trimethoprim (15.8, sulphamethoxazole (21.2 and ampicillin (28.8. Additionally, the comparison of these results with the results of the ECO·SENS study demonstrated an increase in resistance rates of ampicillin, amoxicillin/clavulanic acid, nalidixic acid and ciprofloxacin. Conclusions The resistance data for E. coli in uncomplicated UTIs in women gained by this study are the most recent data for this disease in Austria at the moment. The increased resistance rates of amoxicillin/clavulanic acid, ciprofloxacin and nalidixic acid should be

  8. Prevalence of Salmonella enterica, Listeria monocytogenes, and pathogenic Escherichia coli in bulk tank milk and milk filters from US dairy operations in the National Animal Health Monitoring System Dairy 2014 study.

    Science.gov (United States)

    Sonnier, Jakeitha L; Karns, Jeffrey S; Lombard, Jason E; Kopral, Christine A; Haley, Bradd J; Kim, Seon-Woo; Van Kessel, Jo Ann S

    2018-03-01

    The dairy farm environment is a well-documented reservoir for zoonotic pathogens such as Salmonella enterica, Shiga-toxigenic Escherichia coli, and Listeria monocytogenes, and humans may be exposed to these pathogens via consumption of unpasteurized milk and dairy products. As part of the National Animal Health Monitoring System Dairy 2014 study, bulk tank milk (BTM, n = 234) and milk filters (n = 254) were collected from a total of 234 dairy operations in 17 major dairy states and analyzed for the presence of these pathogens. The invA gene was detected in samples from 18.5% of operations and Salmonella enterica was isolated from 18.0% of operations. Salmonella Dublin was detected in 0.7% of operations. Sixteen Salmonella serotypes were isolated, and the most common serotypes were Cerro, Montevideo, and Newport. Representative Salmonella isolates (n = 137) were tested against a panel of 14 antimicrobials. Most (85%) were pansusceptible; the remaining were resistant to 1 to 9 antimicrobials, and within the resistant strains the most common profile was resistance to ampicillin/clavulanic acid, ampicillin, cefoxitin, ceftiofur, ceftriaxone, chloramphenicol, streptomycin, sulfisoxazole, and tetracycline. Listeria spp. were isolated from 19.9% of operations, and L. monocytogenes was isolated from 3.0% of operations. Serogroups 1/2a and 1/2b were the most common, followed by 4b and 4a. One or more E. coli virulence genes were detected in the BTM from 30.5% of operations and in the filters from 75.3% of operations. A combination of stx 2 , eaeA, and γ-tir genes was detected in the BTM from 0.5% of operations and in the filters from 6.6% of operations. The results of this study indicate an appreciable prevalence of bacterial pathogens in BTM and filters, including serovars known to infect humans. Copyright © 2018 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  9. Antimicrobial sensitivity pattern of urine isolates from asymptomatic bacteriuria during pregnancy

    International Nuclear Information System (INIS)

    Khattak, A.M.; Khan, H.U.; Mashud, I.U.; Ashiq, B.; Shah, S.H.

    2006-01-01

    Screening women for asymptomatic bacteriuria (ASB) on the first antenatal visit is a part of standard obstetric care. Treating women with ASB decreases the chances of maternal and foetal complications. This study was conducted to find out the spectrum of urine pathogens and their drug susceptibility pattern for ASB during pregnancy. The study was conducted in the Basic Medical Sciences Institute, Jinnah Postgraduate Medical Centre Karachi, from September 2001 to March 2002. Two hundred and ninety women, apparently normal with confirmed pregnancy, were registered. A voided midstream urine specimen was collected and cultured. A significant growth i.e. >105 organisms/ml was identified with Analytical Profile Index 20 tests for identification of Enterobacteriaceae (API-20-E) and for Gram positive cocci by other standard methods. The prevalence of ASB was found 6.2%. Antimicrobial sensitivity was determined by disc diffusion Kirby Bauyer method after matching the turbidity with 0.5 McFarland's standard. Most of the recommended drugs were found to have encouraging results, however, Escherichia coli showed 66.67% resistance to ampicillins and sulphonamides. Enterobacters showed 100% resistance to ampicillins, cephalosporins and nitrofurantoin. Staphylococcus saprophyticus showed 66.67% resistance to ampicillins and sulphonamides. It was concluded that detection of ASB during pregnancy and appropriate use of antimicrobials is only possible after culture of urine. Empirical anti-microbial therapy cannot be relied upon because of possible risk of resistance. (author)

  10. VanA and VanB Positive Vancomycin-resistant Staphylococcus aureus Among Clinical Isolates in Shiraz, South of Iran

    Directory of Open Access Journals (Sweden)

    Sareh Saadat

    2014-09-01

    Full Text Available Objective: The purpose of this study was to determine the prevalence of vancomycin-resistant Staphylococcus aureus isolated from clinical samples in Shiraz hospitals. Methods: From March to December 2012, 100 S. aureus isolates (mainly from wound and blood were collected from three hospitals in Shiraz, south of Iran. After identification of Staphylococcus aureus by biochemical, microbiological and molecular methods, antibiotic susceptibility testing was performed by Kirby-Bauer disc diffusion test for 13 different antibiotics. Vancomycin-resistant Staphylococcus aureus isolates were determined by vancomycin agar screening test and PCR for vancomycin resistant genes (vanA and vanB. Results: The lowest and highest resistance was seen for quinupristin-dalfopristin (n=1 and ampicillin (n=95, respectively. Vancomycin agar screening test showed that 37 isolates can grow on these media. Further study by PCR also detected vanA and/or vanB genes in all of these strains. Also, 19 isolates showed either vanA or vanB but were susceptible according to vancomycin agar screening test. In total, vanA and vanB resistant genes were detected in 34% and 37% of clinical isolates, respectively. Conclusion: The results showed that the frequency of vancomycin resistance genes (vanA, vanB is very high in Staphylococcus aureus strains isolated from patients in south of Iran. Thus, urgent interventions are needed to keep the emergence and transmission of these isolates to a minimum.

  11. Anti-Microbial Resistance Profiles Of E. Coli Isolated From Free Range Chickens In Urban And Rural Environments Of Imo State, Nigeria

    Directory of Open Access Journals (Sweden)

    Okoli IC

    2006-07-01

    Full Text Available Information on the resistance profiles of normal intestinal flora of extensively reared chickens that hardly receive antibiotics in the developing countries can serve as important means of understanding the human/animal pathogens drug resistance interactions in the zone. Three hundred and fifty E. coli isolates, comprising 133 from urban and 217 from rural sites in Imo state, Nigeria, were screened for anti-microbial resistance profile against 10 antibiotics using the disc diffusion method. Overall percentage anti-microbial resistance of the isolates against cotrimoxazole, ampicillin, nalidixic acid, chloramphenicol and nitrofurantoin (72–92% were very high. The organisms were highly sensitive to other antibiotics, especially gentamicin and ciprofloxacin. The 59.5% overall mean percentage resistance recorded at the urban area was significantly higher than the 46.8% recorded at the rural area (p<0.05. With the exception of the figures for cotrimoxazole and ampicillin, resistance values obtained against the other antibiotics at the urban sites were statistically higher than those obtained at the rural sites (p<0.05. Zero resistance was recorded against the fluoroquinolones, norfloxacin and ciprofloxacin at all the rural sites except at Enyiogwugwu where a 28.6% resistance was obtained against norfloxacin. Since free-range chickens rarely receive antibiotic medication, it is concluded that the highly resistant E. coli organisms isolated from them may be reflecting consequences of human drug use in the study areas.

  12. Prevalence and molecular characterization of pyrazinamide resistance among multidrug-resistant Mycobacterium tuberculosis isolates from Southern China.

    Science.gov (United States)

    Pang, Yu; Zhu, Damian; Zheng, Huiwen; Shen, Jing; Hu, Yan; Liu, Jie; Zhao, Yanlin

    2017-11-06

    Pyrazinamide (PZA) plays a unique role in the treatment for multidrug-resistant tuberculosis (MDR-TB) in both first- and second-line regimens. The aim of this study was to investigate the prevalence and molecular characterization of PZA resistance among MDR-TB isolates collected in Chongqing municipality. A total of 133 MDR-TB isolates were collected from the smear-positive tuberculosis patients who were registered at local TB dispensaries of Chongqing. PZA susceptibility testing was determined with a Bactec MGIT 960 system. In addition, the genes conferring for PZA resistance were screened by DNA sequencing. Of these 133 MDR-TB isolates, 83 (62.4%) were determined as PZA-resistant by MGIT 960. In addition, streptomycin- (83.1% vs. 56.0%, P < 0.01), ofloxacin- (51.8% vs. 18.0%, P < 0.01), kanamycin- (22.9% vs. 2.0%, P < 0.01), amikacin- (18.1% vs. 2.0%, P = 0.01), capromycin-resistance (12.0% vs. 2.0%, P = 0.05), were more frequently observed among PZA-resistant isolates compared with PZA-susceptible isolates. Sequence analysis revealed that 73 out of 83 (88.0%) MDR strains harbored a mutation located in the pncA gene, including 55 (75.3%, 55/73) of single nucleotide substitutions and 18 (24.7%, 18/73) of frameshift mutation, while no genetic mutation associated with PZA resistance was found in the rpsA gene. The pncA expression of strains harboring substitution from A to G at position -11 in the promoter region of pncA was significantly lower than that of H37Rv (P < 0.01). In conclusion, our data have demonstrated that the analysis of the pncA gene rather than rpsA gene provides rapid and accurate information regarding PZA susceptibility for MDR-TB isolates in Chongqing. In addition, loss of pncA expression caused by promoter mutation confers PZA resistance in MDR-TB isolates.

  13. Microbial Quality and Antimicrobial Resistance of Staphylococcus aureus and Escherichia coli Isolated from Traditional Ice Cream in Hamadan City, West of Iran

    Directory of Open Access Journals (Sweden)

    Ghadimi

    2016-10-01

    Full Text Available Background Foodborne diseases are one of the most major public health concerns in the world. Ice cream flavors, especially the traditional ones, have a high potential for the transmission of the pathogenic bacteria. Objectives The aim of the current study is to investigate the microbiological status and antibiotic resistance of Escherichia coli and Staphylococcus aureus isolated from traditional ice cream. Methods A total of 114 traditional ice creams were randomly collected from retail stores in Hamadan, Iran. Samples were investigated for the total bacteria count (TBC and contamination with the coliform, Enterobacteriaceae and Salmonella as well as the prevalence and antibiotic resistance of Staphylococcus aureus and Escherichia coli. Results The count of Enterobacteriaceae (89.47%, mold and yeast (50%, coliform (40.35% and TBC (28.07% of samples was higher than Iran’s standard. Salmonella was not found in all samples. The prevalence of Staphylococcus aureus and Escherichia coli was confirmed in 50% and 37.72% of samples, respectively. Collected Escherichia coli had the highest antibiotic resistance to ampicillin 67.44%, nalidixic acid 39.53% and co-amoxyclav 37.21%. Staphylococcus aureus showed a higher antibiotic resistance to penicillin (82.46% of isolates and oxacillin (38% of isolates. Conclusions The results showed high contamination levels of traditional ice cream with spoilage and pathogenic microorganisms as well as considerable resistance of isolated Staphylococcus aureus and Escherichia coli to common antibiotics. Therefore, good hygienic practice during processing and personal hygiene should be considered to improve the quality of ice cream. In addition, it is necessary that the regulatory authorities carry out more control on the production centers of traditional ice cream.

  14. Sponge Microbiota are a Reservoir of Functional Antibiotic Resistance Genes

    DEFF Research Database (Denmark)

    Versluis, Dennis; de Evgrafov, Mari Cristina Rodriguez; Sommer, Morten Otto Alexander

    2016-01-01

    examined sponges as a reservoir of antibiotic resistance. Sponges could be important in this respect because they often contain diverse microbial communities that have the capacity to produce bioactive metabolites. Here, we applied functional metagenomics to study the presence and diversity of functional...... resistance genes in the sponges Aplysina aerophoba, Petrosia ficiformis, and Corticium candelabrum. We obtained 37 insert sequences facilitating resistance to D-cycloserine (n = 6), gentamicin (n = 1), amikacin (n = 7), trimethoprim (n = 17), chloramphenicol (n = 1), rifampicin (n = 2) and ampicillin (n = 3......-resistance-conferring β-lactamase was identified in the genus Pseudovibrio with 41% global amino acid identity to the closest β-lactamase with demonstrated functionality, and subsequently classified into a new family termed PSV. Taken together, our results show that sponge microbiota host diverse and novel resistance...

  15. Prevalence of quinolone resistance mechanisms in Enterobacteriaceae producing acquired AmpC β-lactamases and/or carbapenemases in Spain.

    Science.gov (United States)

    Machuca, Jesús; Agüero, Jesús; Miró, Elisenda; Conejo, María Del Carmen; Oteo, Jesús; Bou, Germán; González-López, Juan José; Oliver, Antonio; Navarro, Ferran; Pascual, Álvaro; Martínez-Martínez, Luis

    2017-10-01

    Quinolone resistance in Enterobacteriaceae species has increased over the past few years, and is significantly associated to beta-lactam resistance. The aim of this study was to evaluate the prevalence of chromosomal- and plasmid-mediated quinolone resistance in acquired AmpC β-lactamase and/or carbapenemase-producing Enterobacteriaceae isolates. The presence of chromosomal- and plasmid-mediated quinolone resistance mechanisms [mutations in the quinolone resistance determining region (QRDR) of gyrA and parC and qnr, aac(6')-Ib-cr and qepA genes] was evaluated in 289 isolates of acquired AmpC β-lactamase- and/or carbapenemase-producing Enterobacteriaceae collected between February and July 2009 in 35 Spanish hospitals. Plasmid mediated quinolone resistance (PMQR) genes were detected in 92 isolates (31.8%), qnr genes were detected in 83 isolates (28.7%), and the aac(6')-Ib-cr gene was detected in 20 isolates (7%). qnrB4 gene was the most prevalent qnr gene detected (20%), associated, in most cases, with DHA-1. Only 14.6% of isolates showed no mutations in gyrA or parC with a ciprofloxacin MIC of 0.5mg/L or higher, whereas PMQR genes were detected in 90% of such isolates. qnrB4 gene was the most prevalent PMQR gene detected, and was significantly associated with acquired AmpC β-lactamase DHA-1. PMQR determinants in association with other chromosomal-mediated quinolone resistance mechanisms, different to mutations in gyrA and parC (increased energy-dependent efflux, altered lipopolysaccharide or porin loss), could lead to ciprofloxacin MIC values that exceed breakpoints established by the main international committees to define clinical antimicrobial susceptibility breakpoints. Copyright © 2016 Elsevier España, S.L.U. y Sociedad Española de Enfermedades Infecciosas y Microbiología Clínica. All rights reserved.

  16. Prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece

    Directory of Open Access Journals (Sweden)

    T. Lazou

    2017-09-01

    Full Text Available The study was conducted to determine the prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece. Faecal specimens were collected from 181 dogs and 132 cats. Culture methods were applied to detect Campylobacter spp. and a multiplex PCR assay to identify the isolates. The prevalence of Campylobacter spp. was 3.8% in dogs and 12.1% in cats. The most frequently identified Campylobacter species in dogs was C. jejuni (57.1% followed by C. coli (42.9%. All feline isolates were identified as C. jejuni apart from one isolate that was characterised as Campylobacter-like organism. Gender, age, breed, life style, diarrhoea and type of diet of dogs and cats did not significantly correlate (P>0.05 with Campylobacter isolation. Possible predictors regarding Campylobacter presence in dogs and cats were assessed by binary logistic regression. A tendency towards higher risk for Campylobacter contamination was observed in dogs consuming a homemade diet and in outdoor cats. Disk diffusion method revealed that all Campylobacter isolates exhibited susceptibility to erythromycin, gentamicin and streptomycin. Contrariwise, 66.7% of canine isolates were resistant concurrently to tetracycline and quinolones and 59.0%, 13.6% and 4.5% of feline isolates were resistant to quinolones, quinolones along with tetracycline and tetracycline alone, respectively

  17. CRISPRs: Molecular Markers for Tracking Antibiotic Resistant Strains of Salmonella Enterica

    Science.gov (United States)

    2014-01-01

    15.4 Sulfonamides 29.2 5.7 21.6 24.6 10.9 15.8 15.4 Trimethoprim 6.8 5 14.3 10.7 5.6 11.1 7.7 Gentamicin 4.8 7.5 7.1 4.6 2.8 4.8 7.7 Kanamycin 1.7 2.6...Historically, ampicillin and trimethoprim -sulfa have been used to treat salmonellosis. The latest NARMS Salmonella data extend through isolates analyzed... determinants that encode for resistance to widely used antibiotics (e.g. sulfonamide and tetracyclines). Historical data show that resistance to the older

  18. Antimicrobials in small-scale urban pig farming in a lower middle-income country - arbitrary use and high resistance levels.

    Science.gov (United States)

    Ström, G; Boqvist, S; Albihn, A; Fernström, L-L; Andersson Djurfeldt, A; Sokerya, S; Sothyra, T; Magnusson, U

    2018-01-01

    Administration of antimicrobials to food-producing animals is regarded as a major contributor to the overall emergence of resistance in bacteria worldwide. However, few data are available on global antimicrobial use and resistance (AMR) in livestock, especially from low- and middle-income countries. We conducted a structured survey of 91 small-scale pig farms in the urban and peri-urban areas of Phnom Penh, Cambodia, to assess the farmers' knowledge, attitudes and practices related to antimicrobial use in their pig production. Commensal Escherichia coli was isolated from three healthy pigs from each farm ( n  = 261) and susceptibility testing was performed against 14 antimicrobials, using broth microdilution. Univariable logistic regression and generalized linear mixed models were used to investigate potential associations between farm characteristics, management factors and resistance to different types of antimicrobials. We found a widespread and arbitrary use of antimicrobials, often based on the farmer's own judgment. Around 66% of the farmers reported frequently self-adjusting treatment duration and dosage, and 45% had not heard about the term 'antimicrobial resistance'. The antimicrobials most commonly mentioned or kept by the farmers were amoxicillin, tylosin, gentamicin and colistin. Around 37% used a feed concentrate that contained antimicrobials, while antimicrobials for humans were used as a last-line treatment by 10% of the farmers. Commensal E. coli exhibited high prevalence of resistance to several antimicrobials considered to be of critical importance for human medicine, including ampicillin, ciprofloxacin and colistin, and multidrug-resistance was found in 79% of the samples. Higher prevalence of resistance was observed on farms that administered prophylactic antimicrobials and on farms that treated the entire group or herd in the event of disease. The widespread and arbitrary use of antimicrobials in pig farming in Cambodia is highly worrisome

  19. Increasing Prevalence of Pediatric Drug-Resistant Tuberculosis in Mumbai, India and its Outcome.

    Science.gov (United States)

    Shah, Miti A; Shah, Ira

    2018-03-24

    B.J.Wadia Hospital,Mumbai OBJECTIVE:: The prevalence and type of DR-TB was evaluated pre and post-2013 and outcome was studied. Descriptive retrospective study. Children were defined as having DR-TB on the basis of GeneXpert or LPA and/or drug susceptibility testing(DST) of MTB grown on culture or from contact's DST. The prevalence of DR-TB was 110 out of 1145 cases (9.6%) which showed an increase, compared to 5.6% pre-2010 and 7% in 2010-2013(p=0.014408). Twenty-two (20%) children had pulmonary-TB(PTB) and 88(80%) had extra-pulmonary-TB(EPTB) with disseminated-TB being the most common presentation in 31 children (28.18%). Ninety-six (87.3%) children were bacteriologically confirmed TB cases and 14 (12.7%) were clinically diagnosed-TB and treated as per contact DST. Eight (7.2%) cases were monoresistant, 7 (6.3%) polyresistant, MDR-TB seen in 28 (25.45%) patients, 32 (29.09%) had pre-XDR-TB, 9 (8.18%) had XDR-TB and 12 (10.9%) were rifampicin resistant. Ethionamide resistance increased from 26.1% pre-2013 to 60.8% post-2013(p=0.014408) and ofloxacin resistance rose from 30.4% pre-2010, to 47.6% in 2010-2013 and 56.9% post-2013(p=0.080863). Moxifloxacin resistance showed an acute rise from 8.7% pre-2010, to 46% in 2010-2013 and 57% post-2013(p=0.000275). Thirty-three (30%) patients had completed their treatment, 21(19.09%) were lost to follow up and 56(50.09%) patients are still on treatment.

  20. Molecular characterization of mutations associated with resistance to second-line tuberculosis drug among multidrug-resistant tuberculosis patients from high prevalence tuberculosis city in Morocco.

    Science.gov (United States)

    Oudghiri, Amal; Karimi, Hind; Chetioui, Fouad; Zakham, Fathiah; Bourkadi, Jamal Eddine; Elmessaoudi, My Driss; Laglaoui, Amin; Chaoui, Imane; El Mzibri, Mohammed

    2018-02-27

    The emergence of extensively drug-resistant tuberculosis (XDR-TB) has raised public health concern for global TB control. Although multi drug-resistant tuberculosis (MDR- TB) prevalence and associated genetic mutations in Morocco are well documented, scarce information on XDR TB is available. Hence, the evaluation of pre-XDR and XDR prevalence, as well as the mutation status of gyrA, gyrB, rrs, tlyA genes and eis promoter region, associated with resistance to second line drugs, is of great value for better management of M/XDR TB in Morocco. To evaluate pre-XDR and XDR prevalence, as well as the mutation status of gyrA, gyrB, rrs, tlyA genes and eis promoter region, associated with resistance to second line drug resistance, in 703 clinical isolates from TB patients recruited in Casablanca, and to assess the usefulness of molecular tools in clinical laboratories for better management of M/XDR TB in Morocco. Drug susceptibility testing (DST) was performed by the proportional method for first line drugs, and then the selected MDR isolates were tested for second line drugs (Ofloxacin, Kanamycin, Amikacin and Capreomycin). Along with DST, all samples were subjected to rpoB, katG and p-inhA mutation analysis by PCR and DNA sequencing. MDR isolates as well as 30 pan-susceptible strains were subjected to PCR and DNA sequencing of gyrA, gyrB, rrs, tlyA genes and eis promoter, associated with resistance to fluoroquinolones and injectable drugs. Among the 703 analysed strains, 12.8% were MDR; Ser531Leu and Ser315Thr being the most common recorded mutations within rpoB and katG genes associated with RIF and INH resistance respectively. Drug susceptibility testing for second line drugs showed that among the 90 MDR strains, 22.2% (20/90) were resistant to OFX, 2.22% (2/90) to KAN, 3.33% (3/90) to AMK and 1.11% (1/90) to CAP. Genotypic analysis revealed that 19 MDR strains harbored mutations in the gyrA gene; the most recorded mutation being Asp91Ala accounting for 47.6% (10

  1. Occurrence and antimicrobial resistance of Salmonella spp. isolated from food other than meat in Poland

    Directory of Open Access Journals (Sweden)

    Łukasz Mąka

    2015-09-01

    Full Text Available Introduction and objectives. Antimicrobial resistance of pathogenic bacteria can result in therapy failure, increased hospitalization, and increased risk of death. In Poland, [i]Salmonella[/i] spp. is a major bacterial agent of food poisoning. The majority of studies on antimicrobial resistance in [i]Salmonella[/i] spp. isolates from food have focused on meat products as the source of this pathogen. In comparison, this study examines the antimicrobial susceptibility of [i]Salmonella[/i] spp. isolated from retail food products other than meat in Poland. Materials and Methods. A collection of 122 [i]Salmonella[/i] spp. isolates were isolated in Poland in 2008–2012 from foods other than meat: confectionery products, eggs, fruits, vegetables, spices and others. The resistance of these isolates to 19 antimicrobial agents was tested using the disc diffusion method. Results. [i]Salmonella[/i] Enteritidis was the most frequently identified serotype (84.4% of all tested isolates. In total, 42.6% of the [i]Salmonella[/i] spp. isolates were resistant to antibiotics. The highest frequencies of resistance were observed in isolates from 2009 (60.0% and 2012 (59.5%. Antibiotic resistance was most prevalent among [i]Salmonella[/i] spp. isolated from egg-containing food samples (68.0%. Resistance to nalidixic acid was most common and was observed in 35.2% of all tested isolates. The isolates were less frequently resistant to sulphonamides (6.6%, ampicillin (4.9%, amoxicillin/clavulanic acid (2.5% and to streptomycin, cefoxitin, gentamicin and tetracycline (1.6%. Only one isolate showed resistance to chloramphenicol. Four isolates displayed multiresistance. Conclusions. Although, the level of resistance and multiresistance of [i]Salmonella[/i] spp. isolates from non-meat foods was lower than in those from meat products, the presence of these resistant bacteria poses a real threat to the health of consumers.

  2. Prevalence, incidence burden, and clinical impact of healthcare-associated infections and antimicrobial resistance: a national prevalent cohort study in acute care hospitals in Greece

    Directory of Open Access Journals (Sweden)

    Kritsotakis EI

    2017-10-01

    Full Text Available Evangelos I Kritsotakis,1 Flora Kontopidou,2 Eirini Astrinaki,3 Maria Roumbelaki,4 Eleni Ioannidou,5 Achilles Gikas6 1School of Health and Related Research, Faculty of Medicine, Dentistry and Health, University of Sheffield, Sheffield, UK; 2Healthcare Associated Infections and Antimicrobial Resistance Office, Hellenic Center for Disease Control and Prevention, Athens, 3Infection Control Committee, University Hospital of Heraklion, 4Department of Nursing, Technological Educational Institute of Crete, Heraklion, 5Department of Internal Medicine, Rethymnon General Hospital, Rethymnon, 6Department of Internal Medicine and Infectious Diseases, University Hospital of Heraklion, Heraklion, Greece Background: Assessing the overall burden of healthcare-associated infections (HAIs is challenging, but imperative in evaluating the cost-effectiveness of infection control programs. This study aimed to estimate the point prevalence and annual incidence of HAIs in Greece and assess the excess length of stay (LOS and mortality attributable to HAIs, overall and for main infection sites and tracer antimicrobial resistance (AMR phenotypes and pathogens.Patients and methods: This prevalent cohort study used a nationally representative cross-section of 8,247 inpatients in 37 acute care hospitals to record active HAIs of all types at baseline and overall LOS and in-hospital mortality up to 90 days following hospital admission. HAI incidence was estimated using prevalence-to-incidence conversion methods. Excess mortality and LOS were assessed by Cox regression and multistate models correcting for confounding and time-dependent biases.Results: HAIs were encountered with daily prevalence of 9.1% (95% confidence interval [CI] 7.8%–10.6%. The estimated annual HAI incidence was 5.2% (95% CI 4.4%–5.3%, corresponding to approximately 121,000 (95% CI 103,500–123,700 affected patients each year in the country. Ninety-day mortality risk was increased by 80% in patients

  3. Neonatal Escherichia coli Bloodstream Infections: Clinical Outcomes and Impact of Initial Antibiotic Therapy.

    Science.gov (United States)

    Bergin, Stephen P; Thaden, Joshua T; Ericson, Jessica E; Cross, Heather; Messina, Julia; Clark, Reese H; Fowler, Vance G; Benjamin, Daniel K; Hornik, Christoph P; Smith, P Brian

    2015-09-01

    Escherichia coli is a common cause of bloodstream infections (BSIs) in infants and is associated with high mortality and morbidity among survivors. The clinical significance of antibiotic resistance and timing of appropriate antimicrobial therapy in this population is poorly understood. We identified all infants with E. coli BSIs discharged from 77 neonatal intensive care units managed by the Pediatrix Medical Group in 2012. We used multivariable logistic regression to evaluate the association between 30-day mortality and ampicillin-resistant E. coli BSI, as well as the number of active empiric antimicrobial agents administered, controlling for gestational age, small-for-gestational age status, early-onset versus late-onset BSI, oxygen requirement, ventilator support and inotropic support on the day of the first positive blood culture. We identified 258 episodes of E. coli BSI, including 123 (48%) ampicillin-resistant isolates. Unadjusted 30-day mortality did not significantly differ between infants with ampicillin-resistant versus ampicillin-susceptible E. coli BSI [11 of 123 (9%) vs. 7 of 135 (5%); P = 0.33; adjusted odds ratio = 1.37 (95% confidence interval: 0.39, 4.77)]. Among ampicillin-resistant E. coli BSIs, 30-day mortality was not significantly lower for infants treated with at least one empiric antimicrobial active against ampicillin-resistant E. coli versus infants receiving no active empiric agent [adjusted odds ratio = 1.50 (0.07, 33.6)]. In this population of infants with E. coli BSI, ampicillin resistance was not associated with significantly increased mortality. Among the subset of infants with ampicillin-resistant E. coli, appropriate empirical antibiotic therapy was not associated with lower mortality.

  4. Identification of aminoglycoside and β-lactam resistance genes from within an infant gut functional metagenomic library.

    Directory of Open Access Journals (Sweden)

    Fiona Fouhy

    Full Text Available The infant gut microbiota develops rapidly during the first 2 years of life, acquiring microorganisms from diverse sources. During this time, significant opportunities exist for the infant to acquire antibiotic resistant bacteria, which can become established and constitute the infant gut resistome. With increased antibiotic resistance limiting our ability to treat bacterial infections, investigations into resistance reservoirs are highly pertinent. This study aimed to explore the nascent resistome in antibiotically-naïve infant gut microbiomes, using a combination of metagenomic approaches. Faecal samples from 22 six-month-old infants without previous antibiotic exposure were used to construct a pooled metagenomic library, which was functionally screened for ampicillin and gentamicin resistance. Our library of ∼220Mb contained 0.45 ampicillin resistant hits/Mb and 0.059 gentamicin resistant hits/Mb. PCR-based analysis of fosmid clones and uncloned metagenomic DNA, revealed a diverse and abundant aminoglycoside and β-lactam resistance reservoir within the infant gut, with resistance determinants exhibiting homology to those found in common gut inhabitants, including Escherichia coli, Enterococcus sp., and Clostridium difficile, as well as to genes from cryptic environmental bacteria. Notably, the genes identified differed from those revealed when a sequence-driven PCR-based screen of metagenomic DNA was employed. Carriage of these antibiotic resistance determinants conferred substantial, but varied (2-512x, increases in antibiotic resistance to their bacterial host. These data provide insights into the infant gut resistome, revealing the presence of a varied aminoglycoside and β-lactam resistance reservoir even in the absence of selective pressure, confirming the infant resistome establishes early in life, perhaps even at birth.

  5. Bacterial Cheating Limits the Evolution of Antibiotic Resistance

    Science.gov (United States)

    Yurtsev, Eugene; Xiao Chao, Hui; Datta, Manoshi; Artemova, Tatiana; Gore, Jeff

    2012-02-01

    The emergence of antibiotic resistance in bacteria is a significant health concern. Bacteria can gain resistance to the antibiotic ampicillin by acquiring a plasmid carrying the gene beta-lactamase, which inactivates the antibiotic. This inactivation may represent a cooperative behavior, as the entire bacterial population benefits from removal of the antibiotic. The presence of a cooperative mechanism of resistance suggests that a cheater strain - which does not contribute to breaking down the antibiotic - may be able to take advantage of resistant cells. We find experimentally that a ``sensitive'' bacterial strain lacking the plasmid conferring resistance can invade a population of resistant bacteria, even in antibiotic concentrations that should kill the sensitive strain. We use a simple model in conjunction with difference equations to explain the observed population dynamics as a function of cell density and antibiotic concentration. Our experimental difference equations resemble the logistic map, raising the possibility of oscillations or even chaotic dynamics.

  6. Computational Analysis of Pharmacokinetic Behavior of Ampicillin

    Directory of Open Access Journals (Sweden)

    Mária Ďurišová

    2016-07-01

    Full Text Available orrespondence: Institute of Experimental Pharmacology and Toxicology, Slovak Academy of Sciences, 841 04 Bratislava, Slovak Republic. Phone + 42-1254775928; Fax +421254775928; E-mail: maria.durisova@savba.sk 84 RESEARCH ARTICLE The objective of this study was to perform a computational analysis of the pharmacokinetic behavior of ampicillin, using data from the literature. A method based on the theory of dynamic systems was used for modeling purposes. The method used has been introduced to pharmacokinetics with the aim to contribute to the knowledge base in pharmacokinetics by including the modeling method which enables researchers to develop mathematical models of various pharmacokinetic processes in an identical way, using identical model structures. A few examples of a successful use of the modeling method considered here in pharmacokinetics can be found in full texts articles available free of charge at the website of the author, and in the example given in the this study. The modeling method employed in this study can be used to develop a mathematical model of the pharmacokinetic behavior of any drug, under the condition that the pharmacokinetic behavior of the drug under study can be at least partially approximated using linear models.

  7. Prevalence, antimicrobial susceptibility and virulotyping of Listeria species and Listeria monocytogenes isolated from open-air fish markets.

    Science.gov (United States)

    Jamali, Hossein; Paydar, Mohammadjavad; Ismail, Salmah; Looi, Chung Yeng; Wong, Won Fen; Radmehr, Behrad; Abedini, Atefeh

    2015-07-25

    The aim of this study was to investigate the prevalence and characterization of Listeria species and Listeria monocytogenes isolated from raw fish and open-air fish market environments. Eight hundred and sixty two samples including raw fish and fish market environments (samples from workers' hands, workers' knives, containers and work surface) were collected from the open-air fish markets in the Northern region of Iran. Listeria spp. was isolated from 104/488 (21.3%) raw fish and 29/374 (7.8%) of samples from open-air fish market environment. The isolates of Listeria spp. included L. innocua (35.3%), L. monocytogenes (32.3%), L. seeligeri (18%), and L. ivanovii (14.3%). Of the 43 L. monocytogenes isolates, 31 (72.1%), 10 (23.3%) and 2 (4.7%) belonged to serovars 1/2a, 4b, and 1/2b, respectively. The inlA, inlB, inlC, inlJ, actA, hlyA, iap, plcA, and prfA virulence-associated genes were detected in almost all of the L. monocytogenes isolates. The Listeria spp. isolates showed high resistance against tetracycline (23.3%), penicillin G, and cephalothin (each 16.5%). Besides, we observed significant resistance level to tetracycline (27.9%), ampicillin (20.9%), cephalothin, penicillin G, and streptomycin (each 16.3%) in the L. monocytogenes isolates. All of the isolates were susceptible to cefotaxime, gentamicin, kanamycin, and pefloxacin. We found that tetM (25.6%), tetA (23.3%), ampC (14%), and penA (11.6%) were the most prevalent antibiotic resistance genes in the L. monocytogenes isolates. Recovery of potentially pathogenic L. monocytogenes from raw fish and environment of open-air fish market samples in this study is a convincing evidence for the zoonotic potential of listeriosis.

  8. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter

    DEFF Research Database (Denmark)

    Li, Lili; Olsen, Rikke Heidemann; Ye, Lei

    2016-01-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bac......The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram......-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across.......6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor– encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance...

  9. Characterization of multiple antibiotic resistant clinical strains of Staphylococcus isolated from pregnant women vagina.

    Science.gov (United States)

    Hetsa, Bakwena Ashton; Kumar, Ajay; Ateba, Collins Njie

    2018-03-29

    Vagina which is one of the important reservoirs for Staphylococcus and in pregnant women pathogenic strains may infect the child during the birth or by vertical transmission. A total of 68 presumptive Staphylococcus strains isolated from human vagina were found to be gram-positive cocci, and only 32 (47%) isolates were found beta-hemolytic. Matrix-assisted laser desorption/ionization time-of-flight mass-spectrometry (MALDI-TOF MS) results confirmed 33 isolates belonged to Staphylococcus which consisting of 6 species, i.e., S. aureus (14), S. vitulinus (7), S. epidermidis (4), S cohnii (3), S. equorum (3), and S. succinus (2). Further, the result of antibiotic susceptibility tests showed that large proportions (76%-100%) of the isolates were resistant to multiple antibiotics and more often resistant to penicillin (100%), ampicillin (100%), oxacillin (97%), oxytetracycline (97%), vancomycin (97%), rifampin (85%), erythromycin (82%), and streptomycin (76%). In the present study, only the sec enterotoxin gene was detected in four S. aureus strains. DNA fingerprints of the 33 isolates that were generated using random amplified polymorphic DNA (RAPD) and enterobacterial repetitive intergenic consensus (ERIC) PCR analysis revealed great genetic relatedness of isolates. High prevalence of vaginal colonization with multiple antibiotic-resistant staphylococci among pregnant women was observed which were emerged from the single respective species clones that underwent evolution. The vertical transmission of these multiple antibiotic-resistant Staphylococcus species to the infant is possible; therefore, the findings of this study emphasize the need for regular surveillance of antibiotic-resistant bacterial strains in pregnant women in this area.

  10. Antimicrobial Resistance Patterns of Aerobic Organisms in Patients With Chronic Rhinosinusitis in Hamadan, Iran

    Directory of Open Access Journals (Sweden)

    Farahani

    2014-08-01

    Full Text Available Background Although effective strategies have been presented for preventing the spread of antibiotic resistance in Iran, recent reports have revealed increasing antibiotic resistance among children and adults. Objectives In the present study, we tried to provide a clear view of the antibiotic resistance status of aerobic organism as the most prevalent organism in patients with rhinosinusitis in Hamadan, Iran. Patients and Methods A cross-sectional study was conducted on 216 consecutive patients referred to otolaryngology clinics of Imam Khomeini and Besat University hospitals in Hamadan with clinical and radiological manifestations of chronic rhinosinusitis. Two specimens were taken from each patient; one from the affected maxillary sinus by aspiration and another from the middle meatus and nasopharynx by swabbing. Antibiotic susceptibility was tested by Kirby Bauer’s method; distributions of the isolates from middle meatus, nasopharynx and sinus were determined and the results of susceptibility test were analyzed. Results Among the aerobic organism from meatus and oropharynx, the most frequent isolated strains were alpha-hemolytic Streptococcus (15.4%, followed by coagulase-negative Staphylococcus (14.6%, and Branhamella catarrhalis (13.2%, and the most prevalent isolated strains from sinus were S. aureus (19.1%, Klebsiella pneumonia (16.4%, and B. catarrhalis (15.6%, respectively. The highest antibiotic susceptibility was detected to ciprofloxacin and ceftriaxone in most of the strains; susceptibility to ciprofloxacin ranged from 76.7% (for Pseudomonas aeruginosa to 100% (for Escherichia coli and Haemophilus influenza; susceptibility to ceftriaxone ranged from 71.4% (for Acinetobacter baumannii to 100% (for S. pneumonia, Corynebacterium diphtheria, and H. influenza. Besides, regardless of strain, the highest resistance was mostly detected to penicillin (ranging from 33.3% to 91.7%, and to ampicillin (ranging from 38.4% to 83.7%. Conclusions

  11. High prevalence and resistance rates to antibiotics in anaerobic bacteria in specimens from patients with chronic balanitis.

    Science.gov (United States)

    Boyanova, Lyudmila; Mitev, Angel; Gergova, Galina; Mateev, Grisha; Mitov, Ivan

    2012-08-01

    Aim of the study was to assess both prevalence and antibiotic resistance in anaerobic bacteria from glans penis skin of 70 adults. Strain susceptibility was determined by breakpoint susceptibility test or E test. In 9 asymptomatic, 48 untreated and 13 treated symptomatic patients, anaerobes were found in 22.2%, 70.8% and 53.3%, respectively. Gram-positive strains (GPAs) were 2.2-fold more common than Gram-negative ones. Prevalent Gram-negative (GNAs) and GPAs were Prevotella spp. and anaerobic cocci, respectively. Clostridium difficile strain was found in an untreated patient. In GNAs, resistance rates to amoxicillin, metronidazole, clindamycin, tetracycline, levofloxacin, and amoxicillin/clavulanate were 42.1, 0, 52.6, 53.3, 86.7 and 5.2%, respectively. In GPAs, the resistance rates to metronidazole, clindamycin, tetracycline, levofloxacin and amoxicillin/clavulanate were 18.2, 34.1, 52.6, 36.8 and 0%, respectively. In conclusion, anaerobes were 1.6-fold more frequent in untreated symptomatic patients compared with other patients, suggesting their participation in development of chronic balanitis. GPAs were more common than GNAs. The resistance rates to amoxicillin, clindamycin, tetracycline, and levofloxacin were high. Most active agents were metronidazole and amoxicillin/clavulanate. Resistance in anaerobes varies according to sites of specimens and years of study. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Potential enterotoxicity and antimicrobial resistance pattern of Aeromonas species isolated from pet turtles and their environment.

    Science.gov (United States)

    Wimalasena, S H M P; Shin, Gee-Wook; Hossain, Sabrina; Heo, Gang-Joon

    2017-05-23

    To investigate the potential enterotoxicity and antimicrobial resistance of aeromonads from pet turtles as a risk for human infection, one hundred and two Aeromonas spp. were isolated from the feces, skin and rearing environments of pet turtles and identified by biochemical and gyrB sequence analyses. Aeromonas enteropelogenes was the predominant species among the isolates (52.9%) followed by A. hydrophila (32.4%), A. dharkensis (5.9%), A. veronii (4.9%) and A. caviae (3.9%). Their potential enterotoxicities were evaluated by PCR assays for detecting genes encoding cytotoxic enterotoxin (act) and two cytotonic enterotoxins (alt and ast). 75.8% of A. hydrophila isolates exhibited the act + /alt + /ast + genotype, whereas 94.4% of A. enteropelogenes isolates were determined to be act - /alt - /ast - . In an antimicrobial susceptibility test, most isolates were susceptible to all tested antibiotics except amoxicillin, ampicillin, cephalothin, chloramphenicol and tetracycline. Non-susceptible isolates to penicillins (ampicillin and amoxicillin) and fluoroquinolones (ciprofloxacin and norfloxacin) were frequently observed among the A. enteropelogenes isolates. Few isolates were resistant to imipenem, amikacin, ceftriaxone and cefotaxime. Collectively, these results suggest that pet turtles may pose a public health risk of infection by enterotoxigenic and antimicrobial resistant Aeromonas strains.

  13. Clostridium difficile with Moxifloxacin/Clindamycin Resistance in Vegetables in Ohio, USA, and Prevalence Meta-Analysis

    Directory of Open Access Journals (Sweden)

    Alex Rodriguez-Palacios

    2014-01-01

    Full Text Available We (i determined the prevalence of Clostridium difficile and their antimicrobial resistance to six antimicrobial classes, in a variety of fresh vegetables sold in retail in Ohio, USA, and (ii conducted cumulative meta-analysis of reported prevalence in vegetables since the 1990s. Six antimicrobial classes were tested for their relevance as risk factors for C. difficile infections (CDIs (clindamycin, moxifloxacin or their clinical priority as exhaustive therapeutic options (metronidazole, vancomycin, linezolid, and tigecycline. By using an enrichment protocol we isolated C. difficile from three of 125 vegetable products (2.4%. All isolates were toxigenic, and originated from 4.6% of 65 vegetables cultivated above the ground (n=3; outer leaves of iceberg lettuce, green pepper, and eggplant. Root vegetables yielded no C. difficile. The C. difficile isolates belonged to two PCR ribotypes, one with an unusual antimicrobial resistance for moxifloxacin and clindamycin (lettuce and pepper; 027-like, A+B+CDT+; tcdC 18 bp deletion; the other PCR ribotype (eggplant, A+B+ CDT−; classic tcdC was susceptible to all antimicrobials. Results of the cumulative weighted meta-analysis (6 studies indicate that the prevalence of C. difficile in vegetables is 2.1% and homogeneous (P<0.001 since the first report in 1996 (2.4%. The present study is the first report of the isolation of C. difficile from retail vegetables in the USA. Of public health relevance, antimicrobial resistance to moxifloxacin/clindamycin (a bacterial-associated risk factor for severe CDIs was identified on the surface of vegetables that are consumed raw.

  14. Prevalence and genotypic relatedness of methicillin resistant Staphylococcus aureus in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    B A Fomda

    2014-01-01

    Full Text Available Background: Methicillin-resistant Staphylococcus aureus (MRSA is the most common multidrug-resistant pathogen causing nosocomial infections across the world. MRSA is not only associated with significant mortality and morbidity but also places a large economic strain on our health care system. MRSA isolates are also typically resistant to multiple, non-β-lactam antibiotics. We conducted a prospective study in a tertiary care hospital, to determine the prevalence of MRSA and to establish the clonal distribution of MRSA isolates recovered from various clinical specimens. Materials and Methods: Clinical samples were cultured and S. aureus was identified as per standard microbiological procedures. Susceptibility testing was done by agar disk diffusion and minimum inhibitory concentration (MIC method as recommended by CLSI. Methicillin resistance was detected by phenotypic methods namely, oxacillin disc diffusion (ODD, minimum inhibitory concentration (MIC of oxacillin, cefoxitin disk diffusion (CDD, and MIC of cefoxitin. Amplification of mecA gene by PCR was used as gold standard for detection of methicillin resistance. Pulsed field gel electrophoresis (PFGE typing was performed for MRSA isolates. Results: Out of 390 S. aureus isolates, 154 (39.48% isolates were MRSA and 236 (60.51% isolates were MSSA. Penicillin was the least effective antibacterial drug against the hospital associated S. aureus isolates with 85.64% resistance rate. All the isolates were susceptible to vancomycin. The MRSA showed a high level of resistance to all antimicrobials in general in comparison to the MSSA and the difference was statistically significant (P < 0.05. Multiplex PCR performed for all strains showed amplification of both the mecA and nucA genes in MRSA strains whereas MSSA strains showed amplification of only nucA gene. PFGE of these isolates showed 10 different patterns. Conclusion: Prevalence of MRSA in our hospital was 39.48%. Most of these isolates were

  15. Prevalence of etravirine-associated mutations in clinical samples with resistance to nevirapine and efavirenz.

    Science.gov (United States)

    Llibre, J M; Santos, J R; Puig, T; Moltó, J; Ruiz, L; Paredes, R; Clotet, B

    2008-11-01

    To evaluate the expected activity of etravirine in clinical samples, according to mutational patterns associated with decreased virological response (VR). We identified 1586 routine clinical samples with resistance-associated mutations (RAMs) to nevirapine and efavirenz (K103N 60%, Y181C 37%, G190A 27%, V108I 13%). Concerning in vitro identified etravirine mutations, samples with F227C, Y181I, M230L or L100I plus K103N plus Y181C were considered highly resistant. Samples with two RAMs plus Y181C or V179D or K101E or Y188L were considered intermediate. The prevalence of 13 RAMs recently associated with decreased VR to etravirine in the DUET clinical trials was also investigated. Most samples (69%) harboured more than one IAS-USA RAM to first-generation non-nucleoside reverse transcriptase inhibitors (NNRTIs): 42% harboured two RAMs, 21% three RAMs and 6% four or more RAMs. The prevalence of 13 specific etravirine RAMs was V179F 0.12%, G190S 3.9%, Y181V 0.1%, V106I 2.6%, V179D 1.6%, K101P 2.0%, K101E 10.1%, Y181C 36.9%, A98G 5.9%, V90I 6.9%, Y181I 3.6%, G190A 27% and L100I 9.1%. The five RAMs with the most impact on VR (V179F/D, G190S, Y181V and V106I) occurred less often. Overall, 8.2% of the samples had three or more etravirine RAMs and only 1.1% had four or more. In addition, patterns of RAMs previously associated with intermediate etravirine resistance were present in 26.2% of the samples, whereas 4.85% displayed patterns of high-degree resistance. For RAMs associated with decreased VR, etravirine resistance in routine clinical samples was lower than previously reported. High-degree resistance was uncommon, even in patients with resistance to first-generation NNRTIs, whereas low-to-intermediate etravirine resistance was more common.

  16. Rapid assessment of antimicrobial resistance prevalence using a Lot Quality Assurance sampling approach.

    Science.gov (United States)

    van Leth, Frank; den Heijer, Casper; Beerepoot, Mariëlle; Stobberingh, Ellen; Geerlings, Suzanne; Schultsz, Constance

    2017-04-01

    Increasing antimicrobial resistance (AMR) requires rapid surveillance tools, such as Lot Quality Assurance Sampling (LQAS). LQAS classifies AMR as high or low based on set parameters. We compared classifications with the underlying true AMR prevalence using data on 1335 Escherichia coli isolates from surveys of community-acquired urinary tract infection in women, by assessing operating curves, sensitivity and specificity. Sensitivity and specificity of any set of LQAS parameters was above 99% and between 79 and 90%, respectively. Operating curves showed high concordance of the LQAS classification with true AMR prevalence estimates. LQAS-based AMR surveillance is a feasible approach that provides timely and locally relevant estimates, and the necessary information to formulate and evaluate guidelines for empirical treatment.

  17. The phenotypic and genotypic characteristics of antibiotic resistance in Escherichia coli populations isolated from farm animals with different exposure to antimicrobial agents.

    Science.gov (United States)

    Mazurek, Justyna; Pusz, Paweł; Bok, Ewa; Stosik, Michał; Baldy-Chudzik, Katarzyna

    2013-01-01

    The aim of the study was to determine the influence of the presence or the absence of antibiotic input on the emergence and maintenance of resistance in commensal bacteria from food producing animals. The research material constituted E. coli isolates from two animal species: swine at different age from one conventional pig farm with antibiotic input in young pigs and from beef and dairy cattle originated from organic breeding farm. The sensitivity to 16 antimicrobial agents was tested, and the presence of 15 resistance genes was examined. In E. coli from swine, the most prevalent resistance was resistance to streptomycin (88.3%), co-trimoxazole (78.8%), tetracycline (57.3%) ampicillin (49.3%) and doxycycline (44.9%) with multiple resistance in the majority. The most commonly observed resistance genes were: bla(TEM) (45.2%), tetA (35.8%), aadA1 (35.0%), sul3 (29.5%), dfrA1 (20.4%). Differences in phenotypes and genotypes of E. coli between young swine undergoing prevention program and the older ones without the antibiotic pressure occurred. A disparate resistance was found in E. coli from cattle: cephalothin (36.9%), cefuroxime (18.9%), doxycycline (8.2%), nitrofurantoin (7.7%), and concerned mainly dairy cows. Among isolates from cattle, multidrug resistance was outnumbered by resistance to one or two antibiotics and the only found gene markers were: bla(SHV), (3.4%), tetA (1.29%), bla(TEM) (0.43%) and tetC (0.43%). The presented outcomes provide evidence that antimicrobial pressure contributes to resistance development, and enteric microflora constitutes an essential reservoir of resistance genes.

  18. Identification and antimicrobial resistance of microflora colonizing feral pig (Sus scrofa of Brazilian Pantanal

    Directory of Open Access Journals (Sweden)

    SS Lessa

    2011-06-01

    Full Text Available Antimicrobial resistance of bacteria is a worldwide problem affecting wild life by living with resistant bacteria in the environment. This study presents a discussion of outside factors environment on microflora of feral pigs (Sus scrofa from Brazilian Pantanal. Animals had samples collected from six different body sites coming from two separated geographic areas, Nhecolandia and Rio Negro regions. With routine biochemical tests and commercial kits 516 bacteria were identified, with 240 Gram-positive, predominantly staphylococci (36 and enterococci (186 strains. Among Gram-negative (GN bacteria the predominant specimens of Enterobacteriaceae (247 mainly represented by Serratia spp. (105, Escherichia coli (50, and Enterobacter spp. (40 and specimens not identified (7. Antimicrobial susceptibility was tested against 17 drugs by agar diffusion method. Staphylococci were negative to production of enterotoxins and TSST-1, with all strains sensitive towards four drugs and highest resistance toward ampicillin (17%. Enterococci presented the highest sensitivity against vancomycin (98%, ampicillin (94% and tetracycline (90%, and highest resistance pattern toward oxacillin (99%, clindamycin (83%, and cotrimoxazole (54%. In GN the highest resistance was observed with Serratia marcescens against CFL (98%, AMC (66% and AMP (60% and all drugs was most effective against E. coli SUT, TET (100%, AMP, TOB (98%, GEN, CLO (95%, CFO, CIP (93%. The results show a new profile of oxacillin-resistant enterococci from Brazilian feral pigs and suggest a limited residue and spreading of antimicrobials in the environment, possibly because of low anthropogenic impact reflected by the drug susceptibility profile of bacteria isolated.

  19. Characterization of Antimicrobial Resistance of Listeria monocytogenes Strains Isolated from a Pork Processing Plant and Its Respective Meat Markets in Southern China

    DEFF Research Database (Denmark)

    Li, Lili; Olsen, Rikke Heidemann; Ye, Lei

    2016-01-01

    A total of 78 Listeria monocytogenes isolates from a pork processing plant and the respective meat markets in southern China were examined. This number includes 60 isolates from pork at markets, 5 from cooked pork products at markets, 10 from pork at a processing plant, and 3 from food......, ampicillin/sulbactam, imipenem, ciprofloxacin, levofloxacin, trimethoprim/sulfamethoxazole, and vancomycin. Two isolates were resistant to five antimicrobials. Twelve strains carried tet(M) and located on Tn916. PFGE analysis revealed genetic heterogeneity among individual serotypes. Two predominant PFGE...... types were found persistent from the processing plant to markets indicating that these two types of isolates were able to survive under environmental adverse conditions from the processing plant to markets, which need to be monitored. Compared to samples from the pork processing plant, the prevalence of...

  20. Prevalence, Risk Factors, and Treatment Outcomes of Isoniazid- and Rifampicin-Mono-Resistant Pulmonary Tuberculosis in Lima, Peru.

    Directory of Open Access Journals (Sweden)

    Leonela Villegas

    Full Text Available Isoniazid and rifampicin are the two most efficacious first-line agents for tuberculosis (TB treatment. We assessed the prevalence of isoniazid and rifampicin mono-resistance, associated risk factors, and the association of mono-resistance on treatment outcomes.A prospective, observational cohort study enrolled adults with a first episode of smear-positive pulmonary TB from 34 health facilities in a northern district of Lima, Peru, from March 2010 through December 2011. Participants were interviewed and a sputum sample was cultured on Löwenstein-Jensen (LJ media. Drug susceptibility testing was performed using the proportion method. Medication regimens were documented for each patient. Our primary outcomes were treatment outcome at the end of treatment. The secondary outcome included recurrent episodes among cured patients within two years after completion of the treatment.Of 1292 patients enrolled, 1039 (80% were culture-positive. From this subpopulation, isoniazid mono-resistance was present in 85 (8% patients and rifampicin mono-resistance was present in 24 (2% patients. In the multivariate logistic regression model, isoniazid mono-resistance was associated with illicit drug use (adjusted odds ratio (aOR = 2.10; 95% confidence interval (CI: 1.1-4.1, and rifampicin mono-resistance was associated with HIV infection (aOR = 9.43; 95%CI: 1.9-47.8. Isoniazid mono-resistant patients had a higher risk of poor treatment outcomes including treatment failure (2/85, 2%, p-value<0.01 and death (4/85, 5%, p<0.02. Rifampicin mono-resistant patients had a higher risk of death (2/24, 8%, p<0.01.A high prevalence of isoniazid and rifampicin mono-resistance was found among TB patients in our low HIV burden setting which were similar to regions with high HIV burden. Patients with isoniazid and rifampicin mono-resistance had an increased risk of poor treatment outcomes.

  1. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries

    DEFF Research Database (Denmark)

    Österberg, Julia; Wingstrand, Anne; Jensen, Annette Nygaard

    2016-01-01

    content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol...... in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon...

  2. Investigation of antimicrobial resistance in Escherichia coli and enterococci isolated from Tibetan pigs.

    Directory of Open Access Journals (Sweden)

    Peng Li

    Full Text Available OBJECTIVES: This study investigated the antimicrobial resistance of Escherichia coli and enterococci isolated from free-ranging Tibetan pigs in Tibet, China, and analyzed the influence of free-ranging husbandry on antimicrobial resistance. METHODS: A total of 232 fecal samples were collected from Tibetan pigs, and the disk diffusion method was used to examine their antimicrobial resistance. Broth microdilution and agar dilution methods were used to determine minimum inhibitory concentrations for antimicrobial agents for which disks were not commercially available. RESULTS: A total of 129 E. coli isolates and 84 Enterococcus isolates were recovered from the fecal samples. All E. coli isolates were susceptible to amoxicillin/clavulanic acid, and 40.4% were resistant to tetracycline. A small number of isolates were resistant to florfenicol (27.9%, ampicillin (27.9%, sulfamethoxazole/trimethoprim (19.4%, nalidixic acid (19.4%, streptomycin (16.2% and ceftiofur (10.9%, and very low resistance rates to ciprofloxacin (7.8%, gentamicin (6.9%, and spectinomycin (2.3% were observed in E. coli. All Enterococcus isolates, including E. faecium, E. faecalis, E. hirae, and E. mundtii, were susceptible to amoxicillin/clavulanic acid and vancomycin, but showed high frequencies of resistance to oxacillin (92.8%, clindamycin (82.1%, tetracycline (64.3%, and erythromycin (48.8%. Resistance rates to florfenicol (17.9%, penicillin (6.0%, ciprofloxacin (3.6%, levofloxacin (1.2%, and ampicillin (1.2% were low. Only one high-level streptomycin resistant E. faecium isolate and one high-level gentamicin resistant E. faecium isolate were observed. Approximately 20% and 70% of E. coli and Enterococcus isolates, respectively, were defined as multidrug-resistant. CONCLUSIONS: In this study, E. coli and Enterococcus isolated from free-ranging Tibetan pigs showed relatively lower resistance rates than those in other areas of China, where more intensive farming practices are

  3. Distribution and Antimicrobial Resistance Profile of Yersinia Species Isolated From Chicken and Beef Meat

    Directory of Open Access Journals (Sweden)

    Shadi Aghamohammad

    2015-11-01

    Full Text Available Background: Foodborne diseases are widespread and growing public health problem in developed and developing countries. There are many microorganisms act as etiological agents for foodborne diseases such as Campylobacter spp., Listeria, Staphylococcos, Salmonella, Bacillus, Yersinia spp. High prevalence of gastrointestinal illness, including fatal cases attributable to yersiniosis, is also observed in many developing countries. Objectives: The purpose of this study was to investigate the prevalence of Yersinia enterocolitica and other Yersinia species in meat and chicken samples in various seasons and to determine their antibiotic resistance profile. Materials and Methods: To investigate the prevalence of Yersinia spp., a total of 450 samples, including chicken (n = 226 and beef meat (n = 224 were collected from supermarkets in Tehran. All samples were transported on ice to the laboratory and microbiological analysis was carried out within 2 hours after the collection. Susceptibility testing of bacterial strains was according to CLSI guideline at 28˚C by the disk diffusion assay. Results: From a total of 450 samples, (226 chickens and 224 beef meats, 70 (15.5% samples were positive for Yersinia spp. Of these isolates, (80% 56 species were identified as Y. enterocolitica, 8 (11% as Y. frederiksenii, 5 (7% as Y. intermedia and 1 (1.4% as Y. kristensenii. The highest rate of resistance was seen against cephalotin (98%, and ampicillin (52%. However, gentamicin and chloramphenicol were the most active antibiotics against the target cultures. Considering the season of isolation, Yersinia spp. were frequently isolated in autumn (52%, followed by spring (29%. Conclusions: Y. enterocolitica was the most spp. distributed among other species. Many factors, such as isolation assay, season, and geographical location play critical role in reports of increase or decrease in the prevalence of the Yersinia spp. all over the world. Our findings demonstrate that

  4. Bacterial Contamination of Iranian Paper Currency and Their Antibiotic Resistance Patterns

    Directory of Open Access Journals (Sweden)

    Farzaneh Firoozeh

    2017-11-01

    Full Text Available Background: Paper currency is used in exchange for services, and thisis why the circulation of paper currency from person to person expandsmicroorganisms. Objectives:: Paper banknotes would be a vector for transmission of pathogenic microorganisms through handling. This study aimed to determine bacterial contamination of Iranian paper currencies in circulation and their antibiotic resistance patterns. Materials and Methods: In this study, 337 currency notes of different value were collected from markets, shops, restaurants, bus stations and banks in Kashan, Iran during April 2015 to March 2016. The currency notes transferred to microbiology laboratory and were tested for bacterial contamination using standard microbiological methods. Antibiotic resistance patterns of isolated bacteria were determined by disk diffusion method according to CLSI standards. The results and data were analyzed using descriptive statistics. Results: Of 337 currency notes, 262 (77.7% were identified with bacterial contamination. Bacteria isolated from currency notes were as follows: Bacillus spp 113 (43.1%, coagulase-negative Staphylococci 99 (37.7%, Escherichia coli 20 (7.6%, Enterococci species 14 (5.3%, Staphylococcus aureus 8 (3.1%, Klebsiella spp 4 (1.5%, Shigella species 2 (0.8%, Pseudomonas species 2 (0.8%. The most and least contaminated currency notes were 50000 and 500 Rials, respectively. The most resistance rates in gram negative rods were against nalidixicacid, and ampicillin. Also most resistance rates in Staphylococcus aureus, coagulase-negative Staphylococci and Enterococci species were against ampicillin, erythromycin and tetracycline. Conclusion: Our study revealed that the bacterial contamination among Iranian paper currency in circulation especially those obtained from certain sources including shops and bus stations is high and in most cases these bacterial isolates are antibiotic resistant strains.

  5. RAW TROPICAL OYSTERS AS VEHICLES FOR MULTIDRUG-RESISTANT Vibrio parahaemolyticus

    Directory of Open Access Journals (Sweden)

    Renata Albuquerque COSTA

    2015-06-01

    Full Text Available The following study aimed to determine the antimicrobial susceptibility profile of Vibrio parahaemolyticus strains from fresh and frozen oysters Crassostrea rhizophorae sold in Fortaleza-Brazil. An antibiogram was performed on 87 isolates using nine antibiotics: gentamicin (Gen 10 µg, ampicillin (Amp 10 µg, penicillin G (Pen 10U, ciprofloxacin (Cip 5 µg, chloramphenicol (Chl 30 µg, nalidixic acid (Nal 30 µg, tetracycline (Tet 30 µg, vancomycin (Van 30 µg and erythromycin (Ery 15 µg. All strains were resistant to at least one antibiotic, and 85 (97.7% were multi-resistant, with predominance of the Van+ Pen+Amp resistance profile (n = 46. Plasmid resistance to Pen, Amp and Ery was detected. Thus, the risk that raw oyster consumption poses to the health of consumers is highlighted, due to the fact that these bivalves may host antibacterial-resistant microorganisms.

  6. Prevalence of resistance to second-line tuberculosis drug among multidrug-resistant tuberculosis patients in Viet Nam, 2011.

    Science.gov (United States)

    Nguyen, Hoa Binh; Nguyen, Nhung Viet; Tran, Huong Thi Giang; Nguyen, Hai Viet; Bui, Quyen Thi Tu

    2016-01-01

    Extensively drug-resistant tuberculosis (XDR-TB) represents an emerging public health problem worldwide. According to the World Health Organization, an estimated 9.7% of multidrug-resistant TB (MDR-TB) cases are defined as XDR-TB globally. The objective of this study was to determine the prevalence of drug resistance to second-line TB drugs among MDR-TB cases detected in the Fourth National Anti-Tuberculosis Drug Resistance Survey in Viet Nam. Eighty clusters of TB cases were selected using a probability-proportion-to-size approach. To identify MDR-TB cases, drug susceptibility testing (DST) was performed for the four major first-line TB drugs. DST of second-line drugs (ofloxacin, amikacin, kanamycin, capreomycin) was performed on isolates from MDR-TB cases to identify pre-XDR and XDR cases. A total of 1629 smear-positive TB cases were eligible for culture and DST. Of those, DST results for first-line drugs were available for 1312 cases, and 91 (6.9%) had MDR-TB. Second-line DST results were available for 84 of these cases. Of those, 15 cases (17.9%) had ofloxacin resistance and 6.0% were resistant to kanamycin and capreomycin. Five MDR-TB cases (6.0%) met the criteria of XDR-TB. This survey provides the first estimates of the proportion of XDR-TB among MDR-TB cases in Viet Nam and provides important information for local policies regarding second-line DST. Local policies and programmes that are geared towards TB prevention, early diagnosis and treatment with effective regimens are of high importance.

  7. Antibiotic Resistance Patterns in Invasive Group B Streptococcal Isolates

    Directory of Open Access Journals (Sweden)

    Mei L. Castor

    2008-01-01

    Full Text Available Antibiotics are used for both group B streptococcal (GBS prevention and treatment. Active population-based surveillance for invasive GBS disease was conducted in four states during 1996—2003. Of 3813 case-isolates, 91.0% (3471 were serotyped, 77.1% (2937 had susceptibility testing, and 46.6% (3471 had both. All were sensitive to penicillin, ampicillin, cefazolin, cefotaxime, and vancomycin. Clindamycin and erythromycin resistance was 12.7% and 25.6%, respectively, and associated with serotype V (P<.001. Clindamycin resistance increased from 10.5% to 15.0% (X2 for trend 12.70; P<.001; inducible clindamycin resistance was associated with the erm genotype. Erythromycin resistance increased from 15.8% to 32.8% (X2 for trend 55.46; P<.001. While GBS remains susceptible to beta-lactams, resistance to alternative agents such as erythromycin and clindamycin is an increasing concern.

  8. [Prevalence of transmission of zidovudine-resistant viruses in Switzerland. l'Etude suisse de cohorte VIH].

    Science.gov (United States)

    Yerly, S; Rakik, A; Kinloch-de-Loes, S; Erb, P; Vernazza, P; Hirschel, B; Perrin, L

    1996-10-26

    Zidovudine (ZDV) was the most widely used anti-HIV drug between 1987 and 1995, and, as already reported, transmission of ZDV-resistant viruses occurs. Several mutations of the reverse transcriptase gene have been identified; one of them affects the 215 codon and is associated with a high degree of resistance. We have determined, using selective PCR, the prevalence of transmission of 215 mutant isolates in 134 patients with primary HIV infection (PHI) and have identified 8 patients with 215 mutant virus between 1989 and 1995 in Switzerland. Mutant resistant viruses have been isolated from patients treated with most antiviral drugs. A systematic search for mutant viruses may provide useful information for the adaptation of treatment strategies.

  9. [Investigation of antimicrobial resistance of Klebsiella pneumoniae and Pseudomonas aeruginosa isolates from rat-like animals around a hospital in Guangzhou].

    Science.gov (United States)

    Zhong, Xue-Shan; Ge, Jing; Chen, Shao-Wei; Xiong, Yi-Quan; Zheng, Xue-Yan; Qiu, Min; Huo, Shu-Ting; Chen, Qing

    2016-05-01

    To investigate antimicrobial resistance of Klebsiella pneumoniae and Pseudomonas aeruginosa isolates in fecal samples from rat-like animals. Rat-like animals were captured using cages around a hospital and the neighboring residential area between March and October, 2015. K. pneumoniae and P. aeruginosa were isolated from the fecal samples of the captured animals. Antimicrobial susceptibility test was performed according to the guidelines of Clinical and Laboratory Standards Institute (2014). A total of 329 rat-like animals were captured, including 205 Suncus murinus, 111 Rattus norvegicus, 5 Rattus flavipectus and 8 Mus musculus. The positivity rates of K. pneumoniae and P. aeruginosa were 78.4% and 34.7% in the fecal samples from the captured animals, respectively. K. pneumoniae isolates from Suncus murinus showed a high resistance to ampicillin, cephazolin, nitrofurantoin, piperacillin and cefotaxime (with resistance rates of 100%, 51.2%, 44.2%, 37.2%, and 23.3%, respectively), and K. pneumoniae isolates from Rattus spp. showed a similar drug-resistance profile. The prevalence rates of multidrug resistance and ESBLs were 40.9% and 10.7%, respectively. P. aeruginosa from both Suncus murinus and Rattus spp. exhibited the highest resistance rates to aztreonam (12.4% and 16.0%, respectively), followed by penicillins and fluoroquinolones. P. aeruginosa isolates were susceptible to cephems, aminoglycosides and carbapenems (with resistance rates below 5%). K. pneumoniae and P. aeruginosa isolated from rat-like animals showed drug-resistance profiles similar to those of the strains isolated from clinical patients, suggesting that the possible transmission of K. pneumoniae and P. aeruginosa between rat-like animals and human beings.

  10. Prevalence and characterization of methicillin-resistant Staphylococcus aureus isolates in food industry workers.

    Science.gov (United States)

    Caggiano, G; Dambrosio, A; Ioanna, F; Balbino, S; Barbuti, G; De Giglio, O; Diella, G; Lovero, G; Rutigliano, S; Scarafile, G; Baldassarre, A; Vimercati, L; Musti, M; Montagna, M T

    2016-01-01

    Methicillin-resistant S. aureus (MRSA) is a pathogen spread not only in the hospital environment but also in the community and amongst livestock (LA-MRSA). LA-MRSA can be transmitted to humans that live in close contact with MRSA-colonized animals, and human colonization and/or infection has been reported worldwide, particularly among those involved with livestock farming. In this study the authors evaluated the prevalence of S. aureus and MRSA among healthy carriers who worked in the food industry in Apulia, Southern Italy. Nasal swabs were taken from pasta and pork industry workers. All swab samples were subjected to tests for the isolation, identification and typing of S. aureus and MRSA strains. The identification of the strains was confirmed by molecular assessment using multiplex-PCR for the amplification of the nuc and mecA genes. The strains identified as MRSA were then subjected to a PCR protocol for the characterization of sequence type ST398. In total 26.3% of examined nasal swabs were positive for S. aureus, 8.2% of them were methicillin resistant strains and 28.5% of MRSA isolates were characterized as ST398. The MRSA prevalence among pork factory workers was 3% , whereas among the pasta operators the prevalence was 11.5. The presence of S. aureus and MRSA among food workers represents a public health risk. Further, considering the dissemination of S. aureus and MRSA among non-nosocomial environments, including communities and livestock, careful surveillance and continuous monitoring of the emergence of MRSA is fundamental for safeguarding public health.

  11. Prevalence and risk factors for carriage of multi-drug resistant Staphylococci in healthy cats and dogs

    Science.gov (United States)

    Regula, Gertraud; Petrini, Orlando; Zinsstag, Jakob; Schelling, Esther

    2013-01-01

    We investigated the distribution of commensal staphylococcal species and determined the prevalence of multi-drug resistance in healthy cats and dogs. Risk factors associated with the carriage of multi-drug resistant strains were explored. Isolates from 256 dogs and 277 cats were identified at the species level using matrix-assisted laser desorption ionisation-time of flight mass spectrometry. The diversity of coagulase-negative Staphylococci (CNS) was high, with 22 species in dogs and 24 in cats. Multi-drug resistance was frequent (17%) and not always associated with the presence of the mecA gene. A stay in a veterinary clinic in the last year was associated with an increased risk of colonisation by multi-drug resistant Staphylococci (OR = 2.4, 95% CI: 1.1~5.2, p value LRT = 0.04). When identifying efficient control strategies against antibiotic resistance, the presence of mechanisms other than methicillin resistance and the possible role of CNS in the spread of resistance determinants should be considered. PMID:23820161

  12. Molecular epidemiology and drug resistant mechanism in carbapenem-resistant Klebsiella pneumoniae isolated from pediatric patients in Shanghai, China.

    Science.gov (United States)

    Zhang, Xingyu; Chen, Di; Xu, Guifeng; Huang, Weichun; Wang, Xing

    2018-01-01

    Infection by carbapenem-resistant Klebsiella pneumoniae (CR-KP) is a public health challenge worldwide, in particular among children, which was associated with high morbidity and mortality rates. There was limited data in pediatric populations, thus this study aimed to investigate molecular epidemiology and drug resistant mechanism of CR-KP strains from pediatric patients in Shanghai, China. A total of 41 clinical CR-KP isolates from sputum, urine, blood or drainage fluid were collected between July 2014 and May 2015 in Shanghai Children's Medical Center. Multilocus sequence typing (MLST), antibiotic susceptibility testing, PCR amplification and sequencing of the drug resistance associated genes were applied to all these isolates. MLST analysis revealed 16 distinct STs identified within the 41 isolates, among which the most frequently represented were ST11(19.5%),ST25(14.6%),ST76(14.6%),ST37(9.8%).One new ST was first identified. All CR-KP isolates showed MDR phenotypes and were resistance to ceftazidime, imipenem, piperacillin / tazobactam, ceftriaxone, ampicillin /sulbactam, aztreonam. They were confirmed as carbapenemase producer, NDM-1 (56.1%, 23/41), IMP (26.8%, 11/41), KPC-2 (22.0%, 9/41) were detected. Of note, two isolates carried simultaneously both NDM-1 and IMP-4. All CR-KP strains contained at least one of extended spectrum β-lactamase genes tested(TEM, SHV, OXA-1, CTX-M group) and six isolates carried both ESBL and AmpC genes(DHA-1). Among the penicllinase and β-lactamase genes, the most frequently one is SHV(92.7%,38/41), followed by TEM-1(68.3%,28/41), CTX-M-14(43.9%,18/41), CTX-M-15(43.9%,14/41), OXA-1(14.6%,6/41). In the present study, NDM-1-producing isolates was the predominant CR-KP strains in children, follow by IMP and KPC-producing strains. NDM-1and IMP-4 were more frequent than KPC-2 and showed a multiclonal background. Those suggested carbapenem-resistant in children is diverse, and certain resistance mechanisms differ from prevalent

  13. Prediction of Phenotypic Antimicrobial Resistance Profiles From Whole Genome Sequences of Non-typhoidal Salmonella enterica.

    Science.gov (United States)

    Neuert, Saskia; Nair, Satheesh; Day, Martin R; Doumith, Michel; Ashton, Philip M; Mellor, Kate C; Jenkins, Claire; Hopkins, Katie L; Woodford, Neil; de Pinna, Elizabeth; Godbole, Gauri; Dallman, Timothy J

    2018-01-01

    Surveillance of antimicrobial resistance (AMR) in non-typhoidal Salmonella enterica (NTS), is essential for monitoring transmission of resistance from the food chain to humans, and for establishing effective treatment protocols. We evaluated the prediction of phenotypic resistance in NTS from genotypic profiles derived from whole genome sequencing (WGS). Genes and chromosomal mutations responsible for phenotypic resistance were sought in WGS data from 3,491 NTS isolates received by Public Health England's Gastrointestinal Bacteria Reference Unit between April 2014 and March 2015. Inferred genotypic AMR profiles were compared with phenotypic susceptibilities determined for fifteen antimicrobials using EUCAST guidelines. Discrepancies between phenotypic and genotypic profiles for one or more antimicrobials were detected for 76 isolates (2.18%) although only 88/52,365 (0.17%) isolate/antimicrobial combinations were discordant. Of the discrepant results, the largest number were associated with streptomycin (67.05%, n = 59). Pan-susceptibility was observed in 2,190 isolates (62.73%). Overall, resistance to tetracyclines was most common (26.27% of isolates, n = 917) followed by sulphonamides (23.72%, n = 828) and ampicillin (21.43%, n = 748). Multidrug resistance (MDR), i.e., resistance to three or more antimicrobial classes, was detected in 848 isolates (24.29%) with resistance to ampicillin, streptomycin, sulphonamides and tetracyclines being the most common MDR profile ( n = 231; 27.24%). For isolates with this profile, all but one were S . Typhimurium and 94.81% ( n = 219) had the resistance determinants bla TEM-1, strA-strB, sul2 and tet (A). Extended-spectrum β-lactamase genes were identified in 41 isolates (1.17%) and multiple mutations in chromosomal genes associated with ciprofloxacin resistance in 82 isolates (2.35%). This study showed that WGS is suitable as a rapid means of determining AMR patterns of NTS for public health surveillance.

  14. Profile of Virulence Factors in the Multi-Drug Resistant Pseudomonas aeruginosa Strains of Human Urinary Tract Infections (UTI).

    Science.gov (United States)

    Habibi, Asghar; Honarmand, Ramin

    2015-12-01

    Putative virulence factors are responsible for the pathogenicity of UTIs caused by Pseudomonas aeruginosa (P. aeruginosa). Resistance of P. aeruginosa to commonly used antibiotics is caused by the extreme overprescription of those antibiotics. The goal of the present study was to investigate the prevalence of virulence factors and the antibiotic resistance patterns of P. aeruginosa isolates in UTI cases in Iran. Two hundred and fifty urine samples were collected from patients who suffered from UTIs. Samples were cultured immediately, and those that were P. aeruginosa-positive were analyzed for the presence of virulence genes using polymerase chain reaction (PCR) testing. Antimicrobial susceptibility testing (AST) was performed using the disk diffusion method. Of the 250 urine samples analyzed, 8 samples (3.2%) were positive for P. aeruginosa. The prevalence of P. aeruginosa in male and female patients was 2.7% and 3.5%, respectively, (P = 0.035). In patients less than 10 years old, it was 4.2%, and in patients more than 55 years old, it was 4.2%. These were the most commonly infected groups. The highest levels of resistance were seen against ampicillin (87.5%), norfloxacin (62.5%), gentamycin (62.5%), amikacin (62.5%), and aztreonam (62.5%), while the lowest were seen for meropenem (0%), imipenem (12.5%), and polymyxin B (12.5%). LasB (87.5%), pclH (75%), pilB (75%), and exoS (75%) were the most commonly detected virulence factors in the P. aeruginosa isolates. It is logical to first prescribe meropenem, imipenem, and polymyxin B in cases of UTIs caused by P. aeruginosa. Medical practitioners should be aware of the presence of levels of antibiotic resistance in hospitalized UTI patients in Iran.

  15. Resistance to Antibiotics in Strains of Staphylococcus spp., Enterococcus spp. and Escherichia coli Isolated from Rectal Swabs of Pigs

    Directory of Open Access Journals (Sweden)

    M. Kolář

    2008-01-01

    Full Text Available The study aimed at determining the level of resistance of selected bacterial species (Staphylococcus spp., Enterococcus spp., Escherichia coli isolated from rectal swabs of pigs to antimicrobial agents. The tested strains were isolated from piglets aged 7 to 30 days. Bacterial species were identified by standard microbiological techniques and susceptibility to antibiotics was determined quantitatively by the standard microdilution method. Resistance of the Staphylococcus aureus strain to oxacillin was confirmed by detection of the mecA gene and PBP2a. A total of 115 Staphylococcus spp. isolates were collected. In the case of Staphylococcus aureus, the methicillin-resistant strain (MRSA was identified. Moreover, higher frequency of coagulase-negative staphylococci with minimum inhibitory concentration of oxacillin ≥ 0.5 mg/l was noticed. Inducible resistance to clindamycin in the Staphylococcus hominis strain was also detected. The strains of Enterococcus spp. (61 isolates exhibited high resistance to tetracycline (98.5%, erythromycin (86.8% and chloramphenicol (54.4%. Vancomycin-resistant enterococci were not isolated. In the case of Escherichia coli strains (111 isolates, higher frequency of resistant strains to tetracycline (81.1% and ampicillin (62.2% was documented. Resistance to fluoroquinolones and production of broad-spectrum β-lactamases was not noticed. The presented study may be considered as a pilot project assessing the prevalence of resistant bacteria in piglets kept on a single farm. It demonstrated the presence of resistant strains of Staphylococcus spp., including one MRSA strain, Enterococcus spp. and Escherichia coli. These strains may be present as a result of postnatal colonization with both bacterial microflora of dams and environmental microflora.

  16. Prevalence and antibiotic resistance of coagulase-negative Staphylococci isolated from poultry farms in three regions of Ghana

    DEFF Research Database (Denmark)

    Boamah, Vivian Etsiapa; Agyare, Christian; Odoi, Hayford

    2017-01-01

    The use of antibiotics in animal production has been associated with the development and spread of antibiotic-resistant organisms including commensals. Coagulase-negative Staphylococcus (CoNS) species, which were until recently considered non-pathogenic, have been associated with opportunistic...... usage in both animal production and in humans....... infections and high resistance to several antibiotics. This study sought to determine the prevalence, identity, and phenotypic resistance of coagulase-negative Staphylococcus spp. isolated from some selected poultry farms and farm workers in the Ashanti, Brong Ahafo, and Greater Accra regions of Ghana...

  17. The importance of integrons for development and propagation of resistance in Shigella: the case of Latin America

    Directory of Open Access Journals (Sweden)

    Kenia Barrantes

    Full Text Available Abstract In Latin America, the disease burden of shigellosis is found to coexist with the rapid and rampant spread of resistance to commonly used antibiotics. The molecular basis of antibiotic resistance lies within genetic elements such as plasmids, transposons, integrons, genomic islands, etc., which are found in the bacterial genome. Integrons are known to acquire, exchange, and express genes within gene cassettes and it is hypothesized that they play a significant role in the transmission of multidrug resistance genes in several Gram-negative bacteria including Shigella. A few studies have described antibiotic resistance genes and integrons among multidrug resistant Shigella isolates found in Latin America. For example, in Brazil, Bolivia, Chile, Costa Rica and Peru, class 1 and class 2 integrons have been detected among multidrug resistant strains of Shigella; this phenomenon is more frequently observed in S. flexneri isolates that are resistant to trimethoprim, sulfamethoxazole, streptomycin, ampicillin, chloramphenicol, and tetracycline. The gene cassette sul2, which is frequently detected in Shigella strains resistant to the sulfonamides, suggests that the sulfonamide-resistant phenotype can be explained by the presence of the sul2 genes independent of the integron class detected. It is to be noted that sul3 was negative in all isolates analyzed in these studies.The high frequency of sulfonamide (as encoded by sul2 and trimethoprim resistance is likely to be a result of the recurrent use of trimethoprim sulfamethoxazole as a popular regimen for the treatment of shigellosis. The observed resistance profiles of Shigella strains confirm that ampicillin and trimethoprim-sulfamethoxazole are ineffective as therapeutic options. In-depth information regarding antibiotic resistance mechanism in this pathogen is needed in order to develop suitable intervention strategies. There is a pressing need for regional and local antimicrobial resistance

  18. Continuous intravenous infusion of ampicillin and gentamicin during parenteral nutrition to 36 newborn infants using a dosage schedule

    DEFF Research Database (Denmark)

    Colding, H; Møller, S; Andersen, G E

    1984-01-01

    Ampicillin and gentamicin were given continuously i.v. to 36 newborn infants using a dosage schedule and the results were compared with those obtained in an earlier study including 88 infants who received individually calculated dosages. With the dosage schedule the variation in the serum concent...

  19. Antimicrobial resistance and PCR-ribotyping of Shigella responsible for foodborne outbreaks occurred in southern Brazil

    Directory of Open Access Journals (Sweden)

    Cheila Minéia Daniel de Paula

    2010-12-01

    Full Text Available Little information about Shigella responsible for foodborne shigellosis is available in Brazil. The present study aimed to investigate the antimicrobial resistance and PCR-ribotyping patterns of Shigella isolates responsible for foodborne outbreaks occurred in Rio Grande do Sul State (RS, Southern Brazil in the period between 2003 and 2007. Shigella strains (n=152 were isolated from foods and fecal samples of victims of shigellosis outbreaks investigated by the Surveillance Service. Identification of the strains at specie level indicated that 71.1% of them were S. flexneri, 21.5% S. sonnei, and 0.7% S. dysenteriae. Ten strains (6.7% were identified only as Shigella spp. An increasing occurrence of S. sonnei was observed after 2004. Most of the strains were resistant to streptomycin (88.6%, followed by ampicillin (84.6%, and sulfamethoxazole/trimethoprim (80.5 %. Resistant strains belonged to 73 patterns, and pattern A (resistance to ampicillin, sulfamethoxazole/trimethoprim, tetracycline, streptomycin, chloramphenicol, and intermediate resistance to kanamycin grouped the largest number of isolates (n=36. PCR-ribotyping identified three banding patterns (SH1, SH2, and SH3. SH1 grouped all S. flexneri and SH2 grouped all S. sonnei. The S. dysenteriae strain belonged to group SH3. According to the results, several Shigella isolates shared the same PCR-rybotyping banding pattern and the same resistance profile, suggesting that closely related strains were responsible for the outbreaks. However, other molecular typing methods need to be applied to confirm the clonal relationship of these isolates.

  20. Use of phages against antibiotic-resistant Staphylococcus aureus isolated from bovine mastitis.

    Science.gov (United States)

    Dias, R S; Eller, M R; Duarte, V S; Pereira, Â L; Silva, C C; Mantovani, H C; Oliveira, L L; Silva, E de A M; De Paula, S O

    2013-08-01

    Bovine mastitis is the primary disease of dairy cattle worldwide and it causes large economic losses. Among several microorganisms that are the causative agents of this disease, Staphylococcus aureus is the most prevalent. Although antibiotic therapy is still the most widely used procedure for the treatment of bovine mastitis, alternative means of treatment are necessary due to the presence of antibiotic residues in milk, which is a growing concern because of its interference with the production of milk derivatives and the selection of resistant bacterial strains. The use of bacteriophages as a tool for the control of pathogens is an alternative treatment to antibiotic therapy. In this work, to obtain phages with the potential for use in phage therapy as a treatment for mastitis, we isolated and identified the bacteria from the milk of mastitis-positive cows. A total of 19% of the animals from small and medium farms of the Zona da Mata Mineira, Brazil, was positive for bovine mastitis, and bacteria of the genus Staphylococcus were the most prevalent pathogens. The majority of the S. aureus isolates tested was resistant to penicillin and ampicillin. In parallel, we isolated 10 bacteriophages able to infect some of these S. aureus isolates. We determined that these phages contained DNA genomes of approximately 175 kb in length, and the protein profiles indicated the presence of 4 major proteins. Electron microscopy revealed that the phages are caudate and belong to the Myoviridae family. The isolates exhibited interesting features for their use in phage therapy such as a high lytic potential, a wide range of hosts, and thermostability, all of which favor their use in the field.

  1. Maternal and neonatal colonisation of group B streptococcus at Muhimbili National Hospital in Dar es Salaam, Tanzania: prevalence, risk factors and antimicrobial resistance

    Directory of Open Access Journals (Sweden)

    Lyamuya Eligius F

    2009-12-01

    Full Text Available Abstract Background Group B streptococcus (GBS, which asymptomatically colonises the vaginal and rectal areas of women, is the leading cause of septicemia, meningitis and pneumonia in neonates. In Tanzania no studies have been done on GBS colonisation of pregnant women and neonates. This study was conducted in Dar es Salaam, Tanzania to determine the prevalence of GBS colonisation among pregnant women, the neonatal colonisation rate and the antimicrobial susceptibility, thus providing essential information to formulate a policy for treatment and prevention regarding perinatal GBS diseases. Methods This cross sectional study involved 300 pregnant women attending antenatal clinic and their newborns delivered at Muhimbili National Hospital (MNH between October 2008 and March 2009. High vaginal, rectal, nasal, ear and umbilical swabs were cultured on Todd Hewitt Broth and in 5% sheep blood agar followed by identification of isolates using conventional methods and testing for their susceptibility to antimicrobial agents using the Kirby-Bauer method. Results GBS colonisation was confirmed in 23% of pregnant women and 8.9% of neonates. A higher proportion of GBS were isolated from the vagina (12.3% as compared to the rectum (5%. Prolonged duration of labour (>12 hrs was significantly shown to influence GBS colonisation in neonates P Conclusion Our findings seem to suggest that a quarter of pregnant women attending ANC clinic at MNH and approximately 10% of their newborns are colonised with GBS. All isolates were found to be sensitive to vancomycin and ampicillin which seem to be the most effective antibiotics for the time being. However there is a need for continuous antibiotics surveillance of GBS to monitor trend of resistance. The high isolation frequency of GBS among pregnant women suggests routine antenatal screening at 35 to 37 weeks of gestation in order to provide antibiotic prophylaxis to GBS carrier.

  2. Prevalence, Virulence Genes and Antimicrobial Resistance Profiles of Salmonella Serovars from Retail Beef in Selangor, Malaysia

    Directory of Open Access Journals (Sweden)

    Tze Y. Thung

    2018-01-01

    Full Text Available The aim of the present study was to investigate the prevalence of Salmonella spp., Salmonella Enteritidis and Salmonella Typhimurium in retail beef from different retail markets of Selangor area, as well as, to assess their pathogenic potential and antimicrobial resistance. A total of 240 retail beef meat samples (chuck = 60; rib = 60; round = 60; sirloin = 60 were randomly collected. The multiplex polymerase chain reaction (mPCR in combination with the most probable number (MPN method was employed to detect Salmonella spp., S. Enteritidis and S. Typhimurium in the meat samples. The prevalence of Salmonella spp., S. Enteritidis and S. Typhimurium in 240 beef meat samples were 7.50, 1.25, and 0.83%, respectively. The microbial loads of total Salmonella was found in the range of <3 to 15 MPN/g. Eight different serovars of Salmonella were identified among the 23 isolates, and S. Agona was the predominant serovar (26.09%. Interestingly, all the Salmonella isolates were resistant to penicillin, erythromycin and vancomycin, but the sensitivity was observed for tetracycline, gentamicin and amoxicillin/clavulanic acid. All 23 isolates were resistant to at least three antibiotics. Two S. Typhimurium isolates (8.70% exhibited the highest multiple antibiotic resistance (MAR index value of 0.56 which shown resistance to nine antibiotics. PCR analysis of virulence genes showed that all Salmonella isolates (100% were positive for the invA gene. Meanwhile, pefA was only identified in S. Enteritidis and S. Typhimurium. The findings in this study indicate that retail beef products tested were widely contaminated with multi-drug resistant (MDR Salmonella and various virulence genes are present among the isolated Salmonella serovars.

  3. Sampling, prevalence and characterization of methicillin-resistant Staphylococcus aureus on two Belgian pig farms

    Directory of Open Access Journals (Sweden)

    Isabelle Dewaele

    2011-02-01

    Full Text Available This study investigated the spread of MRSA (methicillin-resistant Staphylococcus aureus on two Belgian pig farms. Pigs of different ages (from farrowing to slaughter age and sows as well as the barn environment were screened extensively on two occasions three months apart. A subset of MRSA isolates was tested for antimicrobial susceptibility to 16 antibiotics and was further characterized by pulsed-field gel electrophoresis. Ninety-five percent and 77% of the tested pigs on farm A and farm B, respectively, were colonized with MRSA. MRSA positive animals were detected in all age categories sampled on each sampling day. Piglets were already colonized in the farrowing unit with the same or other MRSA strains than their mother. The prevalence of MRSA colonized pigs increased significantly after weaning and decreased during the fattening period. Pigs carried MRSA mainly in the nares, followed by the perineum and skin and to a lesser degree the rectum. A pig could be contaminated or colonized with different MRSA strains at the same time. The barn environment was also found to be contaminated with different MRSA strains, including the air inlet and outlet. All isolates tested on both farms were resistant to both tetracycline and trimethoprim, while they were susceptible to rifampicin, mupirocin and linezolid. There was a significant difference in resistance prevalence between the two farms for the antibiotics gentamicin, kanamycin, tobramycin, tylosin, lincomycin and quinupristin/dalfopristin. Furthermore, several antibiotic resistance profiles were observed within one farm. This study clearly indicates that several MRSA strains circulate on one farm, from the nursery unit to the fattening unit. This is important to consider when attempts are made to remediate these farms.

  4. Eradication of multidrug-resistant Acinetobacter baumannii in a female patient with total hip arthroplasty, with debridement and retention: a case report

    Directory of Open Access Journals (Sweden)

    Beieler Alison M

    2009-02-01

    Full Text Available Abstract Introduction Multidrug-resistant Acinetobacter baumannii has become a significant cause of healthcare-associated infections, but few reports have addressed Acinetobacter baumannii infections associated with orthopedic devices. The current recommended treatment for complicated infections due to orthopedic devices, including resistant gram-negative rods, consists of antimicrobial therapy with debridement and removal of implants. Case presentation The patient, a 47-year-old woman, had previously had a prior total hip arthroplasty at 16 years of age for a complex femoral neck fracture, and multiple subsequent revisions. This time, she underwent a fifth revision secondary to pain. Surgery was complicated by hypotension resulting in transfer to the intensive care unit and prolonged respiratory failure. She received peri-operative cefazolin but postoperatively developed surgical wound drainage requiring debridement of a hematoma. Cultures of this grew ampicillin-sensitive Enterococcus and Acinetobacter baumannii (sensitive only to amikacin and imipenem. The patient was started on imipenem. Removal of the total hip arthroplasty was not recommended because of the recent surgical complications, and the patient was eventually discharged home. She was seen weekly for laboratory tests and examinations and, after 4 months of therapy, the imipenem was discontinued. She did well clinically for 7 months before recurrent pain led to removal of the total hip arthroplasty. Intra-operative cultures grew ampicillin-sensitive Enterococcus and coagulase-negative Staphylococcus but no multidrug-resistant Acinetobacter baumannii. The patient received ampicillin for 8 weeks and had not had recurrent infection at the time of writing, 37 months after discontinuing imipenem. Conclusion We describe the successful treatment of an acute infection from multidrug-resistant Acinetobacter baumannii with debridement and retention of the total hip arthroplasty, using

  5. Prevalence of Antibiotic-resistance Enterobacteriaceae strains Isolated from Chicken Meat at Traditional Markets in Surabaya, Indonesia

    Science.gov (United States)

    Yulistiani, R.; Praseptiangga, D.; Supyani; Sudibya; Raharjo, D.; Shirakawa, T.

    2017-04-01

    Antibiotic resistance in bacteria from the family Enterobacteriaceae is an important indicator of the emergence of resistant bacterial strains in the community. This study investigated the prevalence of antibiotic-resistant Enterobacteriaceae isolated from chicken meat sold at traditional markets in Surabaya Indonesia. In all, 203 isolates (43 Salmonella spp., 53 Escherichia coli, 16 Shigella spp., 22 Citrobacter spp., 13 Klebsiella spp, 24 Proteus spp., 15 Yersinia spp., 7 Enterobacter spp., 6 Serratia spp., 3 Edwardsiella spp. were resistant to tetracycline (69.95 %), nalidixid acid (54.19 %), sulfamethoxazole/sulfamethizole (42.36 %), chloramphenicol (12.81%), cefoxitin (6.40 %), gentamicin (5.91 %). Tetracycline was the antimicrobial that showed the highest frequency of resistance among Salmonella, E. coli, Citrobacter, Proteus and Erdwardsiella isolates, and nalidixid acid was second frequency of resistance. Overall, 124 (61.08 %) out of 203 isolates demonstrated multidrug resistance to at least two unrelated antimicrobial agents. The high rate of antimicrobial resistance in bacterial isolates from chicken meat may have major implications for human and animal health with adverse economic implications.

  6. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  7. Prevalence of antibiotic resistance genes from effluent of coastal aquaculture, South Korea.

    Science.gov (United States)

    Jang, Hyun Min; Kim, Young Beom; Choi, Sangki; Lee, Yunho; Shin, Seung Gu; Unno, Tatsuya; Kim, Young Mo

    2018-02-01

    The wide use of antibiotics in aquaculture for prophylactic and therapeutic purposes can potentially lead to the prevalence of antibiotic resistance genes (ARGs). This study reports for the first time the profile of ARGs from effluents of coastal aquaculture located in South Jeolla province and Jeju Island, South Korea. Using quantitative PCR (qPCR), twenty-two ARGs encoding tetracycline resistance (tetA, tetB, tetD, tetE, tetG, tetH, tetM, tetQ, tetX, tetZ, tetBP), sulfonamide resistance (sul1, sul2), quinolone resistance (qnrD, qnrS, aac(6')-Ib-cr), β-lactams resistance (bla TEM , bla CTX , bla SHV ), macrolide resistance (ermC), florfenicol resistance (floR) and multidrug resistance (oqxA) and a class 1 integrons-integrase gene (intI1) were quantified. In addition, Illumina Miseq sequencing was applied to investigate microbial community differences across fish farm effluents. Results from qPCR showed that the total number of detected ARGs ranged from 4.24 × 10 -3 to 1.46 × 10 -2 copies/16S rRNA gene. Among them, tetB and tetD were predominant, accounting for 74.8%-98.0% of the total ARGs. Furthermore, intI1 gene showed positive correlation with tetB, tetD, tetE, tetH, tetX, tetZ tetQ and sul1. Microbial community analysis revealed potential host bacteria for ARGs and intI1. Two genera, Vibrio and Marinomonas belonging to Gammaproteobacteria, showed significant correlation with tetB and tetD, the most dominant ARGs in all samples. Also, operational taxonomic units (OTUs)-based network analysis revealed that ten OTUs, classified into the phyla Proteobacteria, Cyanobacteria/Chloroplast, Bacteroidetes, Verrucomicrobia and an unclassified phylum, were potential hosts of tetracycline resistance genes (i.e., tetA, tetG, tetH, tetM, tetQ and tetZ). Further systematic monitoring of ARGs is warranted for risk assessment and management of antibacterial resistance from fish farm effluents. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Characterization of multidrug-resistant Salmonella enterica serovars Indiana and Enteritidis from chickens in Eastern China.

    Directory of Open Access Journals (Sweden)

    Yan Lu

    Full Text Available A total of 310 Salmonella isolates were isolated from 6 broiler farms in Eastern China, serotyped according to the Kauffmann-White classification. All isolates were examined for susceptibility to 17 commonly used antimicrobial agents, representative isolates were examined for resistance genes and class I integrons using PCR technology. Clonality was determined by pulsed-field gel electrophoresis (PFGE. There were two serotypes detected in the 310 Salmonella strains, which included 133 Salmonella enterica serovar Indiana isolates and 177 Salmonella enterica serovar Enteritidis isolates. Antimicrobial sensitivity results showed that the isolates were generally resistant to sulfamethoxazole, ampicillin, tetracycline, doxycycline and trimethoprim, and 95% of the isolates sensitive to amikacin and polymyxin. Among all Salmonella enterica serovar Indiana isolates, 108 (81.2% possessed the blaTEM, floR, tetA, strA and aac (6'-Ib-cr resistance genes. The detected carriage rate of class 1 integrons was 66.5% (206/310, with 6 strains carrying gene integron cassette dfr17-aadA5. The increasing frequency of multidrug resistance rate in Salmonella was associated with increasing prevalence of int1 genes (rs = 0.938, P = 0.00039. The int1, blaTEM, floR, tetA, strA and aac (6'-Ib-cr positive Salmonella enterica serovar Indiana isolates showed five major patterns as determined by PFGE. Most isolates exhibited the common PFGE patterns found from the chicken farms, suggesting that many multidrug-resistant isolates of Salmonella enterica serovar Indiana prevailed in these sources. Some isolates with similar antimicrobial resistance patterns represented a variety of Salmonella enterica serovar Indiana genotypes, and were derived from a different clone.

  9. Prevalence, Virulence Genes and Antimicrobial Resistance Profiles of Salmonella Serovars from Retail Beef in Selangor, Malaysia.

    Science.gov (United States)

    Thung, Tze Y; Radu, Son; Mahyudin, Nor A; Rukayadi, Yaya; Zakaria, Zunita; Mazlan, Nurzafirah; Tan, Boon H; Lee, Epeng; Yeoh, Soo L; Chin, Yih Z; Tan, Chia W; Kuan, Chee H; Basri, Dayang F; Wan Mohamed Radzi, Che W J

    2017-01-01

    The aim of the present study was to investigate the prevalence of Salmonella spp., Salmonella Enteritidis and Salmonella Typhimurium in retail beef from different retail markets of Selangor area, as well as, to assess their pathogenic potential and antimicrobial resistance. A total of 240 retail beef meat samples (chuck = 60; rib = 60; round = 60; sirloin = 60) were randomly collected. The multiplex polymerase chain reaction (mPCR) in combination with the most probable number (MPN) method was employed to detect Salmonella spp., S . Enteritidis and S . Typhimurium in the meat samples. The prevalence of Salmonella spp., S . Enteritidis and S . Typhimurium in 240 beef meat samples were 7.50, 1.25, and 0.83%, respectively. The microbial loads of total Salmonella was found in the range of retail beef products tested were widely contaminated with multi-drug resistant (MDR) Salmonella and various virulence genes are present among the isolated Salmonella serovars.

  10. Emerging nalidixic acid and ciprofloxacin resistance in non-typhoidal Salmonella isolated from patients having acute diarrhoeal disease

    International Nuclear Information System (INIS)

    Panhotra, B.R.; Saxena, A.K.; Al-Arabi, Ali M.

    2004-01-01

    Non-typhoidal Salmonella are one of the key etiological agents of diarrhoeal disease. The appearence of multiple drung resistance along with resistance to quinolones in this bacterium poses a serious therapeutic problem. We determined the prevalence of nalidixic acid and ciprofloxacin resistance in non-typhodial Salmonella isolated from faecal samples of patients with acute diarroheal disease attending the outpatient and inpatient department of a hospital in Saudi Arabia during the years 1999 to 2002. Non-typhodial Salmonella were isolated from faecal samples. Antimicrobial susceptibility was tested by the disc diffusion test. MICs to nalidixic acid and ciprofloxacinwere determined by the agar dilution method. During the study period , 524 strains of non-typhoidal Salmonella were isolated. Strains belonging to serogroup C1were the commonest (41.4%) followed by serogroups B and D (15.6% and 14.5%, respectively). Resistance to ampicillin was observed in 22.9% and to trimethoprim/sulphamethoxazole in 18.5%of the strains. Nalidixic acid resistance was encounterd in 9.9% and ciprofloxacin esistance in 2.3% of the strains. Resistance to nalidixic acid significantly increased from 0.1% in 1999 to 5.51% in 2002 ( p=0.0007)and ciprofloxacin resistance increased significantly from 0.1% in 1999 to 0.9% in 2002( p=0.0001). MICs to nalidixic acid and ciprofloxacin were determined among 29 nalidixic acid-resistant strains of non-typhoidal salmonella isolated during 2002. The MIC was >256 ug /ml to nalidixic acid and 8 to 16 ug/ml to ciprofloxacin. The increasing rate of antimicrobial resistance encountered among non-tyophoidal Salmonella necessiate the judicious use of these drugs in humans. Moreover, these findings support the concern that the use of quinolones in animal feed may lead to an increasein resistance and should should be restricted. (author)

  11. Study of prevalence and effects of insulin resistance in patients with chronic hepatitis C genotype 4.

    Science.gov (United States)

    Amer, A F; Baddour, M M; Elshazly, M A; Fadally, G; Hanafi, N F; Assar, S L

    2016-02-01

    There is strong epidemiological evidence linking hepatitis C virus (HCV) infection and diabetes. Our aim was to evaluate the prevalence of insulin resistance in Egyptian patients with chronic HCV genotype 4 infection, to assess factors associated with insulin resistance and to test the impact of insulin resistance on outcomes of treatment with pegylated interferon/ribavirin. Insulin resistance [homeostasis model assessmentinsulin resistance (HOMA-IR) score > 3.0] was detected in 31 of 100 nondiabetic patients. The relationship between elevated HOMA-IR and baseline viral load and degree of fibrosis was statistically significant (r = 0.218 and r = 0.223). Follow-up of patients with complete early virological response until the end of treatment showed a statistically significant decrease in HOMA-IR score. Out of 29 liver tissue sections examined, 14 had a low level of expression of insulin receptor type 1 by immunohistochemical studies. This study confirms that insulin resistance affects treatment outcome, and thus HOMA-IR testing before initiation of therapy may be a cost-effective tool.

  12. Antibiotic sensitivity and resistance in children with urinary tract infection in Sanliurfa.

    Science.gov (United States)

    Abuhandan, Mahmut; Güzel, Bülent; Oymak, Yeşim; Çiftçi, Halil

    2013-06-01

    This study aimed to evaluate antibiotic resistance in the province of Şanliurfa and to observe any difference between antibiotic resistance rates. The study comprised 107 children who presented at the pediatric polyclinic with complaints of urinary tract infection with the diagnosis of urinary tract infection and whose urine cultures exhibited bacterial growth. The patients were analyzed with respect to the frequency of proliferating pathogens, sensitivity to the antibiotics used and the rates of developed resistance to the antibiotics. A total of 107 patients aged between 1 year and 15 years were included in the study, encompassing 14 (13.1%) males and 93 (86.9%) females. According to the urine culture results, proliferation of Escherichia coli (E. coli) was observed in 69 (64.5%), Klebsiella spp. in 13 (12.1%), Proteus mirabilis in 9 (8.4%), Staphylococcus aureus in 5 (4.7%), Pseudomonas aeruginosa in 5 (4.7%), Acinetobacter spp. in 3 (2.8%) and Enterococcus spp. in 3 (2.8%) patients. For proliferating E. coli, high resistance rates to ceftriaxone (39.5%), nitrofurantoin (19.7%), ampicillin-sulbactam (64.1%), co-trimoxazole (41.5%), amoxicillinclavulanate (51.7%) and cefuroxime (38.1%) were observed. All of isolated microorganisms were resistant to ampicillin-sulbactam, amoxicillin-clavulanate, co-trimoxazole, ceftriaxone, cefuroxime and cefoxitin in decreasing frequencies. The most effective antimicrobial agents were determined to be imipenem, sulpera-zone, quinolone and aminoglycosides. In our region, parenteral antibiotics that should be selected for the empirical treatment of UTIs in all age groups are the aminoglycosides and 3(rd) generation cephalosporines. In contrast to other studies, these results suggest that co-trimoxazole should be used for children aged 0-1, and 2(nd) generation cephalosporins should be used for the oral treatment of children aged 1-5 due to the low rate of resistance to nitrofurantoin in patients aged over 5 years.

  13. The Prevalence of Extended-Spectrum Beta-Lactamase-Producing Multidrug-Resistant Escherichia Coli in Poultry Chickens and Variation According to Farming Practices in Punjab, India

    Science.gov (United States)

    Mandal, Siddhartha; Hayer, Shivdeep; Sran, Mandeep; Zehra, Asima; Patel, Sunny J.; Kaur, Ravneet; Chatterjee, Leena; Mishra, Savita; Das, B.R.; Singh, Parminder; Singh, Randhir; Gill, J.P.S.

    2017-01-01

    Background: Agricultural use of antimicrobials in subtherapeutic concentrations is increasing in response to the rising demand for food animal products worldwide. In India, the use of antimicrobials in food animal production is unregulated. Research suggests that many clinically important antimicrobials are used indiscriminately. This is the largest study to date in India that surveys poultry production to test for antimicrobial resistance and the occurrence of extended-spectrum β-lactamases (ESBLs) modulated by farming and managerial practices. Objectives: Our goal was to survey poultry production for resistance to eleven clinically relevant antimicrobials and phenotypic occurrence of ESBLs as modulated by farming and managerial practices. Methods: Eighteen poultry farms from Punjab were surveyed, and 1,556 Escherichia coli isolates from 530 birds were tested for susceptibility to 11 antimicrobials using the disk diffusion method and validated using VITEK 2 (bioMérieux, Marcy-L’Étoile, France). Samples from 510 of these birds were phenotypically tested for ESBL production using the combination disk method and confirmed using VITEK 2. Generalized linear mixed models were used to infer differences in resistance profiles associated with different farming practices and facility types. Results: Resistance profiles were significantly different between broiler and layer farms. Broiler farms were 2.2 [ampicillin (AMP), p=0.017] to 23 [nalidixic acid (NX), pproducing strains (87% compared to 42% in layers), was observed in broiler farms. Conclusions: Our findings suggest that unregulated use of clinically relevant antimicrobials in Indian broiler and layer farms may contribute to the emergence of resistance and support the need to curb the nontherapeutic use of medically important antimicrobials in food animal production. https://doi.org/10.1289/EHP292 PMID:28749780

  14. Prevalence and antimicrobial resistance of Campylobacter species isolated from raw camel, beef, lamb, and goat meat in Iran.

    Science.gov (United States)

    Rahimi, Ebrahim; Ameri, Mehrdad; Kazemeini, Hamid Reza

    2010-04-01

    Campylobacter spp. are one of the most common causes of acute bacterial gastroenteritis in human beings which are transmitted mostly via food originating from animals. This study was conducted to determine the prevalence and antimicrobial resistance of Campylobacter spp. isolated from retail raw meats in Iran. From June 2008 to June 2009, a total of 722 raw meat samples from camel (n = 107), beef (n = 190), lamb (n = 225), and goat (n = 180) were purchased from randomly selected retail outlets in Isfahan and Yazd, Iran, and were evaluated for the presence of Campylobacter spp. In this study, 50 of the 722 meat samples (6.9%) were contaminated with Campylobacter spp. The highest prevalence of Campylobacter spp. was found in lamb meat (12.0%), followed by goat meat (9.4%), beef meat (2.4%), and camel meat (0.9%). The most prevalent Campylobacter spp. isolated from the meat samples was Campylobacter jejuni (84.0%); the remaining isolates were Campylobacter coli (16.0%). Susceptibilities of 50 Campylobacter isolates were determined for 10 antimicrobial drugs using the disk-diffusion assay. Resistance to tetracycline was the most common finding (68.0%), followed by resistance to ciprofloxacin (46.0%) and nalidixic acid (40.0%). All of the isolates were susceptible to erythromycin, gentamicin, and chloramphenicol. Significantly higher prevalence rates of Campylobacter spp. (p meat samples taken in spring (20.0%) and summer (18.9%). To our knowledge, this study is the first report of the isolation of Campylobacter spp. from raw camel, lamb, and goat meat in Iran.

  15. Prevalence of drug resistance and importance of viral load measurements in Honduran HIV-infected patients failing antiretroviral treatment.

    Science.gov (United States)

    Murillo, Wendy; de Rivera, I L; Parham, L; Jovel, E; Palou, E; Karlsson, A C; Albert, J

    2010-02-01

    The Honduran HIV/AIDS Program began to scale up access to HIV therapy in 2002. Up to May 2008, more than 6000 patients received combination antiretroviral therapy (cART). As HIV drug resistance is the major obstacle for effective treatment, the purpose of this study was to assess the prevalence of antiretroviral drug resistance in Honduran HIV-1-infected individuals. We collected samples from 138 individuals (97 adults and 41 children) on cART with virological, immunological or clinical signs of treatment failure. HIV-1 pol sequences were obtained using an in-house method. Resistance mutations were identified according to the 2007 International AIDS Society (IAS)-USA list and predicted susceptibility to cART was scored using the ANRS algorithm. Resistance mutations were detected in 112 patients (81%), 74% in adults and 98% in children. Triple-, dual- and single-class drug resistance was documented in 27%, 43% and 11% of the study subjects, respectively. Multiple logistic regression showed that resistance was independently associated with type of treatment failure [virological failure (odds ratio (OR) = 1) vs. immunological failure (OR = 0.11; 95% confidence interval (CI) 0.030-0.43) vs. clinical failure (OR = 0.037; 95% CI 0.0063-0.22)], route of transmission (OR = 42.8; 95% CI 3.73-491), and years on therapy (OR = 1.81; 95% CI 1.11-2.93). The prevalence of antiretroviral resistance was high in Honduran HIV-infected patients with signs of treatment failure. A majority of study subjects showed dual- or triple-class resistance to nucleoside reverse transcriptase inhibitors, nonnucleoside reverse transcriptase inhibitors and protease inhibitors. Virologically defined treatment failure was a strong predictor of resistance, indicating that viral load testing is needed to correctly identify patients with treatment failure attributable to resistance.

  16. Prevalence and antimicrobial resistance of Listeria monocytogenes isolated in chicken slaughterhouses in Northern Greece.

    Science.gov (United States)

    Sakaridis, I; Soultos, N; Iossifidou, E; Papa, A; Ambrosiadis, I; Koidis, P

    2011-06-01

    This study was conducted to determine the prevalence and antimicrobial resistance of Listeria monocytogenes recovered from chicken carcasses in slaughterhouses in Northern Greece. A total of 100 poultry samples (300 carcasses) were examined for Listeria spp. The samples were neck skin taken from four different slaughterhouses in Northern Greece. Forty samples were also taken from the environment of the slaughterhouses. Identification of L. monocytogenes was carried out by PCR and fingerprinting of the isolates by random amplified polymorphic DNA. L. monocytogenes strains isolated from chicken carcasses and from the environment of the slaughterhouses were also examined for antibiotic resistance. Fifty-five isolates of L. monocytogenes were tested for susceptibility to 20 antibiotics using the disk diffusion method. Listeria spp. were present in 99 of the poultry samples tested (99%), and 38 yielded L monocytogenes (38%). L. monocytogenes was also isolated in 80% of samples from the environment of a certain slaughterhouse, while the other slaughterhouses were found to be contaminated only with Listeria spp. All isolates were resistant to nalidixic acid and oxolinic acid, the majority of them to clindamycin, and only a few to tetracycline and oxytetracycline, whereas they were found to be susceptible to all other antimicrobials. The results of this study demonstrate a high prevalence of L. monocytogenes contamination in chicken carcasses, and all isolates were found to be sensitive to the antimicrobials most commonly used to treat human listeriosis.

  17. Prevalence and molecular characterization of staphylococci isolated from sheep with subclinical mastitis in West-Azerbaijan province, Iran

    Science.gov (United States)

    Rahman, Bentolhoda; Ownagh, Abdolghaffar; Mardani, Karim; Farrokhi Ardebili, Farhad

    2016-01-01

    This study was conducted to investigate the prevalence of subclinical mastitis caused by Staphylococcus spp. in ewes in West-Azerbaijan province of Iran. Molecular characterization of isolated Staphylococcus spp. from diseased ewes were performed using polymerase chain reaction (PCR) followed by restriction fragment length polymorphism (RFLP) and DNA sequencing of glyceraldehyde-3-phosphate dehydrogenase (gap) gene. Also, antibiotic resistance of staphylococcal isolates against different antibiotics was investigated. A total number of 900 milk samples from 450 native ewes in their mid-lactation period were examined by the California mastitis test (CMT). The CMT positive samples were cultured and bacteria were isolated from 86 (9.50%) glands and 74 (16.40%) ewes. The prevalence of subclinical mastitis in the examined ewes was 16.40%. Microbiological analysis of milk samples revealed that 27 out of 74 sheep with subclinical mastitis were infected with Staphylococcus spp. Amplification of gap gene of 27 Staphylococcus isolates generated a single amplicon of 933 bp in size confirming that isolates were belonged to Staphylococcus genus. Digestion of PCR products by AluI endonuclease generated different RFLP patterns for each species. Nucleotide sequencing of gap gene followed by phylogenetic analysis showed that the most dominant Staphylococcus species were S. epidermidis, S. xylosus and S. chromogenes. Staphylococcal isolates showed the highest resistance to penicillin and ampicillin. In conclusion, Staphylococcus species, except for the southern parts of the province, play an important role in the development of subclinical mastitis in sheep in West-Azerbaijan province of Iran. Also, chloramphenicol, ciprofloxacin and neomycin are the most effective antibiotics for treatment of this disease. PMID:27482361

  18. Prevalence and invasiveness of community-acquired methicillin-resistant Staphylococcus aureus: A meta-analysis

    Directory of Open Access Journals (Sweden)

    Shipeng Li

    2014-01-01

    Full Text Available Background: Reports suggest that the prevalence of community-acquired methicillin-resistant Staphylococcus aureus (CA-MRSA has increased, and that CA-MRSA is more virulent than healthcare-associated (HA-MRSA. Aims: The aim of this study is to gain a better understanding of the invasiveness and prevalence of CA-MRSA in patients; we systematically reviewed the literature by conducting a meta-analysis. Materials and Methods: We searched the MEDLINE and PUBMED databases from the year these databases were established to January 2013. Results: The pooled CA-MRSA prevalence among 50,737 patients from 33 studies was 39.0% (range, 30.8-47.8%. The pooled CA-MRSA prevalence rates among pediatric and adult patients with MRSA infection were 50.2% (range, 37.5-62.8% and 42.3% (range, 16.4-73.3%, respectively. The pooled CA-MRSA prevalence rates of MRSA-infected patients in Asia, Europe, and North America were 23.1% (range, 12.0-39.8%, 37.4% (range, 21.1-56.4%, and 47.4% (range, 35.8-59.4%, respectively. Using the random effects model, we determined that the pooled odds ratio of invasive infections in CA- and HA-MRSA was 0.30 (95% confidence interval: 0.08-1.10; P = 0.07, test for heterogeneity P < 0.00001. Conclusions: The prevalence of CA-MRSA in MRSA infection varied with area and population. No difference in the ability to cause invasive infections was found between CA- and HA-MRSA. This finding challenges the view that CA-MRSA is more virulent than HA-MRSA.

  19. Antimicrobial Resistance in Invasive Bacterial Infections in Hospitalized Children, Cambodia, 2007-2016.

    Science.gov (United States)

    Fox-Lewis, Andrew; Takata, Junko; Miliya, Thyl; Lubell, Yoel; Soeng, Sona; Sar, Poda; Rith, Kolthida; McKellar, Gregor; Wuthiekanun, Vanaporn; McGonagle, Erin; Stoesser, Nicole; Moore, Catrin E; Parry, Christopher M; Turner, Claudia; Day, Nicholas P J; Cooper, Ben S; Turner, Paul

    2018-05-01

    To determine trends, mortality rates, and costs of antimicrobial resistance in invasive bacterial infections in hospitalized children, we analyzed data from Angkor Hospital for Children, Siem Reap, Cambodia, for 2007-2016. A total of 39,050 cultures yielded 1,341 target pathogens. Resistance rates were high; 82% each of Escherichia coli and Klebsiella pneumoniae isolates were multidrug resistant. Hospital-acquired isolates were more often resistant than community-acquired isolates; resistance trends over time were heterogeneous. K. pneumoniae isolates from neonates were more likely than those from nonneonates to be resistant to ampicillin-gentamicin and third-generation cephalosporins. In patients with community-acquired gram-negative bacteremia, third-generation cephalosporin resistance was associated with increased mortality rates, increased intensive care unit admissions, and 2.26-fold increased healthcare costs among survivors. High antimicrobial resistance in this setting is a threat to human life and the economy. In similar low-resource settings, our methods could be reproduced as a robust surveillance model for antimicrobial resistance.

  20. Effects of chlortetracycline and copper supplementation on the prevalence, distribution, and quantity of antimicrobial resistance genes in the fecal metagenome of weaned pigs.

    Science.gov (United States)

    Agga, Getahun E; Scott, H Morgan; Vinasco, Javier; Nagaraja, T G; Amachawadi, Raghavendra G; Bai, Jianfa; Norby, Bo; Renter, David G; Dritz, Steve S; Nelssen, Jim L; Tokach, Mike D

    2015-05-01

    Use of in-feed antibiotics such as chlortetracycline (CTC) in food animals is fiercely debated as a cause of antimicrobial resistance in human pathogens; as a result, alternatives to antibiotics such as heavy metals have been proposed. We used a total community DNA approach to experimentally investigate the effects of CTC and copper supplementation on the presence and quantity of antimicrobial resistance elements in the gut microbial ecology of pigs. Total community DNA was extracted from 569 fecal samples collected weekly over a 6-week period from groups of 5 pigs housed in 32 pens that were randomized to receive either control, CTC, copper, or copper plus CTC regimens. Qualitative and quantitative PCR were used to detect the presence of 14 tetracycline resistance (tet) genes and to quantify gene copies of tetA, tetB, blaCMY-2 (a 3rd generation cephalosporin resistance gene), and pcoD (a copper resistance gene), respectively. The detection of tetA and tetB decreased over the subsequent sampling periods, whereas the prevalence of tetC and tetP increased. CTC and copper plus CTC supplementation increased both the prevalence and gene copy numbers of tetA, while decreasing both the prevalence and gene copies of tetB. In summary, tet gene presence was initially very diverse in the gut bacterial community of weaned pigs; thereafter, copper and CTC supplementation differentially impacted the prevalence and quantity of the various tetracycline, ceftiofur and copper resistance genes resulting in a less diverse gene population. Published by Elsevier B.V.