WorldWideScience

Sample records for polysilicon process based

  1. Design and Development of Polysilicon-based Microhotplate for Gas Sensing Application

    Directory of Open Access Journals (Sweden)

    Mahanth PRASAD

    2009-04-01

    Full Text Available The paper presents the design and development of a polysilicon-based microhotplate (MHP on a SiO2 membrane formed by bulk micromachining in orientation P-type silicon. The chip comprises four microheater cells, which can be used separately or in series combination. The chip size is 2.1 × 2.1 sq. mm. The design and simulation of a single-cell microhotplate is carried out using ANSYS. The complete fabrication process is described in this paper. The temperature coefficient of resistance (TCR of polysilicon resistors of values 5.7 kW and 3.36 kW has been measured as 0.69 × 10-3 and 0.5 × 10-3 per °C respectively. These values are used to estimate the temperature of the polysilicon heater by measuring the change in resistance value of the resistor on applying a voltage to it. Temperatures up to 367 °C have been calculated at low bias voltages. As the sensitivity of the gas sensing film is temperature dependent, the developed hotplate will be used as a platform for fabricating the gas sensors.

  2. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    International Nuclear Information System (INIS)

    Wang, Quan; Zhang, Yanmin; Hu, Ran; Ren, Naifei; Ge, Daohan

    2013-01-01

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases

  3. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Quan, E-mail: wangq@mail.ujs.edu.cn [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Zhang, Yanmin; Hu, Ran; Ren, Naifei [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); Ge, Daohan [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Transducer Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2013-11-14

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases.

  4. The influences of fluorine and process variations on polysilicon film stress and MOSFET hot carrier effects

    Science.gov (United States)

    Lowry, Lynn E.; Macwilliams, Kenneth P.; Isaac, Mary

    1991-01-01

    The use of fluorinated gate oxides may provide an improvement in nMOSFET reliability by enhancing hot carrier resistance. In order to clarify the mechanisms by which polysilicon processing and fluorination influence the oxide behavior, a matrix of nMOSFET structures was prepared using various processing, doping, and implantation strategies. These structures were evaluated for crystalline morphology and chemical element distribution. Mechanical stress measurements were taken on the polysilicon films from room temperature to cryogenic temperature. These examinations showed that fluorination of a structure with randomly oriented polysilicon can reduce residual mechanical stress and improve hot carrier resistance at room temperature.

  5. Fabrication process for CMUT arrays with polysilicon electrodes, nanometre precision cavity gaps and through-silicon vias

    International Nuclear Information System (INIS)

    Due-Hansen, J; Poppe, E; Summanwar, A; Jensen, G U; Breivik, L; Wang, D T; Schjølberg-Henriksen, K; Midtbø, K

    2012-01-01

    Capacitive micromachined ultrasound transducers (CMUTs) can be used to realize miniature ultrasound probes. Through-silicon vias (TSVs) allow for close integration of the CMUT and read-out electronics. A fabrication process enabling the realization of a CMUT array with TSVs is being developed. The integrated process requires the formation of highly doped polysilicon electrodes with low surface roughness. A process for polysilicon film deposition, doping, CMP, RIE and thermal annealing that resulted in a film with sheet resistance of 4.0 Ω/□ and a surface roughness of 1 nm rms has been developed. The surface roughness of the polysilicon film was found to increase with higher phosphorus concentrations. The surface roughness also increased when oxygen was present in the thermal annealing ambient. The RIE process for etching CMUT cavities in the doped polysilicon gave a mean etch depth of 59.2 ± 3.9 nm and a uniformity across the wafer ranging from 1.0 to 4.7%. The two presented processes are key processes that enable the fabrication of CMUT arrays suitable for applications in for instance intravascular cardiology and gastrointestinal imaging. (paper)

  6. Mechanical properties of phosphorus-doped polysilicon films

    CERN Document Server

    Lee, S W; Kim, J P; Park, S J; Yi, S W; Cho, D I; Kim, J J

    1998-01-01

    Polysilicon films deposited by low pressure chemical vapor deposition (LPCVD) are the most widely used structural material in microelectromechanical systems (MEMS). However, the structural properties of LPCVD polysilicon films are known to vary significantly, depending on deposition conditions as well as post-deposition processes. This paper investigates the effects of phosphorus doping and texture on Young's modulus of polysilicon films. Polysilicon films are deposited at 585 .deg. C, 605 .deg. C, and 625 .deg. C to a thickness of 2 mu m. Specimens with varying phosphorus doping levels are prepared by the diffusion process at various temperatures and times using both POCl sub 3 and phosphosilicate glass (PSG) source. Texture is measured using an X-ray diffractometer. Young's modulus is estimated from the average values of the resonant frequencies measured from four-different size lateral resonators. Our results show that Young's modulus of diffusion doped polysilicon films decreases with increasing doping co...

  7. Fabrication and characteristics of magnetic field sensors based on nano-polysilicon thin-film transistors

    International Nuclear Information System (INIS)

    Zhao Xiaofeng; Wen Dianzhong; Zhuang Cuicui; Cao Jingya; Wang Zhiqiang

    2013-01-01

    A magnetic field sensor based on nano-polysilicon thin films transistors (TFTs) with Hall probes is proposed. The magnetic field sensors are fabricated on 〈100〉 orientation high resistivity (ρ > 500 Ω·cm) silicon substrates by using CMOS technology, which adopt nano-polysilicon thin films with thicknesses of 90 nm and heterojunction interfaces between the nano-polysilicon thin films and the high resistivity silicon substrates as the sensing layers. The experimental results show that when V DS = 5.0 V, the magnetic sensitivities of magnetic field sensors based on nano-polysilicon TFTs with length—width ratios of 160 μm/80 μm, 320 μm/80 μm and 480 μm/80 μm are 78 mV/T, 55 mV/T and 34 mV/T, respectively. Under the same conditions, the magnetic sensitivity of the obtained magnetic field sensor is significantly improved in comparison with a Hall magnetic field sensor adopting silicon as the sensing layers. (semiconductor technology)

  8. Numerical analysis of a polysilicon-based resistive memory device

    KAUST Repository

    Berco, Dan; Chand, Umesh

    2018-01-01

    This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles

  9. Multi-layer enhancement to polysilicon surface-micromachining technology

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.J.; Rodgers, M.S. [Sandia National Labs., Albuquerque, NM (United States). Intelligent Micromachine Dept.

    1997-10-01

    A multi-level polysilicon surface-micromachining technology consisting of 5 layers of polysilicon is presented. Surface topography and film mechanical stress are the major impediments encountered in the development of a multilayer surface-micromachining process. However, excellent mechanical film characteristics have been obtained through the use of chemical-mechanical polishing for planarization of topography and by proper sequencing of film deposition with thermal anneals. Examples of operating microactuators, geared power-transfer mechanisms, and optical elements demonstrate the mechanical advantages of construction with 5 polysilicon layers.

  10. Measurement of reaction heats using a polysilicon-based microcalorimetric sensor

    NARCIS (Netherlands)

    Vereshchagina, E.; Wolters, Robertus A.M.; Gardeniers, Johannes G.E.

    2011-01-01

    In this work we present a low-cost, low-power, small sample volume microcalorimetric sensor for the measurement of reaction heats. The polysilicon-based microcalorimetric sensor combines several advantages: (i) complementary metal oxide semiconductor technology (CMOS) for future integration; (ii)

  11. Novel vertical silicon photodiodes based on salicided polysilicon trenched contacts

    International Nuclear Information System (INIS)

    Kaminski, Yelena; Shauly, Eitan; Paz, Yaron

    2015-01-01

    The classical concept of silicon photodiodes comprises of a planar design characterized by heavily doped emitters. Such geometry has low collection efficiency of the photons absorbed close to the surface. An alternative, promising, approach is to use a vertical design. Nevertheless, realization of such design is technologically challenged, hence hardly explored. Herein, a novel type of silicon photodiodes, based on salicided polysilicon trenched contacts, is presented. These contacts can be prepared up to 10 μm in depth, without showing any leakage current associated with the increase in the contact area. Consequently, the trenched photodiodes revealed better performance than no-trench photodiodes. A simple two dimensional model was developed, allowing to estimate the conditions under which a vertical design has the potential to have better performance than that of a planar design. At large, the deeper the trench is, the better is the vertical design relative to the planar (up to 10 μm for silicon). The vertical design is more advantageous for materials characterized by short diffusion lengths of the carriers. Salicided polysilicon trenched contacts open new opportunities for the design of solar cells and image sensors. For example, these contacts may passivate high contact area buried contacts, by virtue of the conformity of polysilicon interlayer, thus lowering the via resistance induced recombination enhancement effect

  12. Novel vertical silicon photodiodes based on salicided polysilicon trenched contacts

    Energy Technology Data Exchange (ETDEWEB)

    Kaminski, Yelena [Department of Chemical Engineering, Technion, Haifa (Israel); TowerJazz Ltd. Migdal Haemek (Israel); Shauly, Eitan [TowerJazz Ltd. Migdal Haemek (Israel); Paz, Yaron, E-mail: paz@tx.technion.ac.il [Department of Chemical Engineering, Technion, Haifa (Israel)

    2015-12-07

    The classical concept of silicon photodiodes comprises of a planar design characterized by heavily doped emitters. Such geometry has low collection efficiency of the photons absorbed close to the surface. An alternative, promising, approach is to use a vertical design. Nevertheless, realization of such design is technologically challenged, hence hardly explored. Herein, a novel type of silicon photodiodes, based on salicided polysilicon trenched contacts, is presented. These contacts can be prepared up to 10 μm in depth, without showing any leakage current associated with the increase in the contact area. Consequently, the trenched photodiodes revealed better performance than no-trench photodiodes. A simple two dimensional model was developed, allowing to estimate the conditions under which a vertical design has the potential to have better performance than that of a planar design. At large, the deeper the trench is, the better is the vertical design relative to the planar (up to 10 μm for silicon). The vertical design is more advantageous for materials characterized by short diffusion lengths of the carriers. Salicided polysilicon trenched contacts open new opportunities for the design of solar cells and image sensors. For example, these contacts may passivate high contact area buried contacts, by virtue of the conformity of polysilicon interlayer, thus lowering the via resistance induced recombination enhancement effect.

  13. CMOS-compatible method for doping of buried vertical polysilicon structures by solid phase diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Turkulets, Yury [Micron Semiconductor Israel Ltd., Qiryat Gat 82109 (Israel); Department of Electrical and Computer Engineering, Ben Gurion University of the Negev, Beer-Sheva 8410501 (Israel); Silber, Amir; Ripp, Alexander; Sokolovsky, Mark [Micron Semiconductor Israel Ltd., Qiryat Gat 82109 (Israel); Shalish, Ilan, E-mail: shalish@bgu.ac.il [Department of Electrical and Computer Engineering, Ben Gurion University of the Negev, Beer-Sheva 8410501 (Israel)

    2016-03-28

    Polysilicon receives attention nowadays as a means to incorporate 3D-structured photonic devices into silicon processes. However, doping of buried layers of a typical 3D structure has been a challenge. We present a method for doping of buried polysilicon layers by solid phase diffusion. Using an underlying silicon oxide layer as a dopant source facilitates diffusion of dopants into the bottom side of the polysilicon layer. The polysilicon is grown on top of the oxide layer, after the latter has been doped by ion implantation. Post-growth heat treatment drives in the dopant from the oxide into the polysilicon. To model the process, we studied the diffusion of the two most common silicon dopants, boron (B) and phosphorus (P), using secondary ion mass spectroscopy profiles. Our results show that shallow concentration profiles can be achieved in a buried polysilicon layer using the proposed technique. We present a quantitative 3D model for the diffusion of B and P in polysilicon, which turns the proposed method into an engineerable technique.

  14. Design and simulation of betavoltaic battery using large-grain polysilicon

    International Nuclear Information System (INIS)

    Yao, Shulin; Song, Zijun; Wang, Xiang; San, Haisheng; Yu, Yuxi

    2012-01-01

    In this paper, we present the design and simulation of a p–n junction betavoltaic battery based on large-grain polysilicon. By the Monte Carlo simulation, the average penetration depth were obtained, according to which the optimal depletion region width was designed. The carriers transport model of large-grain polysilicon is used to determine the diffusion length of minority carrier. By optimizing the doping concentration, the maximum power conversion efficiency can be achieved to be 0.90% with a 10 mCi/cm 2 Ni-63 source radiation. - Highlights: ► Ni 63 is employed as the pure beta radioisotope source. ► The planar p–n junction betavoltaic battery is based on large-grain polysilicon. ► The carriers transport model of large-grain polysilicon is used to determine the diffusion length of minority carrier. ► The average penetration depth was obtained by using the Monte Carlo Method.

  15. Low cost thin film poly-silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2005-07-01

    This report presents the results of a project to design and develop a high density plasma based thin-film poly-silicon (TFPS) deposition system based on PQL proprietary advanced plasma technology to produce semiconductor quality TFPS for fabricating a TFPS solar cell. Details are given of the TFPS deposition system, the material development programme, solar cell structure, and cell efficiencies. The reproducibility of the deposition process and prospects for commercial exploitation are discussed.

  16. Development of the silane process for the production of low-cost polysilicon

    Science.gov (United States)

    Iya, S. K.

    1986-01-01

    It was recognized that the traditional hot rod type deposition process for decomposing silane is energy intensive, and a different approach for converting silane to silicon was chosen. A 1200 metric tons/year capacity commercial plant was constructed in Moses Lake, Washington. A fluidized bed processor was chosen as the most promising technology and several encouraging test runs were conducted. This technology continues to be very promising in producing low cost polysilicon. The Union Carbide silane process and the research development on the fluidized bed silane decomposition are discussed.

  17. Macrodesign for microdevices: Polysilicon surface-micromachining technology, applications and issues

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.J. [Sandia National Labs., Albuquerque, NM (United States). Intelligent Micromachine Dept.

    1997-05-01

    The intent of this tutorial is to overview the technology of multi-level polysilicon surface micromachining, to present examples of devices which fully utilize this level of complexity, and to discuss what they believe to be significant issues which are not fully resolved. Following this intent, the tutorial consists of four sections. The first is an introduction and description of multi-level polysilicon surface micromachining and its potential benefits. Specifically, the inclusion of a third deposited layer of mechanical polysilicon greatly extends the degree of complexity available for micromechanism design. The second section introduces wafer planarization by CMP as a process tool for surface micromachining. The third section presents examples of actuated geared micromechanisms which require the multi-level fabrication process. Demonstration of actuation mechanisms coupled to external devices are illustrated. Finally, polysilicon surface micromachining fabrication technology has reached a level where many device designs, for the most part, can be embodied in the technology to produce a mechanical construct which provides the desired function. When designed properly, the fabricated mechanical element, if free to operate, will produce the desired function. However, one set of issues which can hinder or prevent operation are related to the post-fabricated device surfaces. These surface issues; namely, stiction, friction, and wear, are emphasized in the final section as a major hindrance to realizing the full potential of surface micromachined devices.

  18. Piezoresistive polysilicon film obtained by low-temperature aluminum-induced crystallization

    International Nuclear Information System (INIS)

    Patil, Suraj Kumar; Celik-Butler, Zeynep; Butler, Donald P.

    2010-01-01

    A low-temperature deposition process employing aluminum-induced crystallization has been developed for fabrication of piezoresistive polycrystalline silicon (polysilicon) films on low cost and flexible polyimide substrates for force and pressure sensing applications. To test the piezoresistive properties of the polysilicon films, prototype pressure sensors were fabricated on surface-micromachined silicon nitride (Si 3 N 4 ) diaphragms, in a half-Wheatstone bridge configuration. Characterization of the pressure sensor was performed using atomic force microscope in contact mode with a specially modified probe-tip. Low pressure values ranging from 5 kPa to 45 kPa were achieved by this method. The resistance change was found to be - 0.1% to 0.5% and 0.07% to 0.3% for polysilicon films obtained at 500 o C and 400 o C, respectively, for the applied pressure range.

  19. Proceedings of the Flat-Plate Solar Array Project Workshop on Low-Cost Polysilicon for Terrestrial Photovoltaic Solar-Cell Applications

    Science.gov (United States)

    1986-01-01

    Sessions conducted included: polysilicon material requirements; economics; process development in the U.S.; international process development; and polysilicon market and forecasts. Twenty-one papers were presented and discussed.

  20. Enhancement of Electrical Properties of Nanostructured Polysilicon Layers Through Hydrogen Passivation.

    Science.gov (United States)

    Zhou, D; Xu, T; Lambert, Y; Cristini-Robbe; Stiévenard, D

    2015-12-01

    The light absorption of polysilicon planar junctions can be improved using nanostructured top surfaces due to their enhanced light harvesting properties. Nevertheless, associated with the higher surface, the roughness caused by plasma etching and defects located at the grain boundary in polysilicon, the concentration of the recombination centers increases, leading to electrical performance deterioration. In this work, we demonstrate that wet oxidation combined with hydrogen passivation using SiN(x):H are the key technological processes to significantly decrease the surface recombination and improve the electrical properties of nanostructured n(+)-i-p junctions. Nanostructured surface is fabricated by nanosphere lithography in a low-cost and controllable approach. Furthermore, it has been demonstrated that the successive annealing of silicon nitride films has significant effect on the passivation quality, resulting in some improvements on the efficiency of the Si nanostructure-based solar cell device.

  1. Control of polysilicon on-film particulates with on-product measurements

    Science.gov (United States)

    Barker, Judith B.; Chain, Elizabeth E.; Plachecki, Vincent E.

    1997-08-01

    Historically, a number of in-line particle measurements have been performed on separate test wafers included with product wafers during polysilicon processes. By performing film thickness and particulate measurements directly on product wafers, instead, a number of benefits accrue: (1) reduced test wafer usage, (2) reduced test wafer storage requirements, (3) reduced need for equipment to reclaim test wafers, (4) reduced need for direct labor to reclaim test wafers, and (5) reduced engineering 'false alarms' due to incorrectly processed test wafers. Implementation of on-product measurements for the polysilicon diffusion process required a number of changes in both philosophy and methodology. We show the necessary steps to implementation of on-product particle measurements with concern for overall manufacturing efficiency and the need to maintain appropriate control. Particle results from the Tencor 7600 Surfscan are presented.

  2. Characteristics and Breakdown Behaviors of Polysilicon Resistors for High Voltage Applications

    Directory of Open Access Journals (Sweden)

    Xiao-Yu Tang

    2015-01-01

    Full Text Available With the rapid development of the power integrated circuit technology, polysilicon resistors have been widely used not only in traditional CMOS circuits, but also in the high voltage applications. However, there have been few detailed reports about the polysilicon resistors’ characteristics, like voltage and temperature coefficients and breakdown behaviors which are critical parameters of high voltage applications. In this study, we experimentally find that the resistance of the polysilicon resistor with a relatively low doping concentration shows negative voltage and temperature coefficients, while that of the polysilicon resistor with a high doping concentration has positive voltage and temperature coefficients. Moreover, from the experimental results of breakdown voltages of the polysilicon resistors, it could be deduced that the breakdown of polysilicon resistors is thermally rather than electrically induced. We also proposed to add an N-type well underneath the oxide to increase the breakdown voltage in the vertical direction when the substrate is P-type doped.

  3. Proceedings of the flat-plate solar array project workshop on low-cost polysilicon for terrestrial photovoltaic solar-cell applications

    Energy Technology Data Exchange (ETDEWEB)

    1986-02-01

    Separate abstracts were prepared for 21 papers in this workshop proceedings. Topics covered include: polysilicon material requirements; economics; process developments in the USA and internationally; and the polysilicon market and forecasts. (LEW)

  4. A manufacturing method for multi-layer polysilicon surface-micromachining technology

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.J.; Rodgers, M.S.

    1998-01-01

    An advanced manufacturing technology which provides multi-layered polysilicon surface micromachining technology for advanced weapon systems is presented. Specifically, the addition of another design layer to a 4 levels process to create a 5 levels process allows consideration of fundamentally new architecture in designs for weapon advanced surety components.

  5. The effect of fluorine in low thermal budget polysilicon emitters for SiGe heterojunction bipolar transistors

    International Nuclear Information System (INIS)

    Schiz, F.J.W.

    1999-03-01

    results are explained by the different evolution of defects in as-deposited α-Si and p-Si. The application of fluorine in low thermal budget polysilicon emitters is demonstrated ill a novel self-aligned SiGe heterojunction bipolar transistor concept which is implemented using selective and non-selective epitaxy. The process has the advantage of layer growth ill a single epitaxy step, no growth interfaces in the depletion regions, and oxide isolation as all intrinsic part of the device structure. The device electrical results demonstrate the feasibility of the transistor concept. A detailed analysis of leakage currents is performed and a correlation made with cross-section TEM micrographs. It is shown that E/C leakage is due to punch through at the perimeter of the transistor where the SiGe base is thinner. E/B is explained by the penetration of the E/B depletion region into the extrinsic at the perimeter of the emitter. By directing the extrinsic base implant into single crystal material at the perimeter of the base, both leakage mechanisms can be avoided. (author)

  6. Fabrication Effects on Polysilicon-based Micro cantilever Piezo resistivity for Biological Sensing Application

    International Nuclear Information System (INIS)

    Nina Korlina Madzhi; Balkish Natra; Mastura Sidek; Khuan, L.Y.; Anuar Ahmad

    2011-01-01

    In principle, adsorption of biological molecules on a functionalized surface of a micro fabricated cantilever will cause a surface stress and consequently the cantilever bending. In this work, four different type of polysilicon-based piezo resistive micro cantilever sensors were designed to increase the sensitivity of the micro cantilevers sensor because the forces involved is very small. The design and optimization was performed by using finite element analysis to maximize the relative resistance changes of the piezo resistors as a function of the cantilever vertical displacements. The resistivity of the piezo resistivity micro cantilevers was analyzed before and after dicing process. The maximum resistance changes were systematically investigated by varying the piezo resistor length. The results show that although the thickness of piezo resistor was the same at 0.5 μm the resistance value was varied. (author)

  7. A study of different types of current mirrors using polysilicon TFTs

    International Nuclear Information System (INIS)

    Pappas, I; Nalpantidis, L; Kalenteridis, V; Siskos, S; Dimitriadis, C A; Hatzopoulos, A A

    2005-01-01

    Polysilicon thin-film technology has become of great interest due to the demand for large area electronic devices. Active matrix liquid crystal displays (AMLCDs) and active matrix organic light emitting displays (AMOLEDs) are among the fields where polysilicon thin-film transistors (poly-Si TFTs) are most commonly used. Such devices, generally, require analog signal processing. This fact makes the performance of basic analog blocks, such as current mirrors implemented with poly-Si TFTs, crucial. This paper examines the performance of various current mirror designs through simulation. Finally, a novel design of a current mirror is proposed aimed to be used in low voltage applications

  8. Numerical analysis of a polysilicon-based resistive memory device

    KAUST Repository

    Berco, Dan

    2018-03-08

    This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles. The low and high resistive state values in each cycle are calculated, and the analysis shows that the structure has excellent retention reliability properties. The presented Cu species density plot indicates that Cu insertion occurs almost exclusively along grain boundaries resulting in a confined isomorphic conductive filament that maintains its overall shape and electric properties during cycling. The superior reliability of this structure may thus be attributed to the relatively low amount of Cu migrating into the RSL during initial formation. In addition, the results show a good match and help to confirm experimental measurements done over a previously demonstrated device.

  9. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  10. Topology optimized electrothermal polysilicon microgrippers

    DEFF Research Database (Denmark)

    Sardan Sukas, Özlem; Petersen, Dirch Hjorth; Mølhave, Kristian

    2008-01-01

    This paper presents the topology optimized design procedure and fabrication of electrothermal polysilicon microgrippers for nanomanipulation purposes. Performance of the optimized microactuators is compared with a conventional three-beam microactuator design through finite element analysis...

  11. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  12. Review of the workshop on low-cost polysilicon for terrestrial photovoltaic solar cell applications

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    Topics reviewed include: polysilicon material requirements; effects of impurities; requirements for high-efficiency solar cells; economics; development of silane processes; fluidized-bed processor development; silicon purification; and marketing.

  13. A fully integrated, monolithic, cryogenic charge sensitive preamplifier using N-channel JFETs and polysilicon resistors

    International Nuclear Information System (INIS)

    Jung, T.S.; Guckel, H.; Seefeldt, J.; Ott, G.; Ahn, Y.C.

    1994-01-01

    In this paper, an integrated charge preamplifier to be used with small (10--30 mm 2 ) Si(Li) and Ge(Li) X-ray detectors is described. The preamplifier is designed to operate at cryogenic temperatures (∼100 K to 160 K) for the best performance. An N-channel JFET process technology for integrated charge sensitive preamplifiers has been developed. The process integrates multiple pinch-off voltage JFETs fabricated in an n-type epitaxial layer on a low resistivity p-type substrate. The process also incorporates polysilicon resistors integrated on the same die as the JFETs. The optimized polysilicon resistors exhibit 1/f noise nearly as good as metal film resistors at the same current. Results for integrated amplifier are discussed

  14. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  15. In situ phosphorus-doped polysilicon for excitation and detection in micromechanical resonators

    NARCIS (Netherlands)

    Bouwstra, S.; Bouwstra, Siebe; de Weerd, Eddy L; Elwenspoek, Michael Curt

    Low-pressure chemical vapour deposited (LPCVD) in situ phosphorus-doped polysilicon films have been grown from a 60:30:300 sccm silane:phosphine (2000 ppm):nitrogen mass-flow mixture at 625°C under varied process conditions. Thickness uniformity, grain size, dopant concentration, resistivity,

  16. Polysilicon tft's fabricated by crystallization of a-si:h enhanced by hydrogen plasma

    International Nuclear Information System (INIS)

    Gallegos, O.; Garcia, R.; Estrada, M.; Cerdeira, A.; Leyva, A.

    2001-01-01

    Poly-silicon thin film transistors (TFTs) are widely applied in integrated LCD driving circuits and image sensors, because they have better characteristics than a-Si:H TFTs. Poly-silicon can deposited or obtained by crystallization of amorphous silicon layers after annealing above 900 oC. For the last years, research is been done in order to crystallize a- Si:H films at low temperature and time budget. In this work we present crystallization at 650 oC of intrinsic and doped a-Si:H layers after a hydrogen plasma annealing to enhanced the crystallization process. Intrinsic layers crystallized in 4-6 hours after annealing in hydrogen plasma, while doped layers crystallized for the same annealing times, independently of been or not annealed in hydrogen plasma. Layers were characterized by XRD and by resistivity measurements. Resistivity of n-type layers changed from 300 to 0.02 cm after crystallization. Resistivity of i-layers also decreased, but both values are very high and it is difficult to determine with precision its change. The high resistivity of the polycrystalline layers is determined by the small grain size. Poly-silicon TFTs were fabricated using the above procedure to crystallize the amorphous layers. The complete fabrication process is presented. Output characteristics are shown and compared to same characteristics for a-Si:H TFTs fabricated simultaneously with the exception of the crystallization process. TFTs' sensibility to light was also used to verify that crystallization took place

  17. In-situ doped junctionless polysilicon nanowires field effect transistors for low-cost biosensors

    Directory of Open Access Journals (Sweden)

    Azeem Zulfiqar

    2017-04-01

    Full Text Available Silicon nanowire (SiNW field effect transistor based biosensors have already been proven to be a promising tool to detect biomolecules. However, the most commonly used fabrication techniques involve expensive Silicon-On-Insulator (SOI wafers, E-beam lithography and ion-implantation steps. In the work presented here, a top down approach to fabricate SiNW junctionless field effect biosensors using novel in-situ doped polysilicon is demonstrated. The p-type polysilicon is grown with an optimum boron concentration that gives a good metal-silicon electrical contact while maintaining the doping level at a low enough level to provide a good sensitivity for the biosensor. The silicon nanowires are patterned using standard photolithography and a wet etch method. The metal contacts are made from magnetron sputtered TiW and e-beam evaporation of gold. The passivation of electrodes has been done by sputtered Si3N4 which is patterned by a lift-off process. The characterization of the critical fabrication steps is done by Secondary Ion Mass Spectroscopy (SIMS and by statistical analysis of the measurements made on the width of the SiNWs. The electrical characterization of the SiNW in air is done by sweeping the back gate voltage while keeping the source drain potential to a constant value and surface characterization is done by applying liquid gate in phosphate buffered saline (PBS solution. The fabricated SiNWs sensors functionalized with (3-aminopropyltriethoxysilane (APTES have demonstrated good sensitivity in detecting different pH buffer solutions. Keywords: In-situ doped, Polysilicon nanowire, Field effect transistor, Biosensor

  18. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  19. Wear mechanisms and friction parameters for sliding wear of micron-scale polysilicon sidewalls

    NARCIS (Netherlands)

    Alsem, D. H.; van der Hulst, R.; Stach, E. A.; Dugger, M. T.; De Hosson, J. Th. M.; Ritchie, R. O.

    As tribological properties are critical factors in the reliability of silicon-based microelectromechanical systems, it is important to understand what governs wear and friction. Average dynamic friction, wear volumes and morphology have been studied for polysilicon devices fabricated using the

  20. Modeling Impact-induced Failure of Polysilicon MEMS: A Multi-scale Approach.

    Science.gov (United States)

    Mariani, Stefano; Ghisi, Aldo; Corigliano, Alberto; Zerbini, Sarah

    2009-01-01

    Failure of packaged polysilicon micro-electro-mechanical systems (MEMS) subjected to impacts involves phenomena occurring at several length-scales. In this paper we present a multi-scale finite element approach to properly allow for: (i) the propagation of stress waves inside the package; (ii) the dynamics of the whole MEMS; (iii) the spreading of micro-cracking in the failing part(s) of the sensor. Through Monte Carlo simulations, some effects of polysilicon micro-structure on the failure mode are elucidated.

  1. Trench process and structure for backside contact solar cells with polysilicon doped regions

    Science.gov (United States)

    De Ceuster, Denis; Cousins, Peter John; Smith, David D.

    2010-12-14

    A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.

  2. Effects of DC gate and drain bias stresses on the degradation of excimer laser crystallized polysilicon thin film transistors

    International Nuclear Information System (INIS)

    Kouvatsos, D N; Michalas, L; Voutsas, A T; Papaioannou, G J

    2005-01-01

    The effects of gate and drain bias stresses on thin film transistors fabricated in polysilicon films crystallized using the advanced sequential lateral solidification excimer laser annealing (SLS ELA) process, which yields very elongated polysilicon grains and allows the fabrication of TFTs without grain boundary barriers to current flow, are investigated as a function of the active layer thickness and of the TFT orientation relative to the grains. The application of hot carrier stress, with a condition of V GS = V DS /2, was determined to induce threshold voltage, subthreshold swing and transconductance degradation for TFTs in thicker polysilicon films and the associated stress-induced increase in the active layer trap density was evaluated. However, this device degradation was drastically reduced for TFTs fabricated in ultra-thin films. Furthermore, the application of the same stress condition to TFTs oriented vertically to the elongated grains resulted in similar threshold voltage shift but in substantially decreased subthreshold swing and transconductance degradation. The immunity of ultra-thin active layer devices to degradation under hot carrier stress clearly suggests the implementation of ultra thin SLS ELA polysilicon films for the fabrication of TFTs exhibiting not only high performance but, especially, the high reliability needed for integrated systems on panel

  3. Design, Modeling, Fabrication, and Evaluation of Thermoelectric Generators with Hot-Wire Chemical Vapor Deposited Polysilicon as Thermoelement Material

    Science.gov (United States)

    de Leon, Maria Theresa; Tarazona, Antulio; Chong, Harold; Kraft, Michael

    2014-11-01

    This paper presents the design, modeling, fabrication, and evaluation of thermoelectric generators (TEGs) with p-type polysilicon deposited by hot-wire chemical vapor deposition (HWCVD) as thermoelement material. A thermal model is developed based on energy balance and heat transfer equations using lumped thermal conductances. Several test structures were fabricated to allow characterization of the boron-doped polysilicon material deposited by HWCVD. The film was found to be electrically active without any post-deposition annealing. Based on the tests performed on the test structures, it is determined that the Seebeck coefficient, thermal conductivity, and electrical resistivity of the HWCVD polysilicon are 113 μV/K, 126 W/mK, and 3.58 × 10-5 Ω m, respectively. Results from laser tests performed on the fabricated TEG are in good agreement with the thermal model. The temperature values derived from the thermal model are within 2.8% of the measured temperature values. For a 1-W laser input, an open-circuit voltage and output power of 247 mV and 347 nW, respectively, were generated. This translates to a temperature difference of 63°C across the thermoelements. This paper demonstrates that HWCVD, which is a cost-effective way of producing solar cells, can also be applied in the production of TEGs. By establishing that HWCVD polysilicon can be an effective thermoelectric material, further work on developing photovoltaic-thermoelectric (PV-TE) hybrid microsystems that are cost-effective and better performing can be explored.

  4. Ion-implanted capacitively coupled silicon strip detectors with integrated polysilicon bias resistors processed on a 100 mm wafer

    International Nuclear Information System (INIS)

    Hietanen, I.; Lindgren, J.; Orava, R.; Tuuva, T.; Voutilainen, M.; Brenner, R.; Andersson, M.; Leinonen, K.; Ronkainen, H.

    1991-01-01

    Double-sided silicon strip detectors with integrated coupling capacitors and polysilicon resistors have been processed on a 100 mm wafer. A detector with an active area of 19x19 mm 2 was connected to LSI readout electronics and tested. The strip pitch of the detector is 25 μm on the p-side and 50 μm on the n-side. The readout pitch is 50 μm on both sides. The number of readout strips is 774 and the total number of strips is 1161. On the p-side a signal-to-noise of 35 has been measured using a 90 Sr β-source. The n-side has been studied using a laser. (orig.)

  5. Gettering effects in RAD polysilicon ribbons: An XPS/AES study

    Energy Technology Data Exchange (ETDEWEB)

    Amarray, E.; Deville, J.P.; Quesada, J.

    1987-03-01

    Evidence for gettering effects during the various heat treatments applied to RAD ribbons were investigated with surface analysis methods. These heat treatments occur either during the burning-off process of the carbon support or during the POCl/sub 3/ diffusion, and transport metallic impurities near the surface of the sample. Oxidation of clean silicon surfaces, cleaved either in air or ultra-high vacuum, has shown that the same metallic impurities are brought near the surface by this treatment alone. It is thus suggested that controlled oxidations and heat treatments can be used to improve the electrical properties of polysilicon, and especially of ribbons.

  6. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  7. High polysilicon TFT field effect mobility reached thanks to slight phosphorus content in the active layer

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Rogel, R.; Alzaied, N.; Fathallah, M.; Mohammed-Brahim, T.

    2008-01-01

    The paper deals with the effect of slightly phosphorus atoms introduced during deposition of polysilicon films. Polysilicon films are used as an active layer in thin film transistors (TFTs) fabricated on glass substrates at a maximum temperature of 600 deg. C.Three phosphorus atoms contents, determined by the value of the phosphine to silane ratio: Γ (3.7 x 10 -7 , 8 x 10 -7 , 26 x 10 -6 ), are used to optimize the active layer quality. The in-situ doped layers induce a better stability of the electrical characteristics, a higher mobility and lower value of the threshold voltage for the slightly doped active layers [M. Zaghdoudi, M.M. Abdelkrim, M. Fathallah, T. Mohammed-Brahim and F. Le-Bihan Control of the weak phosphorus doping in polysilicon, Materials Science and Forum, Vols. 480-481 (2005) pp.305.]. The present work shows that the effect of slightly phosphorus content improves the quality of oxide/polysilicon interface and decreases the defects density. Degradation of electrical properties is shown to originate from the creation of defect at the channel-interface oxide and in the grain boundaries. The effect of temperature change on the electrical properties was studied and the behaviour was also analyzed

  8. (Electro-) mechanical characteristics of electrostatically driven vacuum encapsulated polysilicon resonators

    NARCIS (Netherlands)

    Tilmans, H.A.C.; Tilmans, H.A.C.; Legtenberg, Rob; Legtenberg, R.; Schurer, H.; Schurer, H.; IJntema, D.J.; Ijntema, D.J.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    The design, fabrication and performance of vacuum-encapsulated electrostatically driven polysilicon resonating beams, 210-510 μm long, 100 μm wide, and 1.5 μm thick, are described. The shortest beams have a fundamental frequency of 324 kHz, a gauge factor of 2400 and a quality factor of 600 at

  9. Design and Modeling of Polysilicon Electrothermal Actuators for a MEMS Mirror with Low Power Consumption

    Directory of Open Access Journals (Sweden)

    Miguel Lara-Castro

    2017-06-01

    Full Text Available Endoscopic optical-coherence tomography (OCT systems require low cost mirrors with small footprint size, out-of-plane deflections and low bias voltage. These requirements can be achieved with electrothermal actuators based on microelectromechanical systems (MEMS. We present the design and modeling of polysilicon electrothermal actuators for a MEMS mirror (100 μm × 100 μm × 2.25 μm. These actuators are composed by two beam types (2.25 μm thickness with different cross-section area, which are separated by 2 μm gap. The mirror and actuators are designed through the Sandia Ultra-planar Multi-level MEMS Technology V (SUMMiT V® process, obtaining a small footprint size (1028 μm × 1028 µm for actuators of 550 µm length. The actuators have out-of-plane displacements caused by low dc voltages and without use material layers with distinct thermal expansion coefficients. The temperature behavior along the actuators is calculated through analytical models that include terms of heat energy generation, heat conduction and heat energy loss. The force method is used to predict the maximum out-of-plane displacements in the actuator tip as function of supplied voltage. Both analytical models, under steady-state conditions, employ the polysilicon resistivity as function of the temperature. The electrothermal-and structural behavior of the actuators is studied considering different beams dimensions (length and width and dc bias voltages from 0.5 to 2.5 V. For 2.5 V, the actuator of 550 µm length reaches a maximum temperature, displacement and electrical power of 115 °C, 10.3 µm and 6.3 mW, respectively. The designed actuation mechanism can be useful for MEMS mirrors of different sizes with potential application in endoscopic OCT systems that require low power consumption.

  10. Integrated investigation approach for determining mechanical properties of poly-silicon membranes

    OpenAIRE

    Brueckner, J.; Dehe, A.; Auerswald, E.; Dudek, R.; Michel, B.; Rzepka, S.

    2014-01-01

    A methodology is presented for determining mechanical properties of free-standing thin films such as poly-silicon membranes. The integrated investigation approach comprises test structure development, mechanical testing, and numerical simulation. All membrane test structures developed and manufactured consist of the same material but have different stiffness due to variations in the geometric design. The mechanical tests apply microscopic loads utilizing a nanoindentation tool. Young's modulu...

  11. Polysilicon high frequency devices for large area electronics: Characterization, simulation and modeling

    Energy Technology Data Exchange (ETDEWEB)

    Botrel, J L [CEA-LETI 17, rue des Martyrs 38054 Grenoble (France); IMEP 23, rue des Martyrs 38016 Grenoble (France)], E-mail: jean-loius.botrel@cea.fr; Savry, O; Rozeau, O; Templier, F [CEA-LETI 17, rue des Martyrs 38054 Grenoble (France); Jomaah, J [IMEP 23, rue des Martyrs 38016 Grenoble (France)

    2007-07-16

    Laser Crystallised Polysilicon Thin Film Transistors have now sufficient good conduction properties to be used in high-frequency applications. In this work, we report the results for 5 {mu}m long polysilicon TFTs obtained at frequencies up to several hundred MHz for applications such as RFID tags or System-On-Panel. In order to investigate the device operation, DC and AC two-dimensional simulations of these devices in the Effective Medium framework have been performed. In the light of simulation results, the effects of carrier trapping and carrier transit on the device capacitances as a function of dimensions are analysed and compared. An equivalent small-signal circuit which accounts for the behaviour of these transistors in all regions of operation is proposed and a model for the most relevant elements of this circuit is presented. To validate our simulation results, scattering-parameters (S-parameters) measurements are performed for several structures such as multi-finger, serpentine and linear architectures and the most meaningful parameters will be given. Cut-off frequencies as high as 300 MHz and maximum oscillation frequencies of about 600 MHz have been extracted.

  12. Investigation of the stability of polysilicon layers in SOI-structures under irradiation by electrons and hard magnetic field influence

    Directory of Open Access Journals (Sweden)

    Khoverko Yu. N.

    2010-10-01

    Full Text Available The properties of recrystallized polysilicon on insulator layers of p-type conductive SOI-structures with different carrier concentration irradiated with high-energy electrons flow about 1017 сm–2 in temperature range 4,2—300 К and high magnetic fields were investigated. It was found that heavily doped laser recrystallized polysilicon on insulator layers show its radiation resistance under irradiation with high-energy electrons and magnetoresistance of such material remains quite low in magnetic field about 14 T does not exceed 1—2%. Such qulity can be applied in designing of microelectronic sensors of mechanical values operable in hard conditions of exploitation.

  13. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  14. Investigation of a new low cost and low consumption single poly-silicon memory

    Directory of Open Access Journals (Sweden)

    Patrick Calenzo

    2010-10-01

    Full Text Available In this paper is presented an investigation on a new low cost and voltage consumption single poly-silicon memory cell for passive RFID (Radio Frequency IDentificationapplications. This structure is low cost due to its single poly-silicon design. This memory cell has two particularities : the first one is that no deported capacitor is necessary to program this cell which allows to reduce the structure size to 1.1μm². The second one is the way the cell is erased. A Zener diode is used to generate carriers in order to be injected into the floating gate. This Zener diode is one of the key points for the functionality that has to be validated with some electrical trials. These trials permit to integrate and use the Zener diodes measured in simulations of the complete memory cell. This is done to validate the best candidate between the Zener diodes used for the cell and highlight the efficiency in consumption and rapidity to erase the cell. Besides, the writing and the reading cases are simulated in order to show the low consumption required by the cell during these phases.

  15. Sensitivity enhancement of polysilicon piezo-resistive pressure sensors with phosphorous diffused resistors

    International Nuclear Information System (INIS)

    Sivakumar, K; Dasgupta, N; Bhat, K N; Natarajan, K

    2006-01-01

    It is generally accepted that the piezo-resistive coefficient in single crystal silicon is higher when P-type impurities such as boron are used for doping the resistors. In this paper we demonstrate that the sensitivity of polycrystalline silicon piezo-resistive pressure sensors can be enhanced considerably when phosphorus diffusion source is used instead of boron dopant for realizing the piezo-resistors. Pressure sensors have been designed and fabricated with the polycrystalline piezo-resistors connected in the form of a Wheatstone bridge and laid out on thermal oxide grown on membranes obtained with a Silicon On Insulator (SOI) approach. The SOI wafers required for this purpose have been realized in-house by Silicon Fusion Bonding (SFB) and etch back technique in our laboratory. This approach provides excellent isolation between the resistors and enables zero temperature coefficient of the polysilicon resistor. The results obtained in our laboratory have clearly demonstrated that by optimizing the phosphorus diffusion temperature and duration, it is possible to achieve sensitivities in excess of 20mV /Bar for bridge input voltage of 10V, with linearity within 1% over a differential pressure range up to 10Bar (10 6 Pascal), and burst pressure in excess of 50 Bar as compared to the 10mV /Bar sensitivity obtained with boron doped polysilicon piezo-resistors. This enhancement is attributed to grain boundary passivation by phosphorous atoms

  16. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  17. Development of AC-coupled, poly-silicon biased, p-on-n silicon strip detectors in India for HEP experiments

    Science.gov (United States)

    Jain, Geetika; Dalal, Ranjeet; Bhardwaj, Ashutosh; Ranjan, Kirti; Dierlamm, Alexander; Hartmann, Frank; Eber, Robert; Demarteau, Marcel

    2018-02-01

    P-on-n silicon strip sensors having multiple guard-ring structures have been developed for High Energy Physics applications. The study constitutes the optimization of the sensor design, and fabrication of AC-coupled, poly-silicon biased sensors of strip width of 30 μm and strip pitch of 55 μm. The silicon wafers used for the fabrication are of 4 inch n-type, having an average resistivity of 2-5 k Ω cm, with a thickness of 300 μm. The electrical characterization of these detectors comprises of: (a) global measurements of total leakage current, and backplane capacitance; (b) strip and voltage scans of strip leakage current, poly-silicon resistance, interstrip capacitance, interstrip resistance, coupling capacitance, and dielectric current; and (c) charge collection measurements using ALiBaVa setup. The results of the same are reported here.

  18. Design, Simulation and Characteristics Research of the Interface Circuit based on nano-polysilicon thin films pressure sensor

    Science.gov (United States)

    Zhao, Xiaosong; Zhao, Xiaofeng; Yin, Liang

    2018-03-01

    This paper presents a interface circuit for nano-polysilicon thin films pressure sensor. The interface circuit includes consist of instrument amplifier and Analog-to-Digital converter (ADC). The instrumentation amplifier with a high common mode rejection ratio (CMRR) is implemented by three stages current feedback structure. At the same time, in order to satisfy the high precision requirements of pressure sensor measure system, the 1/f noise corner of 26.5 mHz can be achieved through chopping technology at a noise density of 38.2 nV/sqrt(Hz).Ripple introduced by chopping technology adopt continuous ripple reduce circuit (RRL), which achieves the output ripple level is lower than noise. The ADC achieves 16 bits significant digit by adopting sigma-delta modulator with fourth-order single-bit structure and digital decimation filter, and finally achieves high precision integrated pressure sensor interface circuit.

  19. Impurity incorporation in R.A.D. polysilicon layers and consequences on their electrical properties

    International Nuclear Information System (INIS)

    Revel, G.; Deschamps, N.; Deville, J.P.; Texier-Hervo, C.; Belouet, C.

    1982-01-01

    The growth of polysilicon layers by direct freezing of a film on a carbon ribbon by the RAD process goes along with a contamination of the silicon melt by carbon and its compositional impurities. This paper reports on this contamination effect studied mainly by means of neutron activation analyses (NAA) and its consequences on the electrical properties of the layers. The purification of the carbon ribbons in chlorine at high temperatures results in low contamination levels of the melt; NAA evidenced a significant segregation at the growth front, the effective partition coefficients determined being in the 10 - 1 to 10 - 3 range. Even though impurities are shown to impair the device performances, it is concluded that they are not necessarily incorporated in an electrically active form. Finally, AM 1 conversion efficiencies of 12% are reported for RAD cells 4 cm 2 in size. (Auth.)

  20. System Dynamics of Polysilicon for Solar Photovoltaics: A Framework for Investigating the Energy Security of Renewable Energy Supply Chains

    Directory of Open Access Journals (Sweden)

    Debra Sandor

    2018-01-01

    Full Text Available Renewable energy, produced with widely available low-cost energy resources, is often included as a component of national strategies to address energy security and sustainability. Market and political forces cannot disrupt the sun or wind, unlike oil and gas supplies. However, the cost of renewable energy is highly dependent on technologies manufactured through global supply chains in leading manufacturing countries. The countries that contribute to the global supply chains may take actions that, directly or indirectly, influence global access to materials and components. For example, high-purity polysilicon, a key material in solar photovoltaics, has experienced significant price fluctuations, affecting the manufacturing capacity and cost of both polysilicon and solar panels. This study developed and validated an initial system dynamics framework to gain insights into global trade in polysilicon. The model represents an initial framework for exploration. Three regions were modeled—China, the United States, and the rest of the world—for a range of trade scenarios to understand the impacts of import duties and non-price drivers on the relative volumes of imports and domestic supply. The model was validated with the historical case of China imposing an import duty on polysilicon from the United States, the European Union, and South Korea, which altered the regional flows of polysilicon—in terms of imports, exports, and domestic production—to varying degrees. As expected, the model tracked how regional demand shares and influx volumes decrease as a duty on a region increases. Using 2016 as a reference point, in the scenarios examined for U.S. exports to China, each 10% increase in the import duty results in a 40% decrease in import volume. The model also indicates that, under the scenarios investigated, once a duty has been imposed on a region, the demand share from that region declines and does not achieve pre-duty levels, even as global

  1. Design and Fabrication of Piezoresistive Based Encapsulated Poly-Si Cantilevers for Bio/chemical Sensing

    Science.gov (United States)

    Krishna, N. P. Vamsi; Murthy, T. R. Srinivasa; Reddy, K. Jayaprakash; Sangeeth, K.; Hegde, G. M.

    Cantilever-based sensing is a growing research field not only within micro regime but also in nano technology. The technology offers a method for rapid, on-line and in-situ monitoring of specific bio/chemical substances by detecting the nanomechanical responses of a cantilever sensor. Cantilever with piezoresistive based detection scheme is more attractive because of its electronics compatibility. Majority of commercially available micromachined piezoresistive sensors are bulk micromachined devices and are fabricated using single crystal silicon wafers. As substrate properties are not important in surface micromachining, the expensive silicon wafers can be replaced by cheaper substrates, such as poly-silicon, glass or plastic. Here we have designed SU-8 based bio/chemical compatible micro electro mechanical device that includes an encapsulated polysilicon piezoresistor for bio/chemical sensing. In this paper we report the design, fabrication and analysis of the encapsulated poly-Si cantilevers. Design and theoretical analysis are carried out using Finite Element Analysis software. For fabrication of poly-silicon piezoresistive cantilevers we followed the surface micromachining process steps. Preliminary characterization of the cantilevers is presented.

  2. EBSD analysis of polysilicon films formed by aluminium induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Auger, J.M. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A.; Montgomery, P.C. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Maurice, C. [SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    Among the methods for enlarging the grain size of polycrystalline silicon (poly-Si) thin films, aluminium induced crystallization (AIC) of amorphous silicon is considered to be a very promising approach. In the AIC process, a thin a-Si layer on top of an aluminium layer crystallizes at temperatures well below the eutectic temperature of the Al/Si system (T{sub eu} = 577 deg. C). By means of electron backscattering diffraction (EBSD), we have mainly studied the effect of the aluminium layer quality varying the deposition system on the grain size, the defects and the preferential crystallographic orientation. We have found a strong correlation between the mean grain size and the size distribution with the Al deposition system and the surface quality. Furthermore, we show for the first time that more than 50% of the surface of the AIC films grown on alumina substrates are (103) preferentially oriented, instead of the commonly observed (100) preferential orientation. This may have important consequences for epitaxial thickening of the AIC layer into polysilicon absorber layers for solar cells.

  3. Self-Heating Effects In Polysilicon Source Gated Transistors

    Science.gov (United States)

    Sporea, R. A.; Burridge, T.; Silva, S. R. P.

    2015-01-01

    Source-gated transistors (SGTs) are thin-film devices which rely on a potential barrier at the source to achieve high gain, tolerance to fabrication variability, and low series voltage drop, relevant to a multitude of energy-efficient, large-area, cost effective applications. The current through the reverse-biased source barrier has a potentially high positive temperature coefficient, which may lead to undesirable thermal runaway effects and even device failure through self-heating. Using numerical simulations we show that, even in highly thermally-confined scenarios and at high current levels, self-heating is insufficient to compromise device integrity. Performance is minimally affected through a modest increase in output conductance, which may limit the maximum attainable gain. Measurements on polysilicon devices confirm the simulated results, with even smaller penalties in performance, largely due to improved heat dissipation through metal contacts. We conclude that SGTs can be reliably used for high gain, power efficient analog and digital circuits without significant performance impact due to self-heating. This further demonstrates the robustness of SGTs. PMID:26351099

  4. A Study of Polycrystalline Silicon Damage Features Based on Nanosecond Pulse Laser Irradiation with Different Wavelength Effects.

    Science.gov (United States)

    Xu, Jiangmin; Chen, Chao; Zhang, Tengfei; Han, Zhenchun

    2017-03-03

    Based on PVDF (piezoelectric sensing techniques), this paper attempts to study the propagation law of shock waves in brittle materials during the process of three-wavelength laser irradiation of polysilicon, and discusses the formation mechanism of thermal shock failure. The experimental results show that the vapor pressure effect and the plasma pressure effect in the process of pulsed laser irradiation lead to the splashing of high temperature and high density melt. With the decrease of the laser wavelength, the laser breakdown threshold decreases and the shock wave is weakened. Because of the pressure effect of the laser shock, the brittle fracture zone is at the edge of the irradiated area. The surface tension gradient and surface shear wave caused by the surface wave are the result of coherent coupling between optical and thermodynamics. The average propagation velocity of laser shock wave in polysilicon is 8.47 × 103 m/s, and the experiment has reached the conclusion that the laser shock wave pressure peak exponentially distributes attenuation in the polysilicon.

  5. A Study of Polycrystalline Silicon Damage Features Based on Nanosecond Pulse Laser Irradiation with Different Wavelength Effects

    Directory of Open Access Journals (Sweden)

    Jiangmin Xu

    2017-03-01

    Full Text Available Based on PVDF (piezoelectric sensing techniques, this paper attempts to study the propagation law of shock waves in brittle materials during the process of three-wavelength laser irradiation of polysilicon, and discusses the formation mechanism of thermal shock failure. The experimental results show that the vapor pressure effect and the plasma pressure effect in the process of pulsed laser irradiation lead to the splashing of high temperature and high density melt. With the decrease of the laser wavelength, the laser breakdown threshold decreases and the shock wave is weakened. Because of the pressure effect of the laser shock, the brittle fracture zone is at the edge of the irradiated area. The surface tension gradient and surface shear wave caused by the surface wave are the result of coherent coupling between optical and thermodynamics. The average propagation velocity of laser shock wave in polysilicon is 8.47 × 103 m/s, and the experiment has reached the conclusion that the laser shock wave pressure peak exponentially distributes attenuation in the polysilicon.

  6. Physical and chemical processes for the generation of 1-μm-structures

    International Nuclear Information System (INIS)

    Mader, L.

    1979-01-01

    The following processes for the realization of fine structures in isolator and metal layers on silicon wafers have been studied: Wet chemical etching of silicon dioxide and aluminum layers; plasma etching of polysilicon layers; ion beam etching of silicon dioxide and polysilicon layers, lift-off technique for metal pattern generation. Test structures and functioning integrated circuits (memory cells, CCDs) with minimum dimensions of 1.5 μm were realized using these methods of pattern generation. (orig.) 891 ORU/orig. 892 MB [de

  7. An effective approach for restraining electrochemical corrosion of polycrystalline silicon caused by an HF-based solution and its application for mass production of MEMS devices

    International Nuclear Information System (INIS)

    Liu, Yunfei; Xie, Jing; Zhao, Hui; Luo, Wei; Yang, Jinling; An, Ji; Yang, Fuhua

    2012-01-01

    This paper presents a novel method to effectively protect the structural material polycrystalline silicon (polysilicon) from electrochemical corrosion, which often occurs when the MEMS device is released in HF-based solutions, especially when the device contains a noble metal. This corrosion seriously degrades the electrical and mechanical performance as well as the reliability of MEMS devices. In this method, a photoresist (PR) is employed to cover the noble metal, which is electrically coupled with the underlying polysilicon layer. This PR cover can effectually prevent an HF-based solution from diffusing through and arriving at the surface of the noble metal, thus cutting off the electrical current of the electrochemical corrosion reaction. The polysilicon is well protected for longer than 80 min in 49% concentrated HF solutions by a 3 µm-thick AZ 6130 PR film. This fabrication process is simple, reliable and suitable for mass production of high-end micromechanical disk resonators. Benefiting from the technology breakthrough mentioned above, a novel low-cost microfabrication method for disk resonators with high performance has been developed, and the VHF polysilicon disk resonators with resonance frequencies around 282 MHz and Q values larger than 2000 at atmosphere have been produced at wafer level. (paper)

  8. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  9. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  10. Gas Nozzle Effect on the Deposition of Polysilicon by Monosilane Siemens Reactor

    Directory of Open Access Journals (Sweden)

    Seung Oh Kang

    2012-01-01

    Full Text Available Deposition of polysilicon (poly-Si was tried to increase productivity of poly-Si by using two different types of gas nozzle in a monosilane Bell-jar Siemens (MS-Siemens reactor. In a mass production of poly-Si, deposition rate and energy consumption are very important factors because they are main performance indicators of Siemens reactor and they are directly related with the production cost of poly-Si. Type A and B nozzles were used for investigating gas nozzle effect on the deposition of poly-Si in a MS-Siemens reactor. Nozzle design was analyzed by computation cluid dynamics (CFD. Deposition rate and energy consumption of poly-Si were increased when the type B nozzle was used. The highest deposition rate was 1 mm/h, and the lowest energy consumption was 72 kWh⋅kg-1 in this study.

  11. Characteristics of Polysilicon Wire Glucose Sensors with a Surface Modified by Silica Nanoparticles/γ-APTES Nanocomposite

    Directory of Open Access Journals (Sweden)

    Jheng-Jia Jhuang

    2011-03-01

    Full Text Available This report investigates the sensing characteristics of polysilicon wire (PSW glucose biosensors, including thickness characteristics and line-width effects on detection limits, linear range and interference immunity with membranes coated by micropipette/spin-coating and focus-ion-beam (FIB processed capillary atomic-force-microscopy (C-AFM tip scan/coating methods. The PSW surface was modified with a mixture of 3-aminopropyl-triethoxysilane (γ-APTES and polydimethylsiloxane (PDMS-treated hydrophobic fumed silica nanoparticles (NPs. We found that the thickness of the γ-APTES+NPs nonocomposite could be controlled well at about 22 nm with small relative standard deviation (RSD with repeated C-AFM tip scan/coatings. The detection limit increased and linear range decreased with the line width of the PSW through the tip-coating process. Interestingly, the interference immunity ability improves as the line width increases. For a 500 nm-wide PSW, the percentage changes of the channel current density changes (ΔJ caused by acetaminophen (AP can be kept below 3.5% at an ultra-high AP-to-glucose concentration ratio of 600:1. Simulation results showed that the line width dependence of interference immunity was strongly correlated with the channel electrical field of the PSW biosensor.

  12. Low-frequency noise behavior of polysilicon emitter bipolar junction transistors: a review

    Science.gov (United States)

    Deen, M. Jamal; Pascal, Fabien

    2003-05-01

    For many analog integrated circuit applications, the polysilicon emitter bipolar junction transistor (PE-BJT) is still the preferred choice because of its higher operational frequency and lower noise performance characteristics compared to MOS transistors of similar active areas and at similar biasing currents. In this paper, we begin by motivating the reader with reasons why bipolar transistors are still of great interest for analog integrated circuits. This motivation includes a comparison between BJT and the MOSFET using a simple small-signal equivalent circuit to derive important parameters that can be used to compare these two technologies. An extensive review of the popular theories used to explain low frequency noise results is presented. However, in almost all instances, these theories have not been fully tested. The effects of different processing technologies and conditions on the noise performance of PE-BJTs is reviewed and a summary of some of the key technological steps and device parameters and their effects on noise is discussed. The effects of temperature and emitter geometries scaling is reviewed. It is shown that dispersion of the low frequency noise in ultra-small geometries is a serious issue since the rate of increase of the noise dispersion is faster than the noise itself as the emitter geometry is scaled to smaller values. Finally, some ideas for future research on PE-BJTs, some of which are also applicable to SiGe heteorjunction bipolar transistors and MOSFETs, are presented after the conclusions.

  13. Optimization of process parameter variations on leakage current in in silicon-oninsulator vertical double gate mosfet device

    Directory of Open Access Journals (Sweden)

    K.E. Kaharudin

    2015-12-01

    Full Text Available This paper presents a study of optimizing input process parameters on leakage current (IOFF in silicon-on-insulator (SOI Vertical Double-Gate,Metal Oxide Field-Effect-Transistor (MOSFET by using L36 Taguchi method. The performance of SOI Vertical DG-MOSFET device is evaluated in terms of its lowest leakage current (IOFF value. An orthogonal array, main effects, signal-to-noise ratio (SNR and analysis of variance (ANOVA are utilized in order to analyze the effect of input process parameter variation on leakage current (IOFF. Based on the results, the minimum leakage current ((IOFF of SOI Vertical DG-MOSFET is observed to be 0.009 nA/µm or 9 ρA/µm while keeping the drive current (ION value at 434 µA/µm. Both the drive current (ION and leakage current (IOFF values yield a higher ION/IOFF ratio (48.22 x 106 for low power consumption application. Meanwhile, polysilicon doping tilt angle and polysilicon doping energy are recognized as the most dominant factors with each of the contributing factor effects percentage of 59% and 25%.

  14. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  15. Formaldehyde gas sensor based on TiO2 thin membrane integrated with nano silicon structure

    Science.gov (United States)

    Zheng, Xuan; Ming, An-jie; Ye, Li; Chen, Feng-hua; Sun, Xi-long; Liu, Wei-bing; Li, Chao-bo; Ou, Wen; Wang, Wei-bing; Chen, Da-peng

    2016-07-01

    An innovative formaldehyde gas sensor based on thin membrane type metal oxide of TiO2 layer was designed and fabricated. This sensor under ultraviolet (UV) light emitting diode (LED) illumination exhibits a higher response to formaldehyde than that without UV illumination at low temperature. The sensitivities of the sensor under steady working condition were calculated for different gas concentrations. The sensitivity to formaldehyde of 7.14 mg/m3 is about 15.91 under UV illumination with response time of 580 s and recovery time of 500 s. The device was fabricated through micro-electro-mechanical system (MEMS) processing technology. First, plasma immersion ion implantation (PIII) was adopted to form black polysilicon, then a nanoscale TiO2 membrane with thickness of 53 nm was deposited by DC reactive magnetron sputtering to obtain the sensing layer. By such fabrication approaches, the nanoscale polysilicon presents continuous rough surface with thickness of 50 nm, which could improve the porosity of the sensing membrane. The fabrication process can be mass-produced for the MEMS process compatibility.

  16. Method for fabricating five-level microelectromechanical structures and microelectromechanical transmission formed

    Science.gov (United States)

    Rodgers, M. Steven; Sniegowski, Jeffry J.; Miller, Samuel L.; McWhorter, Paul J.

    2000-01-01

    A process for forming complex microelectromechanical (MEM) devices having five layers or levels of polysilicon, including four structural polysilicon layers wherein mechanical elements can be formed, and an underlying polysilicon layer forming a voltage reference plane. A particular type of MEM device that can be formed with the five-level polysilicon process is a MEM transmission for controlling or interlocking mechanical power transfer between an electrostatic motor and a self-assembling structure (e.g. a hinged pop-up mirror for use with an incident laser beam). The MEM transmission is based on an incomplete gear train and a bridging set of gears that can be moved into place to complete the gear train to enable power transfer. The MEM transmission has particular applications as a safety component for surety, and for this purpose can incorporate a pin-in-maze discriminator responsive to a coded input signal.

  17. Method for fabricating five-level microelectromechanical structures and microelectromechanical transmission formed

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, M.S.; Sniegowski, J.J.; Miller, S.L.; McWhorter, P.J.

    2000-07-04

    A process is disclosed for forming complex microelectromechanical (MEM) devices having five layers or levels of polysilicon, including four structural polysilicon layers wherein mechanical elements can be formed, and an underlying polysilicon layer forming a voltage reference plane. A particular type of MEM device that can be formed with the five-level polysilicon process is a MEM transmission for controlling or interlocking mechanical power transfer between an electrostatic motor and a self-assembling structure (e.g. a hinged pop-up mirror for use with an incident laser beam). The MEM transmission is based on an incomplete gear train and a bridging set of gears that can be moved into place to complete the gear train to enable power transfer. The MEM transmission has particular applications as a safety component for surety, and for this purpose can incorporate a pin-in-maze discriminator responsive to a coded input signal.

  18. A self-aligned gate definition process with submicron gaps

    NARCIS (Netherlands)

    Warmerdam, L.F.P.; Aarnink, Antonius A.I.; Holleman, J.; Wallinga, Hans

    1989-01-01

    A self-aligned gate definition process is proposed. Spacings between adjacent gates of 0.5 µm and smaller are fabricated. The spacing is realized by an edge-etch technique, combined with anisotropic plasma etching of the single poly-silicon layer. Straight gaps with minor width variation are

  19. Boron diffusion into nitrogen doped silicon films for P{sup +} polysilicon gate structures

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, Farida; Mahamdi, Ramdane; Jalabert, Laurent; Temple-Boyer, Pierre

    2003-06-23

    This paper deals with the study of the boron diffusion in nitrogen doped silicon (NIDOS) deposited from disilane Si{sub 2}H{sub 6} and ammonia NH{sub 3} for the development of P{sup +} polysilicon gate metal oxide semiconductor (MOS) devices. NIDOS films with varied nitrogen content have been boron implanted, then annealed and finally analysed by secondary ion mass spectroscopy (SIMS). In order to simulate the experimental SIMS of boron concentration profiles in the NIDOS films, a model adapted to the particular conditions of the samples elaboration, i.e. the very high boron concentration and the nitrogen content, has been established. The boron diffusion reduction in NIDOS films with increasing nitrogen rates has been evidenced by the profiles as well as by the obtained diffusion coefficients, which shows that the nitrogen incorporation reduces the boron diffusion. This has been confirmed by capacitance-voltage (C-V) measurements performed on MOS capacitors: the higher the nitrogen content, the lower the flat-band voltage. Finally, these results demonstrate that the improvement of the gate oxide quality occurs with the suppression of the boron penetration.

  20. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  1. A Study of Polycrystalline Silicon Damage Features Based on Nanosecond Pulse Laser Irradiation with Different Wavelength Effects

    OpenAIRE

    Xu, Jiangmin; Chen, Chao; Zhang, Tengfei; Han, Zhenchun

    2017-01-01

    Based on PVDF (piezoelectric sensing techniques), this paper attempts to study the propagation law of shock waves in brittle materials during the process of three-wavelength laser irradiation of polysilicon, and discusses the formation mechanism of thermal shock failure. The experimental results show that the vapor pressure effect and the plasma pressure effect in the process of pulsed laser irradiation lead to the splashing of high temperature and high density melt. With the decrease of the ...

  2. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  3. System on chip thermal vacuum sensor based on standard CMOS process

    International Nuclear Information System (INIS)

    Li Jinfeng; Tang Zhenan; Wang Jiaqi

    2009-01-01

    An on-chip microelectromechanical system was fabricated in a 0.5 μm standard CMOS process for gas pressure detection. The sensor was based on a micro-hotplate (MHP) and had been integrated with a rail to rail operational amplifier and an 8-bit successive approximation register (SAR) A/D converter. A tungsten resistor was manufactured on the MHP as the sensing element, and the sacrificial layer of the sensor was made from polysilicon and etched by surface-micromachining technology. The operational amplifier was configured to make the sensor operate in constant current mode. A digital bit stream was provided as the system output. The measurement results demonstrate that the gas pressure sensitive range of the vacuum sensor extends from 1 to 10 5 Pa. In the gas pressure range from 1 to 100 Pa, the sensitivity of the sensor is 0.23 mV/ Pa, the linearity is 4.95%, and the hysteresis is 8.69%. The operational amplifier can drive 200 ω resistors distortionlessly, and the SAR A/D converter achieves a resolution of 7.4 bit with 100 kHz sample rate. The performance of the operational amplifier and the SAR A/D converter meets the requirements of the sensor system.

  4. Electrical characterization of single cells using polysilicon wire ion sensor in an isolation window.

    Science.gov (United States)

    Wu, You-Lin; Hsu, Po-Yen; Hsu, Chung-Ping; Wang, Chih-Cheng; Lee, Li-Wen; Lin, Jing-Jenn

    2011-10-01

    A polysilicon wire (PSW) sensor can detect the H(+) ion density (pH value) of the medium coated on its surface, and different cells produce different extracellular acidification and hence different H(+) ion densities. Based on this, we used a PSW sensor in combination with a mold-cast polydimethylsiloxane (PDMS) isolation window to detect the adhesion, apoptosis and extracellular acidification of single normal cells and single cancer cells. Single living human normal cells WI38, MRC5, and BEAS-2B as well as non-small-cell lung cancer (NSCLC) cells A549, H1299, and CH27 were cultivated separately inside the isolation window. The current flowing through the PSW channel was measured. From the PSW channel current change as a function of time, we determined the cell adhesion time by observing the time required for the current change to saturate, since a stable extracellular ion density was established after the cells were completely adhered to the PSW surface. The apoptosis of cells can also be determined when the channel current change drops to zero. We found that all the NSCLC cells had a higher channel current change and hence a lower pH value than the normal cells anytime after they were seeded. The corresponding average pH values were 5.86 for A549, 6.00 for H1299, 6.20 for CH27, 6.90 for BEAS-2B, 6.96for MRC5, and 7.02 for WI38, respectively, after the cells were completely adhered to the PSW surface. Our results show that NSCLC cells have a stronger cell-substrate adhesion and a higher extracellular acidification rate than normal cells.

  5. Dual-gate polysilicon nanoribbon biosensors enable high sensitivity detection of proteins

    International Nuclear Information System (INIS)

    Zeimpekis, I; Sun, K; Hu, C; Ditshego, N M J; De Planque, M R R; Chong, H M H; Morgan, H; Ashburn, P; Thomas, O

    2016-01-01

    We demonstrate the advantages of dual-gate polysilicon nanoribbon biosensors with a comprehensive evaluation of different measurement schemes for pH and protein sensing. In particular, we compare the detection of voltage and current changes when top- and bottom-gate bias is applied. Measurements of pH show that a large voltage shift of 491 mV pH"−"1 is obtained in the subthreshold region when the top-gate is kept at a fixed potential and the bottom-gate is varied (voltage sweep). This is an improvement of 16 times over the 30 mV pH"−"1 measured using a top-gate sweep with the bottom-gate at a fixed potential. A similar large voltage shift of 175 mV is obtained when the protein avidin is sensed using a bottom-gate sweep. This is an improvement of 20 times compared with the 8.8 mV achieved from a top-gate sweep. Current measurements using bottom-gate sweeps do not deliver the same signal amplification as when using bottom-gate sweeps to measure voltage shifts. Thus, for detecting a small signal change on protein binding, it is advantageous to employ a double-gate transistor and to measure a voltage shift using a bottom-gate sweep. For top-gate sweeps, the use of a dual-gate transistor enables the current sensitivity to be enhanced by applying a negative bias to the bottom-gate to reduce the carrier concentration in the nanoribbon. For pH measurements, the current sensitivity increases from 65% to 149% and for avidin sensing it increases from 1.4% to 2.5%. (paper)

  6. A miniaturized reconfigurable broadband attenuator based on RF MEMS switches

    International Nuclear Information System (INIS)

    Guo, Xin; Gong, Zhuhao; Zhong, Qi; Liang, Xiaotong; Liu, Zewen

    2016-01-01

    Reconfigurable attenuators are widely used in microwave measurement instruments. Development of miniaturized attenuation devices with high precision and broadband performance is required for state-of-the-art applications. In this paper, a compact 3-bit microwave attenuator based on radio frequency micro-electro-mechanical system (RF MEMS) switches and polysilicon attenuation modules is presented. The device comprises 12 ohmic contact MEMS switches, π -type polysilicon resistive attenuation modules and microwave compensate structures. Special attention was paid to the design of the resistive network, compensate structures and system simulation. The device was fabricated using micromachining processes compatible with traditional integrated circuit fabrication processes. The reconfigurable attenuator integrated with RF MEMS switches and resistive attenuation modules was successfully fabricated with dimensions of 2.45  ×  4.34  ×  0.5 mm 3 , which is 1/1000th of the size of a conventional step attenuator. The measured RF performance revealed that the attenuator provides 10–70 dB attenuation at 10 dB intervals from 0.1–20 GHz with an accuracy better than  ±1.88 dB at 60 dB and an error of less than 2.22 dB at 10 dB. The return loss of each state of the 3-bit attenuator was better than 11.95 dB (VSWR  <  1.71) over the entire operating band. (paper)

  7. MEMS capacitive pressure sensor monolithically integrated with CMOS readout circuit by using post CMOS processes

    Science.gov (United States)

    Jang, Munseon; Yun, Kwang-Seok

    2017-12-01

    In this paper, we presents a MEMS pressure sensor integrated with a readout circuit on a chip for an on-chip signal processing. The capacitive pressure sensor is formed on a CMOS chip by using a post-CMOS MEMS processes. The proposed device consists of a sensing capacitor that is square in shape, a reference capacitor and a readout circuitry based on a switched-capacitor scheme to detect capacitance change at various environmental pressures. The readout circuit was implemented by using a commercial 0.35 μm CMOS process with 2 polysilicon and 4 metal layers. Then, the pressure sensor was formed by wet etching of metal 2 layer through via hole structures. Experimental results show that the MEMS pressure sensor has a sensitivity of 11 mV/100 kPa at the pressure range of 100-400 kPa.

  8. Computer assisted design of poly-silicon gated enhancement-mode, lateral double quantum dot devices for quantum computing

    Science.gov (United States)

    Bishop, Nathaniel; Young, Ralph; Borras Pinilla, Carlos; Stalford, Harold; Nielsen, Erik; Muller, Richard; Rahman, Rajib; Tracy, Lisa; Wendt, Joel; Lilly, Michael; Carroll, Malcolm

    2012-02-01

    We discuss trade-offs of different double quantum dot and charge sensor lay-outs using computer assisted design (CAD). We use primarily a semi-classical model, augmented with a self-consistent configuration interaction method. Although CAD for quantum dots is difficult due to uncontrolled factors (e.g., disorder), different ideal designs can still be compared. Comparisons of simulation and measured dot characteristics, such as capacitance, show that CAD can agree well with experiment for relevant cases. CAD results comparing several different designs will be discussed including a comparison to measurement results from the same designs. Trade-offs between poly-silicon and metal gate lay-outs will also be discussed. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. The work was supported by the Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  9. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    Science.gov (United States)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  10. An electrostatic lower stator axial gap wobble motor: design and fabrication

    NARCIS (Netherlands)

    Legtenberg, R.; Legtenberg, Rob; Berenschot, Johan W.; van Baar, J.J.J.; Lammerink, Theodorus S.J.; Elwenspoek, Michael Curt

    1995-01-01

    The fabrication, initial modelling and first results of an electrostatic lower stator axial gap wobble motor are presented. The four mask fabrication process is based on polysilicon surface micromachining techniques. Three to twelve stator pole wobble motor designs have been realized with rotor

  11. The challenge of screen printed Ag metallization on nano-scale poly-silicon passivated contacts for silicon solar cells

    Science.gov (United States)

    Jiang, Lin; Song, Lixin; Yan, Li; Becht, Gregory; Zhang, Yi; Hoerteis, Matthias

    2017-08-01

    Passivated contacts can be used to reduce metal-induced recombination for higher energy conversion efficiency for silicon solar cells, and are obtained increasing attentions by PV industries in recent years. The reported thicknesses of passivated contact layers are mostly within tens of nanometer range, and the corresponding metallization methods are realized mainly by plating/evaporation technology. This high cost metallization cannot compete with the screen printing technology, and may affect its market potential comparing with the presently dominant solar cell technology. Very few works have been reported on screen printing metallization on passivated contact solar cells. Hence, there is a rising demand to realize screen printing metallization technology on this topic. In this work, we investigate applying screen printing metallization pastes on poly-silicon passivated contacts. The critical challenge for us is to build low contact resistance that can be competitive to standard technology while restricting the paste penetrations within the thin nano-scale passivated contact layers. The contact resistivity of 1.1mohm-cm2 and the open circuit voltages > 660mV are achieved, and the most appropriate thickness range is estimated to be around 80 150nm.

  12. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  13. Silicon-based sleeve devices for chemical reactions

    Science.gov (United States)

    Northrup, M. Allen; Mariella, Jr., Raymond P.; Carrano, Anthony V.; Balch, Joseph W.

    1996-01-01

    A silicon-based sleeve type chemical reaction chamber that combines heaters, such as doped polysilicon for heating, and bulk silicon for convection cooling. The reaction chamber combines a critical ratio of silicon and silicon nitride to the volume of material to be heated (e.g., a liquid) in order to provide uniform heating, yet low power requirements. The reaction chamber will also allow the introduction of a secondary tube (e.g., plastic) into the reaction sleeve that contains the reaction mixture thereby alleviating any potential materials incompatibility issues. The reaction chamber may be utilized in any chemical reaction system for synthesis or processing of organic, inorganic, or biochemical reactions, such as the polymerase chain reaction (PCR) and/or other DNA reactions, such as the ligase chain reaction, which are examples of a synthetic, thermal-cycling-based reaction. The reaction chamber may also be used in synthesis instruments, particularly those for DNA amplification and synthesis.

  14. Thermoelectric infrared microsensors based on a periodically suspended thermopile integrating nanostructured Ge/SiGe quantum dots superlattice

    Energy Technology Data Exchange (ETDEWEB)

    Ziouche, K., E-mail: katir.ziouche@iemn.univ-lille1.fr, E-mail: Zahia.bougrioua@iemn.univ-lille1.fr; Bougrioua, Z., E-mail: katir.ziouche@iemn.univ-lille1.fr, E-mail: Zahia.bougrioua@iemn.univ-lille1.fr; Lejeune, P.; Lasri, T.; Leclercq, D. [IEMN, Institute of Electronics, Microelectronics and Nanotechnology, CNRS and Lille 1 University, F-59652 Villeneuve d' Ascq (France); Savelli, G.; Hauser, D.; Michon, P.-M. [CEA, LITEN, Thermoelectricity Laboratory, F-38054 Grenoble (France)

    2014-07-28

    This paper presents an original integration of polycrystalline SiGe-based quantum dots superlattices (QDSL) into Thermoelectric (TE) planar infrared microsensors (μSIR) fabricated using a CMOS technology. The nanostructuration in QDSL results into a considerably reduced thermal conductivity by a factor up to 10 compared to the one of standard polysilicon layers that are usually used for IR sensor applications. A presentation of several TE layers, QDSL and polysilicon, is given before to describe the fabrication of the thermopile-based sensors. The theoretical values of the sensitivity to irradiance of μSIR can be predicted thanks to an analytical model. These findings are used to interpret the experimental measurements versus the nature of the TE layer exploited in the devices. The use of nanostructured QDSL as the main material in μSIR thermopile has brought a sensitivity improvement of about 28% consistent with theoretical predictions. The impact of QDSL low thermal conductivity is damped by the contribution of the thermal conductivity of all the other sub-layers that build up the device.

  15. Bulk disk resonator based ultrasensitive mass sensor

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Davis, Zachary James

    2009-01-01

    range. The sensor has been characterized in terms of sensitivity both for distributed mass detection, performing six consecutive depositions of e-beam evaporated Au, and localized mass detection, depositing approximately 7.5 pg of Pt/Ga/C three times consecutively with a Focused Ion Beam system......In the framework of developing an innovative label-free sensor for multiarrayed biodetection applications, we present a novel bulk resonator based mass sensor. The sensor is a polysilicon disk which shows a Q-factor of 6400 in air at 68.8 MHz, resulting in mass resolutions down in the femtogram....... The sensor has an extremely high distributed mass to frequency shift sensitivity of 60104 Hzcm2/¿g and shows a localized mass to frequency sensitivity up to 4405 Hz/pg with a localized mass resolution down to 15 fg. The device has been fabricated with a new microfabrication process that uses only two...

  16. Study of drain-extended NMOS under electrostatic discharge stress in 28 nm and 40 nm CMOS process

    Science.gov (United States)

    Wang, Weihuai; Jin, Hao; Dong, Shurong; Zhong, Lei; Han, Yan

    2016-02-01

    Researches on the electrostatic discharge (ESD) performance of drain-extended NMOS (DeNMOS) under the state-of-the-art 28 nm and 40 nm bulk CMOS process are performed in this paper. Three distinguishing phases of avalanche breakdown stage, depletion region push-out stage and parasitic NPN turn on stage of the gate-grounded DeNMOS (GG-DeNMOS) fabricated under 28 nm CMOS process measured with transmission line pulsing (TLP) test are analyzed through TCAD simulations and tape-out silicon verification detailedly. Damage mechanisms and failure spots of GG-DeNMOS under both CMOS processes are thermal breakdown of drain junction. Improvements based on the basic structure adjustments can increase the GG-DeNMOS robustness from original 2.87 mA/μm to the highest 5.41 mA/μm. Under 40 nm process, parameter adjustments based on the basic structure have no significant benefits on the robustness improvements. By inserting P+ segments in the N+ implantation of drain or an entire P+ strip between the N+ implantation of drain and polysilicon gate to form the typical DeMOS-SCR (silicon-controlled rectifier) structure, the ESD robustness can be enhanced from 1.83 mA/μm to 8.79 mA/μm and 29.78 mA/μm, respectively.

  17. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  18. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  19. Electrical characterisation of SiGe heterojunction bipolar transistors and Si pseudo-HBTS

    Science.gov (United States)

    De Barros, O.; Le Tron, B.; Woods, R. C.; Giroult-Matlakowski, G.; Vincent, G.; Brémond, G.

    1996-08-01

    This paper reports an electrical characterisation of the emitter-base junction of Si pseudo-HBTs and SiGe HBTs fabricated in a CMOS compatible single polysilicon self-aligned process. From the reverse characteristics it appears that the definition of the emitter-base junction by plasma etching induces peripheral defects that increase the base current of the transistors. Deep level transient spectroscopy measurements show a deep level in the case of SiGe base, whose spatial origin is not fully determinate up to now.

  20. A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots

    OpenAIRE

    Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.

    2001-01-01

    Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...

  1. Analysis and evaluation of process and equipment in tasks 2 and 4 of the Low Cost Solar Array project

    Science.gov (United States)

    Goldman, H.; Wolf, M.

    1978-01-01

    Several experimental and projected Czochralski crystal growing process methods were studied and compared to available operations and cost-data of recent production Cz-pulling, in order to elucidate the role of the dominant cost contributing factors. From this analysis, it becomes apparent that substantial cost reductions can be realized from technical advancements which fall into four categories: an increase in furnace productivity; the reduction of crucible cost through use of the crucible for the equivalent of multiple state-of-the-art crystals; the combined effect of several smaller technical improvements; and a carry over effect of the expected availability of semiconductor grade polysilicon at greatly reduced prices. A format for techno-economic analysis of solar cell production processes was developed, called the University of Pennsylvania Process Characterization (UPPC) format. The accumulated Cz process data are presented.

  2. Manufacturing microsystems-on-a-chip with 5-level surface micromachining technology

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.; Rodgers, M.S.

    1998-05-01

    An agile microsystem manufacturing technology has been developed that provides unprecedented 5 levels of independent polysilicon surface-micromachine films for the designer. Typical surface-micromachining processes offer a maximum of 3 levels, making this the most complex surface-micromachining process technology developed to date. Leveraged from the extensive infrastructure present in the microelectronics industry, the manufacturing method of polysilicon surface-micromachining offers similar advantages of high-volume, high-reliability, and batch-fabrication to microelectromechanical systems (MEMS) as has been accomplished with integrated circuits (ICs). These systems, comprised of microscopic-sized mechanical elements, are laying the foundation for a rapidly expanding, multi-billion dollar industry 2 which impacts the automotive, consumer product, and medical industries to name only a few.

  3. Process-based costing.

    Science.gov (United States)

    Lee, Robert H; Bott, Marjorie J; Forbes, Sarah; Redford, Linda; Swagerty, Daniel L; Taunton, Roma Lee

    2003-01-01

    Understanding how quality improvement affects costs is important. Unfortunately, low-cost, reliable ways of measuring direct costs are scarce. This article builds on the principles of process improvement to develop a costing strategy that meets both criteria. Process-based costing has 4 steps: developing a flowchart, estimating resource use, valuing resources, and calculating direct costs. To illustrate the technique, this article uses it to cost the care planning process in 3 long-term care facilities. We conclude that process-based costing is easy to implement; generates reliable, valid data; and allows nursing managers to assess the costs of new or modified processes.

  4. Numerical and experimental study of a solid pellet feed continuous Czochralski growth process for silicon single crystals

    Science.gov (United States)

    Anselmo, A.; Prasad, V.; Koziol, J.; Gupta, K. P.

    1993-07-01

    A polysilicon pellets (≅1 mm diameter) feed continuous Czochralski (CCZ) growth process for silicon single crystals is proposed and investigated. Experiments in an industrial puller (14-18 inch diameter crucible) successfully demonstrate the feasibility of this process. The advantages of the proposed scheme are: a steady state growth process, a low aspect ratio melt, uniformity of heat addition and a growth apparatus with single crucible and no baffle(s). The addition of dopant with the solid charge will allow a better control of oxygen concentration leading to crystals of uniform properties and better quality. This paper presents theoretical results on melting of fully and partially immersed silicon spheres and numerical solutions on temperature and flow fields in low aspect ration melts with and without the addition of solid pellets. The theoretical and experimental results obtained thus far show a great promise for the proposed scheme.

  5. Fatigue characteristics of polycrystalline silicon thin-film membrane and its dependence on humidity

    International Nuclear Information System (INIS)

    Tanemura, Tomoki; Yamashita, Shuichi; Wado, Hiroyuki; Takeuchi, Yukihiro; Tsuchiya, Toshiyuki; Tabata, Osamu

    2013-01-01

    This paper describes fatigue characteristics of a polycrystalline silicon thin-film membrane under different humidity evaluated by out-of-plane resonant vibration. The membrane, without the surface of sidewalls by patterning of photolithography and etching process, was applied to evaluate fatigue characteristics precisely against the changes in the surrounding humidity owing to narrower deviation in the fatigue lifetime. The membrane has 16 mm square-shaped multilayered films consisting of a 250 or 500 nm thick polysilicon film on silicon dioxide and silicon nitride underlying layers. A circular weight of 12 mm in diameter was placed at the center of the membrane to control the resonant frequency. Stress on the polysilicon film was generated by deforming the membrane oscillating the weight in the out-of-plane direction. The polysilicon film was fractured by fatigue damage accumulation under cyclic stress. The lifetime of the polysilicon membrane extended with lower relative humidity, especially at 5%RH. The results of the fatigue tests were well formulated with Weibull's statistics and Paris’ law. The dependence of fatigue characteristics on humidity has been quantitatively revealed for the first time. The crack growth rate indicated by the fatigue index decreased with the reduction in humidity, whereas the deviation of strength represented by the Weibull modulus was nearly constant against humidity. (paper)

  6. An electrostatic lower stator axial gap wobble motor: design and fabrication

    OpenAIRE

    Legtenberg, R.; Legtenberg, Rob; Berenschot, Johan W.; van Baar, J.J.J.; Lammerink, Theodorus S.J.; Elwenspoek, Michael Curt

    1995-01-01

    The fabrication, initial modelling and first results of an electrostatic lower stator axial gap wobble motor are presented. The four mask fabrication process is based on polysilicon surface micromachining techniques. Three to twelve stator pole wobble motor designs have been realized with rotor radii of 50 and 100 micrometer. A theoretical model predicts torque generations in the nNm range at high electrostatic fields. Motors have typically been operated between 10 and 20 Volts. Initial exper...

  7. Continuous Czochralski growth: Silicon sheet growth development of the large area sheet task of the low cost silicon solar array project

    Science.gov (United States)

    Johnson, C. M.

    1980-12-01

    The growth of 100 kg of silicon single crystal material, ten cm in diameter or greater, and 150 kg of silicon single crystal material 15 cm or greater utilizing one common silicon container material (one crucible) is investigated. A crystal grower that is recharged with a new supply of polysilicon material while still under vacuum and at temperatures above the melting point of silicon is developed. It accepts large polysilicon charges up to 30 kg, grows large crystal ingots (to 15 cm diameter and 25 kg in weight), and holds polysilicon material for recharging (rod or lump) while, at the same time, growing crystal ingots. Special equipment is designed to recharge polysilicon rods, recharge polysilicon lumps, and handle and store large, hot silicon crystal ingots. Many continuous crystal growth runs were performed lasting as long as 109 hours and producing as many as ten crystal ingots, 15 cm with weights progressing to 27 kg.

  8. An acetone microsensor with a ring oscillator circuit fabricated using the commercial 0.18 μm CMOS process.

    Science.gov (United States)

    Yang, Ming-Zhi; Dai, Ching-Liang; Shih, Po-Jen

    2014-07-17

    This study investigates the fabrication and characterization of an acetone microsensor with a ring oscillator circuit using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The acetone microsensor contains a sensitive material, interdigitated electrodes and a polysilicon heater. The sensitive material is α-Fe2O3 synthesized by the hydrothermal method. The sensor requires a post-process to remove the sacrificial oxide layer between the interdigitated electrodes and to coat the α-Fe2O3 on the electrodes. When the sensitive material adsorbs acetone vapor, the sensor produces a change in capacitance. The ring oscillator circuit converts the capacitance of the sensor into the oscillation frequency output. The experimental results show that the output frequency of the acetone sensor changes from 128 to 100 MHz as the acetone concentration increases 1 to 70 ppm.

  9. A Zinc Oxide Nanorod Ammonia Microsensor Integrated with a Readout Circuit on-a-Chip

    Directory of Open Access Journals (Sweden)

    Chyan-Chyi Wu

    2011-11-01

    Full Text Available A zinc oxide nanorod ammonia microsensor integrated with a readout circuit on-a-chip fabricated using the commercial 0.35 mm complementary metal oxide semiconductor (CMOS process was investigated. The structure of the ammonia sensor is composed of a sensitive film and polysilicon electrodes. The ammonia sensor requires a post-process to etch the sacrificial layer, and to coat the sensitive film on the polysilicon electrodes. The sensitive film that is prepared by a hydrothermal method is made of zinc oxide. The sensor resistance changes when the sensitive film adsorbs or desorbs ammonia gas. The readout circuit is used to convert the sensor resistance into the voltage output. Experiments show that the ammonia sensor has a sensitivity of about 1.5 mV/ppm at room temperature.

  10. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  11. Fabrication of functional structures on thin silicon nitride membranes

    NARCIS (Netherlands)

    Ekkels, P.; Tjerkstra, R.W.; Krijnen, Gijsbertus J.M.; Berenschot, Johan W.; Brugger, J.P.; Elwenspoek, Michael Curt

    A process to fabricate functional polysilicon structures above large (4×4 mm2) thin (200 nm), very flat LPCVD silicon rich nitride membranes was developed. Key features of this fabrication process are the use of low-stress LPCVD silicon nitride, sacrificial layer etching, and minimization of

  12. Radiation hard pixel sensors using high-resistive wafers in a 150 nm CMOS processing line

    Science.gov (United States)

    Pohl, D.-L.; Hemperek, T.; Caicedo, I.; Gonella, L.; Hügging, F.; Janssen, J.; Krüger, H.; Macchiolo, A.; Owtscharenko, N.; Vigani, L.; Wermes, N.

    2017-06-01

    Pixel sensors using 8'' CMOS processing technology have been designed and characterized offering the benefits of industrial sensor fabrication, including large wafers, high throughput and yield, as well as low cost. The pixel sensors are produced using a 150 nm CMOS technology offered by LFoundry in Avezzano. The technology provides multiple metal and polysilicon layers, as well as metal-insulator-metal capacitors that can be employed for AC-coupling and redistribution layers. Several prototypes were fabricated and are characterized with minimum ionizing particles before and after irradiation to fluences up to 1.1 × 1015 neq cm-2. The CMOS-fabricated sensors perform equally well as standard pixel sensors in terms of noise and hit detection efficiency. AC-coupled sensors even reach 100% hit efficiency in a 3.2 GeV electron beam before irradiation.

  13. The Research about Preparation of High Purity Hexachlorodisilane

    Science.gov (United States)

    Wan, Ye; Zhao, Xiong; Yan, Dazhou; Zhao, Yu; Guo, Shuhu; Wang, Lei; Yang, Dian

    2017-12-01

    This article demonstrated a technology for producing high purity hexachlorodisilane what is one raw material of Semiconductor industry, which using the method of combination adsorption with rectification, whose material was from polysilicon residues of polysilicon company. This technology could remove most high boiling points chloro-silicane impurities and metal impurities effectively. The purity of Si2Cl6 produced by this technology can be up to 99.9%, the content of metal impurities can be low at 4ppb, which can meet the requirement of industy using completely. The technology extends the routes of Si2Cl6 in localization, having the advantages of simple process, continuous operation, and large capacity and so on.

  14. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  15. Conceptual MEMS Devices for a Redeployable Antenna

    Science.gov (United States)

    2007-09-01

    butterflies could be useful in long-distance missions for example, since they can go without food for 75 days and travel 3000 miles. The white witch moth...in this section. Theory In order to determine how much deflection can be expected out of a polysilicon cantilever with a thin film on top, one...including the radius of curvature R; the thickness of the polysilicon and film layers tp and tf; and the biaxial modulus of polysilicon E’p. It is

  16. Genetic Process Mining: Alignment-based Process Model Mutation

    NARCIS (Netherlands)

    Eck, van M.L.; Buijs, J.C.A.M.; Dongen, van B.F.; Fournier, F.; Mendling, J.

    2015-01-01

    The Evolutionary Tree Miner (ETM) is a genetic process discovery algorithm that enables the user to guide the discovery process based on preferences with respect to four process model quality dimensions: replay fitness, precision, generalization and simplicity. Traditionally, the ETM algorithm uses

  17. Deposition reactors for solar grade silicon: A comparative thermal analysis of a Siemens reactor and a fluidized bed reactor

    Science.gov (United States)

    Ramos, A.; Filtvedt, W. O.; Lindholm, D.; Ramachandran, P. A.; Rodríguez, A.; del Cañizo, C.

    2015-12-01

    Polysilicon production costs contribute approximately to 25-33% of the overall cost of the solar panels and a similar fraction of the total energy invested in their fabrication. Understanding the energy losses and the behaviour of process temperature is an essential requirement as one moves forward to design and build large scale polysilicon manufacturing plants. In this paper we present thermal models for two processes for poly production, viz., the Siemens process using trichlorosilane (TCS) as precursor and the fluid bed process using silane (monosilane, MS). We validate the models with some experimental measurements on prototype laboratory reactors relating the temperature profiles to product quality. A model sensitivity analysis is also performed, and the effects of some key parameters such as reactor wall emissivity and gas distributor temperature, on temperature distribution and product quality are examined. The information presented in this paper is useful for further understanding of the strengths and weaknesses of both deposition technologies, and will help in optimal temperature profiling of these systems aiming at lowering production costs without compromising the solar cell quality.

  18. Process-Based Quality (PBQ) Tools Development

    Energy Technology Data Exchange (ETDEWEB)

    Cummins, J.L.

    2001-12-03

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts.

  19. Phenomena based Methodology for Process Synthesis incorporating Process Intensification

    DEFF Research Database (Denmark)

    Lutze, Philip; Babi, Deenesh Kavi; Woodley, John

    2013-01-01

    at processes at the lowest level of aggregation which is the phenomena level. In this paper, a phenomena based synthesis/design methodology incorporating process intensification is presented. Using this methodology, a systematic identification of necessary and desirable (integrated) phenomena as well......Process intensification (PI) has the potential to improve existing as well as conceptual processes, in order to achieve a more sustainable production. PI can be achieved at different levels. That is, the unit operations, functional and/or phenomena level. The highest impact is expected by looking...... as generation and screening of phenomena based flowsheet options are presented using a decomposition based solution approach. The developed methodology as well as necessary tools and supporting methods are highlighted through a case study involving the production of isopropyl-acetate....

  20. Rapid prototyping of nanotube-based devices using topology-optimized microgrippers

    DEFF Research Database (Denmark)

    Sardan, Özlem; Eichhorn, Volkmar; Petersen, D.H.

    2008-01-01

    Nanorobotic handling of carbon nanotubes (CNTs) using microgrippers is one of the most promising approaches for the rapid characterization of the CNTs and also for the assembly of prototypic nanotube-based devices. In this paper, we present pick-and-place nanomanipulation of multi-walled CNTs...... in a rapid and a reproducible manner. We placed CNTs on copper TEM grids for structural analysis and on AFM probes for the assembly of AFM super-tips. We used electrothermally actuated polysilicon microgrippers designed using topology optimization in the experiments. The microgrippers are able to open...... with an amorphous carbon layer, which is locally removed at the contact points with the microgripper. The assembled AFM super-tips are used for AFM measurements of microstructures with high aspect ratios....

  1. Improvements of deposited interpolysilicon dielectric characteristics with RTP N/sub 2/O-anneal

    NARCIS (Netherlands)

    Klootwijk, J.H.; Weusthof, Marcel H.H.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited instead of thermally grown oxides was studied to form high-quality inter-polysilicon dielectric layers for nonvolatile memories. It was found that by optimizing the texture and morphology of the polysilicon layers, and by optimizing the post-dielectric deposition-anneal,

  2. Passivating electron contact based on highly crystalline nanostructured silicon oxide layers for silicon solar cells

    Czech Academy of Sciences Publication Activity Database

    Stuckelberger, J.; Nogay, G.; Wyss, P.; Jeangros, Q.; Allebe, Ch.; Debrot, F.; Niquille, X.; Ledinský, Martin; Fejfar, Antonín; Despeisse, M.; Haug, F.J.; Löper, P.; Ballif, C.

    2016-01-01

    Roč. 158, Dec (2016), s. 2-10 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : surface passivation * passivating contact * nanostructure * silicon oxide * nanocrystalline * microcrystalline * poly-silicon * crystallization * Raman * transmission line measurement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.784, year: 2016

  3. Triangulating the Position of Antimony Donors Implanted in Silicon

    Science.gov (United States)

    Bureau-Oxton, Chloe; Nielsen, Erik; Luhman, Dwight; Ten Eyck, Gregory; Pluym, Tammy; Wendt, Joel; Pioro-Ladrière, Michel; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    A potential candidate for a quantum bit is a single Sb atom implanted in silicon. A single-electron-transistor (SET) situated close to an Sb donor can be used to measure the occupancy and spin of the electron on the donor while the lithographically patterned poly-silicon gates defining the SET can be used to control donor occupancy. In our samples two clusters of Sb donors have been implanted adjacent to opposite sides of the SET through a self-aligned process. In this talk, we will present experimental results that allow us to determine the approximate position of different donors by determining their relative capacitance to pairs of the SET's poly-silicon gates. We will present the results of capacitive-based modeling calculations that allow us to further locate the position of the donors. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  4. Piezoresistive pressure sensor using low-temperature aluminium induced crystallization of sputter-deposited amorphous silicon film

    International Nuclear Information System (INIS)

    Tiwari, Ruchi; Chandra, Sudhir

    2013-01-01

    In the present work, we have investigated the piezoresistive properties of silicon films prepared by the radio frequency magnetron sputtering technique, followed by the aluminium induced crystallization (AIC) process. Orientation and grain size of the polysilicon films were studied by x-ray diffraction analysis and found to be in the range 30–50 nm. Annealing of the Al–Si stack on an oxidized silicon substrate was performed in air ambient at 300–550 °C, resulting in layer exchange and transformation from amorphous to polysilicon phase. Van der Pauw and Hall measurement techniques were used to investigate the sheet resistance and carrier mobility of the resulting polycrystalline silicon film. The effect of Al thickness on the sheet resistance and mobility was also studied in the present work. A piezoresistive pressure sensor was fabricated on an oxidized silicon substrate in a Wheatstone bridge configuration, comprising of four piezoresistors made of polysilicon film obtained by the AIC process. The diaphragm was formed by the bulk-micromachining of silicon substrate. The response of the pressure sensor with applied negative pressure in 10–95 kPa range was studied. The gauge factor was estimated to be 5 and 18 for differently located piezoresistors on the diaphragm. The sensitivity of the pressure sensor was measured to be ∼ 30 mV MPa −1 , when the Wheatstone bridge was biased at 1 V input voltage. (paper)

  5. Fabrication of surface micromachined ain piezoelectric microstructures and its potential apllication to rf resonators

    NARCIS (Netherlands)

    Saravanan, S.; Saravanan, S.; Berenschot, Johan W.; Krijnen, Gijsbertus J.M.; Elwenspoek, Michael Curt

    2005-01-01

    We report on a novel microfabrication method to fabricate aluminum nitride (AlN) piezoelectric microstructures down to 2 microns size by a surface micromachining process. Highly c-axis oriented AlN thin films are deposited between thin Cr electrodes on polysilicon structural layers by rf reactive

  6. Surface micromachined fabrication of piezoelectric ain unimorph suspension devices for rf resonator applications

    NARCIS (Netherlands)

    Saravanan, S.; Saravanan, S.; Berenschot, Johan W.; Krijnen, Gijsbertus J.M.; Elwenspoek, Michael Curt

    We report a surface micromachining process for aluminum nitride (AlN) thin films to fabricate piezoelectric unimorph suspension devices for actuator applications. Polysilicon is used as a structural layer. Highly c-axis oriented AlN thin films 1 /spl mu/m thick are deposited by rf reactive

  7. Development of mos thyristor technological processes for functional integration of new power devices; Developpement de filieres technologiques mos-thyristor adaptees a l`integration fonctionnelle de nouveaux dispositifs de puissance

    Energy Technology Data Exchange (ETDEWEB)

    Berriane, R.

    1997-05-05

    The development of MOS thyristor technological processes for integration of the switching function for high voltage power applications in industrial supply networks, is studied. A MOS-gated optically triggered thyristor is presented, which includes a MOS gated thyristor constituting the power element and a photodiode for optical control detection; protection and control are obtained respectively by a Zener diode and a depletion MOSFET transistor. In order to verify the switching function, a model is proposed and a high voltage planar aluminium gate process technology, compatible with various bipolar and MOSFET devices associations have been developed and optimized. In the framework of industrial supply networks, the integration of a thermal protection element has been investigated. The dual thyristor function application has been also studied, composed of a spontaneously fired, controlled turn off MOS-thyristor association. The early developments of a MOS thyristor polysilicon gate process technology is then presented

  8. Case-Base Maintenance for CCBR-Based Process Evolution

    NARCIS (Netherlands)

    Weber, B.; Reichert, M.U.; Wild, W.; Roth-Berghofer, T.; Göker, M.H.; Güvenir, H.A.

    2006-01-01

    The success of a company more and more depends on its ability to flexibly and quickly react to changes. Combining process management techniques and conversational case-based reasoning (CCBR) allows for flexibly aligning the business processes to new requirements by providing integrated process life

  9. Advancing MEMS Technology Usage through the MUMPS (Multi-User MEMS Processes) Program

    Science.gov (United States)

    Koester, D. A.; Markus, K. W.; Dhuler, V.; Mahadevan, R.; Cowen, A.

    1995-01-01

    In order to help provide access to advanced micro-electro-mechanical systems (MEMS) technologies and lower the barriers for both industry and academia, the Microelectronic Center of North Carolina (MCNC) and ARPA have developed a program which provides users with access to both MEMS processes and advanced electronic integration techniques. The four distinct aspects of this program, the multi-user MEMS processes (MUMP's), the consolidated micro-mechanical element library, smart MEMS, and the MEMS technology network are described in this paper. MUMP's is an ARPA-supported program created to provide inexpensive access to MEMS technology in a multi-user environment. It is both a proof-of-concept and educational tool that aids in the development of MEMS in the domestic community. MUMP's technologies currently include a 3-layer poly-silicon surface micromachining process and LIGA (lithography, electroforming, and injection molding) processes that provide reasonable design flexibility within set guidelines. The consolidated micromechanical element library (CaMEL) is a library of active and passive MEMS structures that can be downloaded by the MEMS community via the internet. Smart MEMS is the development of advanced electronics integration techniques for MEMS through the application of flip chip technology. The MEMS technology network (TechNet) is a menu of standard substrates and MEMS fabrication processes that can be purchased and combined to create unique process flows. TechNet provides the MEMS community greater flexibility and enhanced technology accessibility.

  10. Nonlinear dynamic modeling of a V-shaped metal based thermally driven MEMS actuator for RF switches

    Science.gov (United States)

    Bakri-Kassem, Maher; Dhaouadi, Rached; Arabi, Mohamed; Estahbanati, Shahabeddin V.; Abdel-Rahman, Eihab

    2018-05-01

    In this paper, we propose a new dynamic model to describe the nonlinear characteristics of a V-shaped (chevron) metallic-based thermally driven MEMS actuator. We developed two models for the thermal actuator with two configurations. The first MEMS configuration has a small tip connected to the shuttle, while the second configuration has a folded spring and a wide beam attached to the shuttle. A detailed finite element model (FEM) and a lumped element model (LEM) are proposed for each configuration to completely characterize the electro-thermal and thermo-mechanical behaviors. The nonlinear resistivity of the polysilicon layer is extracted from the measured current-voltage (I-V) characteristics of the actuator and the simulated corresponding temperatures in the FEM model, knowing the resistivity of the polysilicon at room temperature from the manufacture’s handbook. Both developed models include the nonlinear temperature-dependent material properties. Numerical simulations in comparison with experimental data using a dedicated MEMS test apparatus verify the accuracy of the proposed LEM model to represent the complex dynamics of the thermal MEMS actuator. The LEM and FEM simulation results show an accuracy ranging from a maximum of 13% error down to a minimum of 1.4% error. The actuator with the lower thermal load to air that includes a folded spring (FS), also known as high surface area actuator is compared to the actuator without FS, also known as low surface area actuator, in terms of the I-V characteristics, power consumption, and experimental static and dynamic responses of the tip displacement.

  11. Microcontroller base process emulator

    OpenAIRE

    Jovrea Titus Claudiu

    2009-01-01

    This paper describes the design of a microcontroller base emulator for a conventional industrial process. The emulator is made with microcontroller and is used for testing and evaluating the performances of the industrial regulators. The parameters of the emulated process are fully customizable online and downloadable thru a serial communication from a personal computer.

  12. An ultra-wideband pattern reconfigurable antenna based on graphene coating

    Science.gov (United States)

    Jiang, YanNan; Yuan, Rui; Gao, Xi; Wang, Jiao; Li, SiMin; Lin, Yi-Yu

    2016-11-01

    An ultra-wideband pattern reconfigurable antenna is proposed. The antenna is a dielectric coaxial hollow monopole with a cylindrical graphene-based impedance surface coating. It consists of a graphene sheet coated onto the inner surface of a cylindrical substrate and a set of independent polysilicon DC gating pads mounted on the outside of the cylindrical substrate. By changing the DC bias voltages to the different gating pads, the surface impedance of the graphene coating can be freely controlled. Due to the tunability of graphene's surface impedance, the radiation pattern of the proposed antenna can be reconfigured. A transmission line method is used to illustrate the physical mechanism of the proposed antenna. The results show that the proposed antenna can reconfigure its radiation pattern in the omnidirectional mode with the relative bandwidth of 58.5% and the directional mode over the entire azimuth plane with the relative bandwidth of 67%. Project supported by the National Natural Science Foundation of China (Grant Nos. 61661012, 61461016, and 61361005), the Natural Science Foundation of Guangxi, China (Grant Nos. 2015GXNSFBB139003 and 2014GXNSFAA118283), Program for Innovation Research Team of Guilin University of Electromagnetic Technology, China, and the Dean Project of Guangxi Key Laboratory of Wireless Wideband Communication and Signal Processing, China.

  13. Process-Based Quality (PBQ) Tools Development; TOPICAL

    International Nuclear Information System (INIS)

    Cummins, J.L.

    2001-01-01

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts

  14. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  15. Process fault diagnosis using knowledge-based systems

    International Nuclear Information System (INIS)

    Sudduth, A.L.

    1991-01-01

    Advancing technology in process plants has led to increased need for computer based process diagnostic systems to assist the operator. One approach to this problem is to use an embedded knowledge based system to interpret measurement signals. Knowledge based systems using only symptom based rules are inadequate for real time diagnosis of dynamic systems; therefore a model based approach is necessary. Though several forms of model based reasoning have been proposed, the use of qualitative causal models incorporating first principles knowledge of process behavior structure, and function appear to have the most promise as a robust modeling methodology. In this paper the structure of a diagnostic system is described which uses model based reasoning and conventional numerical methods to perform process diagnosis. This system is being applied to emergency diesel generator system in nuclear stations

  16. A general model for membrane-based separation processes

    DEFF Research Database (Denmark)

    Soni, Vipasha; Abildskov, Jens; Jonsson, Gunnar Eigil

    2009-01-01

    behaviour will play an important role. In this paper, modelling of membrane-based processes for separation of gas and liquid mixtures are considered. Two general models, one for membrane-based liquid separation processes (with phase change) and another for membrane-based gas separation are presented....... The separation processes covered are: membrane-based gas separation processes, pervaporation and various types of membrane distillation processes. The specific model for each type of membrane-based process is generated from the two general models by applying the specific system descriptions and the corresponding...

  17. Process Optimization for Monolithic Integration of Piezoresistive Pressure Sensor and MOSFET Amplifier with SOI Approach

    International Nuclear Information System (INIS)

    Kumar, V Vinoth; Dasgupta, A; Bhat, K N; KNatarajan

    2006-01-01

    In this paper we present the design and process optimization for fabricating piezoresitive pressure sensor and MOSFET Differential Amplifier simultaneously on the same chip. Silicon On Insulator approach has been used for realizing the membrane as well as the electronics on the same chip. The amplifier circuit has been configured in the common source connection and it has been designed with PSPICE simulation to achieve a voltage gain of about 5. In the initial set of experiments the Pressure sensor and the amplifier were fabricated on separate chips to optimize the process steps and tested in the hybrid mode. In the next set of experiments, SOI wafer having the SOI layer thickness of about 11 microns was used for realizing the membrane by anisotropic etching from the backside. The piezo-resistive pressure sensor was realized on this membrane by connecting the polysilicon resistors in the form of a Wheatstone bridge. The MOSFET source follower amplifier was also fabricated on the same SOI wafer by tailoring the process steps to suit the requirement of simultaneous fabrication of piezoresistors and the amplifier for achieving MOSFET Integrated Pressure Sensor. Reproducible results have been achieved on the SOI wafers, with the process steps developed in the laboratory. Sensitivity of 270 mV /Bar/10V, with the on chip amplifier gain of 4.5, has been achieved with this process

  18. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  19. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  20. Process Fragment Libraries for Easier and Faster Development of Process-based Applications

    Directory of Open Access Journals (Sweden)

    David Schumm

    2011-01-01

    Full Text Available The term “process fragment” is recently gaining momentum in business process management research. We understand a process fragment as a connected and reusable process structure, which has relaxed completeness and consistency criteria compared to executable processes. We claim that process fragments allow for an easier and faster development of process-based applications. As evidence to this claim we present a process fragment concept and show a sample collection of concrete, real-world process fragments. We present advanced application scenarios for using such fragments in development of process-based applications. Process fragments are typically managed in a repository, forming a process fragment library. On top of a process fragment library from previous work, we discuss the potential impact of using process fragment libraries in cross-enterprise collaboration and application integration.

  1. A model-based framework for design of intensified enzyme-based processes

    DEFF Research Database (Denmark)

    Román-Martinez, Alicia

    This thesis presents a generic and systematic model-based framework to design intensified enzyme-based processes. The development of the presented methodology was motivated by the needs of the bio-based industry for a more systematic approach to achieve intensification in its production plants...... in enzyme-based processes which have found significant application in the pharmaceutical, food, and renewable fuels sector. The framework uses model-based strategies for (bio)-chemical process design and optimization, including the use of a superstructure to generate all potential reaction......(s)-separation(s) options according to a desired performance criteria and a generic mathematical model represented by the superstructure to derive the specific models corresponding to a specific process option. In principle, three methods of intensification of bioprocess are considered in this thesis: 1. enzymatic one...

  2. Two-stage process analysis using the process-based performance measurement framework and business process simulation

    NARCIS (Netherlands)

    Han, K.H.; Kang, J.G.; Song, M.S.

    2009-01-01

    Many enterprises have recently been pursuing process innovation or improvement to attain their performance goals. To align a business process with enterprise performances, this study proposes a two-stage process analysis for process (re)design that combines the process-based performance measurement

  3. MEMS: A new approach to micro-optics

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.J.

    1997-12-31

    MicroElectroMechanical Systems (MEMS) and their fabrication technologies provide great opportunities for application to micro-optical systems (MOEMS). Implementing MOEMS technology ranges from simple, passive components to complicated, active systems. Here, an overview of polysilicon surface micromachining MEMS combined with optics is presented. Recent advancements to the technology, which may enhance its appeal for micro-optics applications are emphasized. Of all the MEMS fabrication technologies, polysilicon surface micromachining technology has the greatest basis in and leverages the most the infrastructure for silicon integrated circuit fabrication. In that respect, it provides the potential for very large volume, inexpensive production of MOEMS. This paper highlights polysilicon surface micromachining technology in regards to its capability to provide both passive and active mechanical elements with quality optical elements.

  4. Process-based software project management

    CERN Document Server

    Goodman, F Alan

    2006-01-01

    Not connecting software project management (SPM) to actual, real-world development processes can lead to a complete divorcing of SPM to software engineering that can undermine any successful software project. By explaining how a layered process architectural model improves operational efficiency, Process-Based Software Project Management outlines a new method that is more effective than the traditional method when dealing with SPM. With a clear and easy-to-read approach, the book discusses the benefits of an integrated project management-process management connection. The described tight coup

  5. Process intensification on membrane-based process for blackcurrant juice concentration

    DEFF Research Database (Denmark)

    Fjerbæk Søtoft, Lene; Rong, Ben-Guang; Christensen, Knud Villy

    Juice concentrate production is a field where process intensification and novel concentration processes need to be implemented. The paper presents a systematic approach for process synthesis based on membrane processes for the concentration of blackcurrant juice, exemplified by the aroma recovery...... using combinations of vacuum membrane distillation and traditional distillation. Furthermore, the paper further suggests a novel method for the combination of nanofiltration, reverse osmosis and membrane distillation for the concentration of the dearomatized juice....

  6. System and method for deriving a process-based specification

    Science.gov (United States)

    Hinchey, Michael Gerard (Inventor); Rash, James Larry (Inventor); Rouff, Christopher A. (Inventor)

    2009-01-01

    A system and method for deriving a process-based specification for a system is disclosed. The process-based specification is mathematically inferred from a trace-based specification. The trace-based specification is derived from a non-empty set of traces or natural language scenarios. The process-based specification is mathematically equivalent to the trace-based specification. Code is generated, if applicable, from the process-based specification. A process, or phases of a process, using the features disclosed can be reversed and repeated to allow for an interactive development and modification of legacy systems. The process is applicable to any class of system, including, but not limited to, biological and physical systems, electrical and electro-mechanical systems in addition to software, hardware and hybrid hardware-software systems.

  7. Organizational structure in process-based organizations

    NARCIS (Netherlands)

    Vanhaverbeke, W.P.M.; Torremans, H.P.M.

    1999-01-01

    This paper investigates the role of the organization structure in process-based organizations. We argue that companies cannot be designed upon organizational processes only or that process management can be simply imposed as an additional structural dimension on top of the existing functional or

  8. Silicon based multilayer photoelectrodes for photoelectrolysis of water to produce hydrogen from the sun

    Science.gov (United States)

    Faruque, Faisal

    The main objective of this work is to study different materials for the direct photosynthesis of hydrogen from water. A variety of photocatalysts such as titanium dioxide, titanium oxy-nitride, silicon carbide, and gallium nitride are being investigated by others for the clean production of hydrogen for fuel cells and hydrogen economy. Our approach was to deposit suitable metallic regions on photocatalyst nanoparticles to direct the efficient synthesis of hydrogen to a particular site for convenient collection. We studied different electrode metals such as gold, platinum, titanium, palladium, and tungsten. We also studied different solar cell materials such as silicon (p- and n-types), silicon carbide and titanium dioxide semiconductors in order to efficiently generate electrons under illumination. We introduced a novel silicon-based multilayer photosynthesis device to take advantage of suitable properties of silicon and tungsten to efficiently produce hydrogen. The device consisted of a silicon (0.5mm) substrate, a deposited atomic layer of Al2O 3 (1nm), a doped polysilicon (0.1microm), and finally a tungsten nanoporous (5-10nm) layer acting as an interface electrode with water. The Al2O 3 layer was introduced to reduce leakage current and to prevent the spreading of the diffused p-n junction layer between the silicon and doped polysilicon layers. The surface of the photoelectrode was coated with nanotextured tungsten nanopores (TNP), which increased the surface area of the electrodes to the electrolyte, assisting in electron-hole mobility, and acting as a photocatalyst. The reported device exhibited a fill factor (%FF) of 27.22% and solar-to-hydrogen conversion efficiency of 0.03174%. This thesis describes the structures of the device, and offers a characterization and comparison between different photoelectrodes.

  9. Process-based Cost Estimation for Ramjet/Scramjet Engines

    Science.gov (United States)

    Singh, Brijendra; Torres, Felix; Nesman, Miles; Reynolds, John

    2003-01-01

    Process-based cost estimation plays a key role in effecting cultural change that integrates distributed science, technology and engineering teams to rapidly create innovative and affordable products. Working together, NASA Glenn Research Center and Boeing Canoga Park have developed a methodology of process-based cost estimation bridging the methodologies of high-level parametric models and detailed bottoms-up estimation. The NASA GRC/Boeing CP process-based cost model provides a probabilistic structure of layered cost drivers. High-level inputs characterize mission requirements, system performance, and relevant economic factors. Design alternatives are extracted from a standard, product-specific work breakdown structure to pre-load lower-level cost driver inputs and generate the cost-risk analysis. As product design progresses and matures the lower level more detailed cost drivers can be re-accessed and the projected variation of input values narrowed, thereby generating a progressively more accurate estimate of cost-risk. Incorporated into the process-based cost model are techniques for decision analysis, specifically, the analytic hierarchy process (AHP) and functional utility analysis. Design alternatives may then be evaluated not just on cost-risk, but also user defined performance and schedule criteria. This implementation of full-trade study support contributes significantly to the realization of the integrated development environment. The process-based cost estimation model generates development and manufacturing cost estimates. The development team plans to expand the manufacturing process base from approximately 80 manufacturing processes to over 250 processes. Operation and support cost modeling is also envisioned. Process-based estimation considers the materials, resources, and processes in establishing cost-risk and rather depending on weight as an input, actually estimates weight along with cost and schedule.

  10. Complete Procedure for Fabrication of a Fused Silica Ultrarapid Microfluidic Mixer Used in Biophysical Measurements

    Directory of Open Access Journals (Sweden)

    Dena Izadi

    2017-01-01

    Full Text Available In this paper we present a method to fabricate a fused silica microfluidic device by employing low viscosity KMPR photoresists. The resulting device is a continuous-flow microfluidic mixer based on hydrodynamic focusing. The advantages of this new fabrication method compared to the traditional approach using a poly-silicon mask are simplification, and time and cost reduction, while still preserving the quality and the performance of the mixers. This process results in devices in which the focusing channel has an aspect ratio of 10:1. The newly-fabricated mixer is successfully used to observe the folding of the Pin1 WW domain at the microsecond time scale.

  11. Model based process-product design and analysis

    DEFF Research Database (Denmark)

    Gani, Rafiqul

    This paper gives a perspective on modelling and the important role it has within product-process design and analysis. Different modelling issues related to development and application of systematic model-based solution approaches for product-process design is discussed and the need for a hybrid...... model-based framework is highlighted. This framework should be able to manage knowledge-data, models, and associated methods and tools integrated with design work-flows and data-flows for specific product-process design problems. In particular, the framework needs to manage models of different types......, forms and complexity, together with their associated parameters. An example of a model-based system for design of chemicals based formulated products is also given....

  12. Process-based organization design and hospital efficiency.

    Science.gov (United States)

    Vera, Antonio; Kuntz, Ludwig

    2007-01-01

    The central idea of process-based organization design is that organizing a firm around core business processes leads to cost reductions and quality improvements. We investigated theoretically and empirically whether the implementation of a process-based organization design is advisable in hospitals. The data came from a database compiled by the Statistical Office of the German federal state of Rheinland-Pfalz and from a written questionnaire, which was sent to the chief executive officers (CEOs) of all 92 hospitals in this federal state. We used data envelopment analysis (DEA) to measure hospital efficiency, and factor analysis and regression analysis to test our hypothesis. Our principal finding is that a high degree of process-based organization has a moderate but significant positive effect on the efficiency of hospitals. The main implication is that hospitals should implement a process-based organization to improve their efficiency. However, to actually achieve positive effects on efficiency, it is of paramount importance to observe some implementation rules, in particular to mobilize physician participation and to create an adequate organizational culture.

  13. Fuzzy-based HAZOP study for process industry

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Junkeon; Chang, Daejun, E-mail: djchang@kaist.edu

    2016-11-05

    Highlights: • HAZOP is the important technique to evaluate system safety and its risks while process operations. • Fuzzy theory can handle the inherent uncertainties of process systems for the HAZOP. • Fuzzy-based HAZOP considers the aleatory and epistemic uncertainties and provides the risk level with less uncertainty. • Risk acceptance criteria should be considered regarding the transition region for each risk. - Abstract: This study proposed a fuzzy-based HAZOP for analyzing process hazards. Fuzzy theory was used to express uncertain states. This theory was found to be a useful approach to overcome the inherent uncertainty in HAZOP analyses. Fuzzy logic sharply contrasted with classical logic and provided diverse risk values according to its membership degree. Appropriate process parameters and guidewords were selected to describe the frequency and consequence of an accident. Fuzzy modeling calculated risks based on the relationship between the variables of an accident. The modeling was based on the mean expected value, trapezoidal fuzzy number, IF-THEN rules, and the center of gravity method. A cryogenic LNG (liquefied natural gas) testing facility was the objective process for the fuzzy-based and conventional HAZOPs. The most significant index is the frequency to determine risks. The comparison results showed that the fuzzy-based HAZOP provides better sophisticated risks than the conventional HAZOP. The fuzzy risk matrix presents the significance of risks, negligible risks, and necessity of risk reduction.

  14. A KPI-based process monitoring and fault detection framework for large-scale processes.

    Science.gov (United States)

    Zhang, Kai; Shardt, Yuri A W; Chen, Zhiwen; Yang, Xu; Ding, Steven X; Peng, Kaixiang

    2017-05-01

    Large-scale processes, consisting of multiple interconnected subprocesses, are commonly encountered in industrial systems, whose performance needs to be determined. A common approach to this problem is to use a key performance indicator (KPI)-based approach. However, the different KPI-based approaches are not developed with a coherent and consistent framework. Thus, this paper proposes a framework for KPI-based process monitoring and fault detection (PM-FD) for large-scale industrial processes, which considers the static and dynamic relationships between process and KPI variables. For the static case, a least squares-based approach is developed that provides an explicit link with least-squares regression, which gives better performance than partial least squares. For the dynamic case, using the kernel representation of each subprocess, an instrument variable is used to reduce the dynamic case to the static case. This framework is applied to the TE benchmark process and the hot strip mill rolling process. The results show that the proposed method can detect faults better than previous methods. Copyright © 2017 ISA. Published by Elsevier Ltd. All rights reserved.

  15. Lévy based Cox point processes

    DEFF Research Database (Denmark)

    Hellmund, Gunnar; Prokesová, Michaela; Jensen, Eva Bjørn Vedel

    2008-01-01

    In this paper we introduce Lévy-driven Cox point processes (LCPs) as Cox point processes with driving intensity function Λ defined by a kernel smoothing of a Lévy basis (an independently scattered, infinitely divisible random measure). We also consider log Lévy-driven Cox point processes (LLCPs......) with Λ equal to the exponential of such a kernel smoothing. Special cases are shot noise Cox processes, log Gaussian Cox processes, and log shot noise Cox processes. We study the theoretical properties of Lévy-based Cox processes, including moment properties described by nth-order product densities...

  16. Cost analysis of simulated base-catalyzed biodiesel production processes

    International Nuclear Information System (INIS)

    Tasić, Marija B.; Stamenković, Olivera S.; Veljković, Vlada B.

    2014-01-01

    Highlights: • Two semi-continuous biodiesel production processes from sunflower oil are simulated. • Simulations were based on the kinetics of base-catalyzed methanolysis reactions. • The total energy consumption was influenced by the kinetic model. • Heterogeneous base-catalyzed process is a preferable industrial technology. - Abstract: The simulation and economic feasibility evaluation of semi-continuous biodiesel production from sunflower oil were based on the kinetics of homogeneously (Process I) and heterogeneously (Process II) base-catalyzed methanolysis reactions. The annual plant’s capacity was determined to be 8356 tonnes of biodiesel. The total energy consumption was influenced by the unit model describing the methanolysis reaction kinetics. The energy consumption of the Process II was more than 2.5 times lower than that of the Process I. Also, the simulation showed the Process I had more and larger process equipment units, compared with the Process II. Based on lower total capital investment costs and biodiesel selling price, the Process II was economically more feasible than the Process I. Sensitivity analysis was conducted using variable sunflower oil and biodiesel prices. Using a biodiesel selling price of 0.990 $/kg, Processes I and II were shown to be economically profitable if the sunflower oil price was 0.525 $/kg and 0.696 $/kg, respectively

  17. Model-based processing for underwater acoustic arrays

    CERN Document Server

    Sullivan, Edmund J

    2015-01-01

    This monograph presents a unified approach to model-based processing for underwater acoustic arrays. The use of physical models in passive array processing is not a new idea, but it has been used on a case-by-case basis, and as such, lacks any unifying structure. This work views all such processing methods as estimation procedures, which then can be unified by treating them all as a form of joint estimation based on a Kalman-type recursive processor, which can be recursive either in space or time, depending on the application. This is done for three reasons. First, the Kalman filter provides a natural framework for the inclusion of physical models in a processing scheme. Second, it allows poorly known model parameters to be jointly estimated along with the quantities of interest. This is important, since in certain areas of array processing already in use, such as those based on matched-field processing, the so-called mismatch problem either degrades performance or, indeed, prevents any solution at all. Third...

  18. A monolithic constant-fraction discriminator using distributed R-C delay-line shaping

    International Nuclear Information System (INIS)

    Simpson, M.L.; Young, G.R.; Xu, M.

    1995-01-01

    A monolithic, CMOS, constant-fraction discriminator (CFD) was fabricated in the Orbit Semiconductor, 1.2 μ N-well process. This circuit uses an on-chip, distributed, R-C delay-line to realize the constant-fraction shaping. The delay-line is constructed from a narrow, 500-μ serpentine layer of polysilicon above a wide, grounded, second layer of polysilicon. This R-C delay-line generates about 1.1 ns of delay for 5 ns risetime signals with a slope degradation of only ≅ 15% and an amplitude reduction of about 6.1%. The CFD also features an automatic walk adjustment. The entire circuit, including the delay line, has a 200 μ pitch and is 950 μ long. The walk for a 5 ns risetime signal was measured as ± 100 ps over the 100:1 dynamic range from -15 mV to -1.5 mV. to -1.5 V. The CFD consumes 15 mW

  19. Design and fabrication of ultrathin silicon-nitride membranes for use in UV-visible airgap-based MEMS optical filters

    International Nuclear Information System (INIS)

    Ghaderi, Mohammadamir; Wolffenbuttel, Reinoud F.

    2016-01-01

    MEMS-based airgap optical filters are composed of quarter-wave thick high-index dielectric membranes that are separated by airgaps. The main challenge in the fabrication of these filters is the intertwined optical and mechanical requirements. The thickness of the layers decreases with design wavelength, which makes the optical performance in the UV more susceptible to fabrication tolerances, such as thickness and composition of the deposited layers, while the ability to sustain a certain level of residual stress by the structural strength becomes more critical. Silicon-nitride has a comparatively high Young's modulus and good optical properties, which makes it a suitable candidate as the membrane material. However, both the mechanical and optical properties in a silicon-nitride film strongly depend on the specifics of the deposition process. A design trade-off is required between the mechanical strength and the index of refraction, by tuning the silicon content in the silicon-nitride film. However, also the benefit of a high index of refraction in a silicon-rich film should be weighed against the increased UV optical absorption. This work presents the design, fabrication, and preliminary characterization of one and three quarter-wave thick silicon-nitride membranes with a one-quarter airgap and designed to give a spectral reflectance at 400 nm. The PECVD silicon-nitride layers were initially characterized, and the data was used for the optical and mechanical design of the airgap filters. A CMOS compatible process based on polysilicon sacrificial layers was used for the fabrication of the membranes. Optical characterization results are presented. (paper)

  20. Component-based development process and component lifecycle

    NARCIS (Netherlands)

    Crnkovic, I.; Chaudron, M.R.V.; Larsson, S.

    2006-01-01

    The process of component- and component-based system development differs in many significant ways from the "classical" development process of software systems. The main difference is in the separation of the development process of components from the development process of systems. This fact has a

  1. On Intelligent Design and Planning Method of Process Route Based on Gun Breech Machining Process

    Science.gov (United States)

    Hongzhi, Zhao; Jian, Zhang

    2018-03-01

    The paper states an approach of intelligent design and planning of process route based on gun breech machining process, against several problems, such as complex machining process of gun breech, tedious route design and long period of its traditional unmanageable process route. Based on gun breech machining process, intelligent design and planning system of process route are developed by virtue of DEST and VC++. The system includes two functional modules--process route intelligent design and its planning. The process route intelligent design module, through the analysis of gun breech machining process, summarizes breech process knowledge so as to complete the design of knowledge base and inference engine. And then gun breech process route intelligently output. On the basis of intelligent route design module, the final process route is made, edited and managed in the process route planning module.

  2. Clinical Processes - The Killer Application for Constraint-Based Process Interactions

    DEFF Research Database (Denmark)

    Jiménez-Ramírez, Andrés; Barba, Irene; Reichert, Manfred

    2018-01-01

    . The scenario is subject to complex temporal constraints and entails the need for coordinating the constraint-based interactions among the processes related to a patient treatment process. As demonstrated in this work, the selected real process scenario can be suitably modeled through a declarative approach....... examples. However, to the best of our knowledge, they have not been used to model complex, real-world scenarios that comprise constraints going beyond control-flow. In this paper, we propose the use of a declarative language for modeling a sophisticated healthcare process scenario from the real world......For more than a decade, the interest in aligning information systems in a process-oriented way has been increasing. To enable operational support for business processes, the latter are usually specified in an imperative way. The resulting process models, however, tend to be too rigid to meet...

  3. Model-based internal wave processing

    Energy Technology Data Exchange (ETDEWEB)

    Candy, J.V.; Chambers, D.H.

    1995-06-09

    A model-based approach is proposed to solve the oceanic internal wave signal processing problem that is based on state-space representations of the normal-mode vertical velocity and plane wave horizontal velocity propagation models. It is shown that these representations can be utilized to spatially propagate the modal (dept) vertical velocity functions given the basic parameters (wave numbers, Brunt-Vaisala frequency profile etc.) developed from the solution of the associated boundary value problem as well as the horizontal velocity components. Based on this framework, investigations are made of model-based solutions to the signal enhancement problem for internal waves.

  4. Laser-based analytical monitoring in nuclear-fuel processing plants

    International Nuclear Information System (INIS)

    Hohimer, J.P.

    1978-09-01

    The use of laser-based analytical methods in nuclear-fuel processing plants is considered. The species and locations for accountability, process control, and effluent control measurements in the Coprocessing, Thorex, and reference Purex fuel processing operations are identified and the conventional analytical methods used for these measurements are summarized. The laser analytical methods based upon Raman, absorption, fluorescence, and nonlinear spectroscopy are reviewed and evaluated for their use in fuel processing plants. After a comparison of the capabilities of the laser-based and conventional analytical methods, the promising areas of application of the laser-based methods in fuel processing plants are identified

  5. A novel CMOS SRAM feedback element for SEU environments

    International Nuclear Information System (INIS)

    Verghese, S.; Wortman, J.J.; Kerns, S.E.

    1987-01-01

    A hardened CMOS SRAM has been proposed which utilizes a leaky polysilicon Schottky diode placed in the feedback path to attain the SEU immunity of resistor-coupled SRAMs while improving the access speed of the cell. Novel polysilicon hybrid Schottky-resistor structures which emulate the leaky diodes have been designed and fabricated. The elements' design criteria and methods of fulfilling them are presented along with a practical implementation scheme for CMOS SRAM cells

  6. CMOS-compatible high-voltage integrated circuits

    Energy Technology Data Exchange (ETDEWEB)

    Parpia, Z

    1988-01-01

    Considerable savings in cost and development time can be achieved if high-voltage ICs (HVICs) are fabricated in an existing low-voltage process. In this thesis, the feasibility of fabricating HVICs in a standard CMOS process is investigated. The high-voltage capabilities of an existing 5-{mu}m CMOS process are first studied. High-voltage n- and p-channel transistors with breakdown voltages of 50 and 190 V, respectively, were fabricated without any modifications to the process under consideration. SPICE models for these transistors are developed, and their accuracy verified by comparison with experimental results. In addition, the effect of the interconnect metallization on the high-voltage performance of these devices is also examined. Polysilicon field plates are found to be effective in preventing premature interconnect induced breakdown in these devices. A novel high-voltage transistor structure, the insulated base transistor (IBT), based on a merged MOS-bipolar concept, is proposed and implemented. In order to enhance the high-voltage device capabilities, an improved CMOS-compatible HVIC process using junction isolation is developed.

  7. Decision support based on process mining

    NARCIS (Netherlands)

    Aalst, van der W.M.P.; Burstein, F.; Holsapple, C.W.

    2008-01-01

    Process mining techniques allow for the analysis of business processes based on event logs. For example, the audit trails of a workflow management system, the transaction logs of an enterprise resource planning system, and the electronic patient records in a hospital can be used to discover models

  8. Web-Based Distributed XML Query Processing

    NARCIS (Netherlands)

    Smiljanic, M.; Feng, L.; Jonker, Willem; Blanken, Henk; Grabs, T.; Schek, H-J.; Schenkel, R.; Weikum, G.

    2003-01-01

    Web-based distributed XML query processing has gained in importance in recent years due to the widespread popularity of XML on the Web. Unlike centralized and tightly coupled distributed systems, Web-based distributed database systems are highly unpredictable and uncontrollable, with a rather

  9. Base hydrolysis and hydrothermal processing of PBX-9404

    International Nuclear Information System (INIS)

    Flesner, R.L.; Spontarelli, T.; Dell'Orco, P.C.; Sanchez, J.A.

    1994-01-01

    Base hydrolysis in combination with hydrothermal processing has been proposed as an environmentally acceptable alternative to open burning/open detonation for degradation and destruction of high explosives. In this report, the authors examine gaseous and aqueous products of base hydrolysis of the HMX-based plastic bonded explosive, PBX-9404. They also examined products from the subsequent hydrothermal treatment of the base hydrolysate. The gases produced from hydrolysis of PBX-9404 are ammonia, nitrous oxide, and nitrogen. Major aqueous products are sodium formate, acetate, nitrate, and nitrite, but not all carbon products have been identified. Hydrothermal processing of base hydrolysate destroyed up to 98% of the organic carbon in solution, and higher destruction efficiencies are possible. Major gas products detected from hydrothermal processing were nitrogen and nitrous oxide

  10. New Opportunities in Crystalline Silicon R and D

    International Nuclear Information System (INIS)

    Menna, P.

    1998-01-01

    To support the expected growth of the silicon solar cell industry, we believe that research and development (R ampersand D) activities should be carried out in the following areas: polysilicon feedstock for the PV industry; thin-layer silicon deposition methods, and more environmentally benign cell and module manufacturing processes. For each of these activities, we identify the main issues that needed to be addressed

  11. A Petri Net-Based Software Process Model for Developing Process-Oriented Information Systems

    Science.gov (United States)

    Li, Yu; Oberweis, Andreas

    Aiming at increasing flexibility, efficiency, effectiveness, and transparency of information processing and resource deployment in organizations to ensure customer satisfaction and high quality of products and services, process-oriented information systems (POIS) represent a promising realization form of computerized business information systems. Due to the complexity of POIS, explicit and specialized software process models are required to guide POIS development. In this chapter we characterize POIS with an architecture framework and present a Petri net-based software process model tailored for POIS development with consideration of organizational roles. As integrated parts of the software process model, we also introduce XML nets, a variant of high-level Petri nets as basic methodology for business processes modeling, and an XML net-based software toolset providing comprehensive functionalities for POIS development.

  12. Process-based principles for restoring river ecosystems

    Science.gov (United States)

    Timothy J. Beechie; David A. Sear; Julian D. Olden; George R. Pess; John M. Buffington; Hamish Moir; Philip Roni; Michael M. Pollock

    2010-01-01

    Process-based restoration aims to reestablish normative rates and magnitudes of physical, chemical, and biological processes that sustain river and floodplain ecosystems. Ecosystem conditions at any site are governed by hierarchical regional, watershed, and reach-scale processes controlling hydrologic and sediment regimes; floodplain and aquatic habitat...

  13. Detecting Difference between Process Models Based on the Refined Process Structure Tree

    Directory of Open Access Journals (Sweden)

    Jing Fan

    2017-01-01

    Full Text Available The development of mobile workflow management systems (mWfMS leads to large number of business process models. In the meantime, the location restriction embedded in mWfMS may result in different process models for a single business process. In order to help users quickly locate the difference and rebuild the process model, detecting the difference between different process models is needed. Existing detection methods either provide a dissimilarity value to represent the difference or use predefined difference template to generate the result, which cannot reflect the entire composition of the difference. Hence, in this paper, we present a new approach to solve this problem. Firstly, we parse the process models to their corresponding refined process structure trees (PSTs, that is, decomposing a process model into a hierarchy of subprocess models. Then we design a method to convert the PST to its corresponding task based process structure tree (TPST. As a consequence, the problem of detecting difference between two process models is transformed to detect difference between their corresponding TPSTs. Finally, we obtain the difference between two TPSTs based on the divide and conquer strategy, where the difference is described by an edit script and we make the cost of the edit script close to minimum. The extensive experimental evaluation shows that our method can meet the real requirements in terms of precision and efficiency.

  14. Implicit Schemata and Categories in Memory-Based Language Processing

    Science.gov (United States)

    van den Bosch, Antal; Daelemans, Walter

    2013-01-01

    Memory-based language processing (MBLP) is an approach to language processing based on exemplar storage during learning and analogical reasoning during processing. From a cognitive perspective, the approach is attractive as a model for human language processing because it does not make any assumptions about the way abstractions are shaped, nor any…

  15. Solar technology assessment project. Volume 6: Photovoltaic technology assessment

    Science.gov (United States)

    Backus, C. E.

    1981-04-01

    Industrial production of photovoltaic systems and volume of sales are reviewed. Low cost silicon production techniques are reviewed, including the Czochralski process, heat exchange method, edge defined film fed growth, dentritic web growth, and silicon on ceramic process. Semicrystalline silicon, amorphous silicon, and low cost poly-silicon are discussed as well as advanced materials and concentrator systems. Balance of system components beyond those needed to manufacture the solar panels are included. Nontechnical factors are assessed. The 1986 system cost goals are briefly reviewed.

  16. Modeling and knowledge acquisition processes using case-based inference

    Directory of Open Access Journals (Sweden)

    Ameneh Khadivar

    2017-03-01

    Full Text Available The method of acquisition and presentation of the organizational Process Knowledge has considered by many KM researches. In this research a model for process knowledge acquisition and presentation has been presented by using the approach of Case Base Reasoning. The validation of the presented model was evaluated by conducting an expert panel. Then a software has been developed based on the presented model and implemented in Eghtesad Novin Bank of Iran. In this company, based on the stages of the presented model, first the knowledge intensive processes has been identified, then the Process Knowledge was stored in a knowledge base in the format of problem/solution/consequent .The retrieval of the knowledge was done based on the similarity of the nearest neighbor algorithm. For validating of the implemented system, results of the system has compared by the results of the decision making of the expert of the process.

  17. Chlorine/chloride based processes for uranium ores

    International Nuclear Information System (INIS)

    1980-11-01

    The CE Lummus Minerals Division was commissioned by The Department of Supply and Services to develop order-of-magnitude capital and operating cost estimates for chlorine/chloride-based processes for uranium ores. The processes are designed to remove substantially all radioactive consituents from the ores to render the waste products harmless. Two processes were selected, one for a typical low grade ore (2 lb. U 3 O 8 /ton ore) and one for a high grade ore (50 lbs U 3 O 8 /ton). For the low grade ore a hydrochloric acid leaching process was chosen. For high grade ore, a more complex process, including gaseous chlorination, was selected. Capital cost estimates were compiled from information obtained from vendors for the specified equipment. Building cost estimates and the piping, electrical and instrumentation costs were developed from the plant layout. Utility diagrams and mass balances were used for estimating utilities and consumables. Detailed descriptions of the bases for capital and operating cost estimates are given

  18. Memristive device based on a depletion-type SONOS field effect transistor

    Science.gov (United States)

    Himmel, N.; Ziegler, M.; Mähne, H.; Thiem, S.; Winterfeld, H.; Kohlstedt, H.

    2017-06-01

    State-of-the-art SONOS (silicon-oxide-nitride-oxide-polysilicon) field effect transistors were operated in a memristive switching mode. The circuit design is a variation of the MemFlash concept and the particular properties of depletion type SONOS-transistors were taken into account. The transistor was externally wired with a resistively shunted pn-diode. Experimental current-voltage curves show analog bipolar switching characteristics within a bias voltage range of ±10 V, exhibiting a pronounced asymmetric hysteresis loop. The experimental data are confirmed by SPICE simulations. The underlying memristive mechanism is purely electronic, which eliminates an initial forming step of the as-fabricated cells. This fact, together with reasonable design flexibility, in particular to adjust the maximum R ON/R OFF ratio, makes these cells attractive for neuromorphic applications. The relative large set and reset voltage around ±10 V might be decreased by using thinner gate-oxides. The all-electric operation principle, in combination with an established silicon manufacturing process of SONOS devices at the Semiconductor Foundry X-FAB, promise reliable operation, low parameter spread and high integration density.

  19. PROCESS-BASED LEARNING: TOWARDS THEORETICAL AND LECTURE-BASED COURSEWORK IN STUDIO STYLE

    Directory of Open Access Journals (Sweden)

    Hatem Ezzat Nabih

    2010-07-01

    Full Text Available This article presents a process-based learning approach to design education where theoretical coursework is taught in studio-style. Lecture-based coursework is sometimes regarded as lacking in challenge and broadening the gap between theory and practice. Furthermore, lecture-based curricula tend to be detached from the studio and deny students from applying their theoretically gained knowledge. Following the belief that student motivation is increased by establishing a higher level of autonomy in the learning process, I argue for a design education that links theory with applied design work within the studio setting. By synthesizing principles of Constructivist Learning and Problem-Based Learning, PBL students are given greater autonomy by being actively involved in their education. Accordingly, I argue for a studio setting that incorporates learning in studio style by presenting three design applications involving students in investigation and experimentation in order to self-experience the design process.

  20. Detailed process design based on genomics of survivors of food preservation processes

    NARCIS (Netherlands)

    Brul, S.; Klis, F.M.; Oomes, S.J.C.M.; Montijn, R.C.; Schuren, F.H.J.; Coote, P.; Hellingwerf, K.J.

    2002-01-01

    The food processing industry is faced with an ever-increasing demand for safe and minimally processed wholesome foods. In order to come to a knowledge-based rather than a mainly empirical combination of appropriate preservation hurdles, we will introduce the application of the recently booming

  1. Microprocessor based image processing system

    International Nuclear Information System (INIS)

    Mirza, M.I.; Siddiqui, M.N.; Rangoonwala, A.

    1987-01-01

    Rapid developments in the production of integrated circuits and introduction of sophisticated 8,16 and now 32 bit microprocessor based computers, have set new trends in computer applications. Nowadays the users by investing much less money can make optimal use of smaller systems by getting them custom-tailored according to their requirements. During the past decade there have been great advancements in the field of computer Graphics and consequently, 'Image Processing' has emerged as a separate independent field. Image Processing is being used in a number of disciplines. In the Medical Sciences, it is used to construct pseudo color images from computer aided tomography (CAT) or positron emission tomography (PET) scanners. Art, advertising and publishing people use pseudo colours in pursuit of more effective graphics. Structural engineers use Image Processing to examine weld X-rays to search for imperfections. Photographers use Image Processing for various enhancements which are difficult to achieve in a conventional dark room. (author)

  2. The evolution of process-based hydrologic models

    NARCIS (Netherlands)

    Clark, Martyn P.; Bierkens, Marc F.P.; Samaniego, Luis; Woods, Ross A.; Uijlenhoet, Remko; Bennett, Katrina E.; Pauwels, Valentijn R.N.; Cai, Xitian; Wood, Andrew W.; Peters-Lidard, Christa D.

    2017-01-01

    The diversity in hydrologic models has historically led to great controversy on the "correct" approach to process-based hydrologic modeling, with debates centered on the adequacy of process parameterizations, data limitations and uncertainty, and computational constraints on model analysis. In this

  3. New test structures and techniques for measurement of mechanical properties of MEMS materials

    Science.gov (United States)

    Sharpe, William N., Jr.; Yuan, Bin; Vaidyanathan, Ranji; Edwards, Richard L.

    1996-09-01

    This paper presents techniques and procedures for addressing the three major problems of mechanical testing of the thin films used in surface micromachined microelectromechanical systems--specimen handling, friction, and strain measurement. The polysilicon tensile specimens are fabricated with two supporting side strips on silicon wafers at the Microelectronic Center of North Carolina. The tensile specimen is released by etching away the wafer, and the two support strips are cut after the specimen is glued in the test machine. Friction is reduced by a linear air bearing in the load train, and strain is measured with a noncontacting technique based on laser interferometry between two gold lines on the tensile specimen. The Young's modulus of polysilicon is 170 +/- 7 GPa and the strength is 1.21 +/- 0.16 GPa from a series of 29 tests. preliminary measurements have been made of Poisson's ratio and the fatigue behavior, and an attempt is underway to measure the fracture toughness.

  4. Integrated Silicon Carbide Power Electronic Block

    Energy Technology Data Exchange (ETDEWEB)

    Radhakrishnan, Rahul [Global Power Technologies Group, Inc., Lake Forest, CA (United States)

    2017-11-07

    Research involved in this project is aimed at monolithically integrating an anti-parallel diode to the SiC MOSFET switch, so as to avoid having to use an external anti-parallel diode in power circuit applications. SiC MOSFETs are replacing Si MOSFETs and IGBTs in many applications, yet the high bandgap of the body diode in SiC MOSFET and consequent need for an external anti-parallel diode increases costs and discourages circuit designers from adopting this technology. Successful demonstration and subsequent commercialization of this technology would reduce SiC MOSFET cost and additionally reduce component count as well as other costs at the power circuit level. In this Phase I project, we have created multiple device designs, set up a process for device fabrication at the 150mm SiC foundry XFAB Texas, demonstrated unit-processes for device fabrication in short loops and started full flow device fabrication. Key findings of the development activity were: The limits of coverage of photoresist over the topology of thick polysilicon structures covered with oxide, which required larger feature dimensions to overcome; and The insufficient process margin for removing oxide spacers from polysilicon field ring features which could result in loss of some features without further process development No fundamental obstacles were uncovered during the process development. Given sufficient time for additional development it is likely that processes could be tuned to realize the monolithically integrated SiC JBS diode and MOSFET. Sufficient funds were not available in this program to resolve processing difficulties and fabricate the devices.

  5. Resource recovery from bio-based production processes: a future necessity?

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; S.B.A. Udugama, Isuru; Cignitti, Stefano

    2017-01-01

    The promise of transforming waste streams with small economic value into valuable products makes resource recovery technologies in bio-based production processes an attractive proposition. However, the use of resource recovery technologies in industrial applications is still minimal, despite its...... technologies to industrial bio-based production processes. The role and importance of economics, technology readiness and socio-environmental impacts of resource recovery in successfully implementing resource recovery technologies in industrial bio-based production processes is also discussed. Finally, based...... wide use in closely related processes such as dairy production. In this paper, a perspective on the role of resource recovery in bio-based production processes is provided through reviewing the past practice and identifying the benefits, opportunities and challenges of introducing resource recovery...

  6. Conceptual design of distillation-based hybrid separation processes.

    Science.gov (United States)

    Skiborowski, Mirko; Harwardt, Andreas; Marquardt, Wolfgang

    2013-01-01

    Hybrid separation processes combine different separation principles and constitute a promising design option for the separation of complex mixtures. Particularly, the integration of distillation with other unit operations can significantly improve the separation of close-boiling or azeotropic mixtures. Although the design of single-unit operations is well understood and supported by computational methods, the optimal design of flowsheets of hybrid separation processes is still a challenging task. The large number of operational and design degrees of freedom requires a systematic and optimization-based design approach. To this end, a structured approach, the so-called process synthesis framework, is proposed. This article reviews available computational methods for the conceptual design of distillation-based hybrid processes for the separation of liquid mixtures. Open problems are identified that must be addressed to finally establish a structured process synthesis framework for such processes.

  7. Group Contribution Based Process Flowsheet Synthesis, Design and Modelling

    DEFF Research Database (Denmark)

    d'Anterroches, Loïc; Gani, Rafiqul

    2004-01-01

    This paper presents a process-group-contribution Method to model. simulate and synthesize a flowsheet. The process-group based representation of a flowsheet together with a process "property" model are presented. The process-group based synthesis method is developed on the basis of the computer...... aided molecular design methods and gives the ability to screen numerous process alternatives without the need to use the rigorous process simulation models. The process "property" model calculates the design targets for the generated flowsheet alternatives while a reverse modelling method (also...... developed) determines the design variables matching the target. A simple illustrative example highlighting the main features of the methodology is also presented....

  8. Developing cloud-based Business Process Management (BPM): a survey

    Science.gov (United States)

    Mercia; Gunawan, W.; Fajar, A. N.; Alianto, H.; Inayatulloh

    2018-03-01

    In today’s highly competitive business environment, modern enterprises are dealing difficulties to cut unnecessary costs, eliminate wastes and delivery huge benefits for the organization. Companies are increasingly turning to a more flexible IT environment to help them realize this goal. For this reason, the article applies cloud based Business Process Management (BPM) that enables to focus on modeling, monitoring and process management. Cloud based BPM consists of business processes, business information and IT resources, which help build real-time intelligence systems, based on business management and cloud technology. Cloud computing is a paradigm that involves procuring dynamically measurable resources over the internet as an IT resource service. Cloud based BPM service enables to address common problems faced by traditional BPM, especially in promoting flexibility, event-driven business process to exploit opportunities in the marketplace.

  9. Experimental Investigation on Admittance-Based Piezoelectric Sensor Diagnostic Process

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Hyejin; Park, Tongil; Park, Gyuhae [Chonnam National University, Gwangju (Korea, Republic of)

    2015-01-15

    Structural health monitoring (SHM) techniques based on the use of active-sensing piezoelectric (PZT) materials have received considerable attention. The validation of the PZT functionality during SHM operation is critical to successfully implementing a reliable SHM system. In this study, we investigated several parameters that affect the admittance-based sensor diagnostic process. We experimentally identified the temperature dependency of the active-sensor diagnostic process. We found that the admittance-based sensor diagnostic process can differentiate the adhesion conditions of bonding materials that are used to install a PZT on a structure, which is important when designing a sensor diagnostic process for an SHM system.

  10. Base profile design for high-performance operation of bipolar transistors at liquid-nitrogen temperature

    International Nuclear Information System (INIS)

    Stork, J.M.C.; Harame, D.L.; Meyerson, B.S.; Nguyen, T.N.

    1989-01-01

    The base profile requirements of Si bipolar junction transistors (BJT's) high-performance operation at liquid-nitrogen temperature are examined. Measurements of thin epitaxial-base polysilicon-emitter n-p-n transistors with increasing base doping show the effects of bandgap narrowing, mobility changes, and carrier freezeout. At room temperature the collector current at low injection is proportional to the integrated base charge, independent of the impurity distribution. At temperatures below 150 Κ, however, minority injection is dominated by the peak base doping because of the greater effectiveness of bandgap narrowing. When the peak doping in the base approaches 10 19 cm -3 , the bandgap difference between emitter and base is sufficiently small that the current gain no longer monotonically decreases with lower temperature but instead shows a maximum as low as 180 Κ. The device design window appears limited at the low-current end by increased base-emitter leakage due to tunneling and by resistance control at the high-current end. Using the measured dc characteristics, circuit delay calculations are made to estimate the performance of an ECL ring oscillator at room and liquid-nitrogen temperatures. It is shown that if the base doping can be raised to 10 19 cm -3 while keeping the base thickness constant, the minimum delay at liquid nitrogen can approach the delay of optimized devices at room temperature

  11. Web-based Surveys: Changing the Survey Process

    OpenAIRE

    Gunn, Holly

    2002-01-01

    Web-based surveys are having a profound influence on the survey process. Unlike other types of surveys, Web page design skills and computer programming expertise play a significant role in the design of Web-based surveys. Survey respondents face new and different challenges in completing a Web-based survey. This paper examines the different types of Web-based surveys, the advantages and challenges of using Web-based surveys, the design of Web-based surveys, and the issues of validity, error, ...

  12. Reading Remediation Based on Sequential and Simultaneous Processing.

    Science.gov (United States)

    Gunnison, Judy; And Others

    1982-01-01

    The theory postulating a dichotomy between sequential and simultaneous processing is reviewed and its implications for remediating reading problems are reviewed. Research is cited on sequential-simultaneous processing for early and advanced reading. A list of remedial strategies based on the processing dichotomy addresses decoding and lexical…

  13. Likelihood based inference for partially observed renewal processes

    NARCIS (Netherlands)

    van Lieshout, Maria Nicolette Margaretha

    2016-01-01

    This paper is concerned with inference for renewal processes on the real line that are observed in a broken interval. For such processes, the classic history-based approach cannot be used. Instead, we adapt tools from sequential spatial point process theory to propose a Monte Carlo maximum

  14. AI-based alarm processing for a nuclear power plant

    International Nuclear Information System (INIS)

    Na, N.J.; Kim, I.S.; Hwang, I.K.; Lee, D.Y.; Ham, C.S.

    1996-01-01

    A real-time expert system is implemented using artificial intelligence and object-oriented technology for alarm processing and presentation in a nuclear power plant. The knowledge base is constructed based on some schemes to process and display alarms to the plant operators. The activated alarms are dynamically prioritized by the reasoning rules, and then, presented on the process mimic overview and by some other means. To demonstrate the proposed system, the alarm processing and presentation is carried out in a simulated environment of the TMI-2 accident

  15. Plant operator performance evaluation based on cognitive process analysis experiment

    International Nuclear Information System (INIS)

    Ujita, H.; Fukuda, M.

    1990-01-01

    This paper reports on an experiment to clarify plant operators' cognitive processes that has been performed, to improve the man-machine interface which supports their diagnoses and decisions. The cognitive processes under abnormal conditions were evaluated by protocol analyses interviews, etc. in the experiment using a plant training simulator. A cognitive process model is represented by a stochastic network, based on Rasmussen's decision making model. Each node of the network corresponds to an element of the cognitive process, such as observation, interpretation, execution, etc. Some observations were obtained as follows, by comparison of Monte Carlo simulation results with the experiment results: A process to reconfirm the plant parameters after execution of a task and feedback paths from this process to the observation and the task definition of next task were observed. The feedback probability average and standard deviation should be determined for each incident type to explain correctly the individual differences in the cognitive processes. The tendency for the operator's cognitive level to change from skill-based to knowledge-based via rule-based behavior was observed during the feedback process

  16. Recent Advancements in Semiconductor-based Optical Signal Processing

    DEFF Research Database (Denmark)

    Nielsen, M L; Mørk, Jesper

    2006-01-01

    Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching.......Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching....

  17. Multi-step wrought processing of TiAl-based alloys

    International Nuclear Information System (INIS)

    Fuchs, G.E.

    1997-04-01

    Wrought processing will likely be needed for fabrication of a variety of TiAl-based alloy structural components. Laboratory and development work has usually relied on one-step forging to produce test material. Attempts to scale-up TiAl-based alloy processing has indicated that multi-step wrought processing is necessary. The purpose of this study was to examine potential multi-step processing routes, such as two-step isothermal forging and extrusion + isothermal forging. The effects of processing (I/M versus P/M), intermediate recrystallization heat treatments and processing route on the tensile and creep properties of Ti-48Al-2Nb-2Cr alloys were examined. The results of the testing were then compared to samples from the same heats of materials processed by one-step routes. Finally, by evaluating the effect of processing on microstructure and properties, optimized and potentially lower cost processing routes could be identified

  18. PLS-based memory control scheme for enhanced process monitoring

    KAUST Repository

    Harrou, Fouzi

    2017-01-20

    Fault detection is important for safe operation of various modern engineering systems. Partial least square (PLS) has been widely used in monitoring highly correlated process variables. Conventional PLS-based methods, nevertheless, often fail to detect incipient faults. In this paper, we develop new PLS-based monitoring chart, combining PLS with multivariate memory control chart, the multivariate exponentially weighted moving average (MEWMA) monitoring chart. The MEWMA are sensitive to incipient faults in the process mean, which significantly improves the performance of PLS methods and widen their applicability in practice. Using simulated distillation column data, we demonstrate that the proposed PLS-based MEWMA control chart is more effective in detecting incipient fault in the mean of the multivariate process variables, and outperform the conventional PLS-based monitoring charts.

  19. CIM5 Phase III base process development results

    International Nuclear Information System (INIS)

    Witt, D.C.

    2000-01-01

    Integrated Demonstration Runs for the Am/Cm vitrification process were initiated in the Coupled 5-inch Cylindrical Induction Melter (CIM5) on 11/30/98 and completed on 12/9/98. Four successful runs at 60 wt% lanthanide loading were completed which met or exceeded all established criteria. The operating parameters used in these runs established the base conditions for the 5-inch Cylindrical Induction Melter (CIM5) process and were summarized in the 5-inch CIM design basis, SRT-AMC-99-OO01. (1) In subsequent tests, a total of fourteen CIM5 runs were performed using various power inputs, ramp rates and target temperatures to define the preferred processing conditions (2) Process stability and process flexibility were the key criteria used in assessing the results for each run. A preferred set of operating parameters was defined for the CIM5 batch process and these conditions were used to generate a pre-programmed, automatic processing cycle that was used for the last six CIM.5 runs (3) These operational tests were successfully completed in the January-February time frame and were summarized in SRT-AMC-99-00584. The recommended set of operating conditions defined in Runs No.1 through No.14 was used as the starting point for further pilot system runs to determine the robustness of the process, evaluate a bubbler, and investigate off-normal conditions. CIM5 Phase III Runs No.15 through No.60 were conducted utilizing the pre-programmed, automatic processing cycle to investigate system performance. This report summarizes the results of these tests and provides a recommendation for the base process as well as a processing modification for minimizing volume expansions if americium and/or curium are subject to a thermal reduction reaction like cerium. This document summarizes the results of the base process development tests conducted in the Am/Cm Pilot Facility located in Building 672-T

  20. Design and Implementation of Hydrologic Process Knowledge-base Ontology: A case study for the Infiltration Process

    Science.gov (United States)

    Elag, M.; Goodall, J. L.

    2013-12-01

    Hydrologic modeling often requires the re-use and integration of models from different disciplines to simulate complex environmental systems. Component-based modeling introduces a flexible approach for integrating physical-based processes across disciplinary boundaries. Several hydrologic-related modeling communities have adopted the component-based approach for simulating complex physical systems by integrating model components across disciplinary boundaries in a workflow. However, it is not always straightforward to create these interdisciplinary models due to the lack of sufficient knowledge about a hydrologic process. This shortcoming is a result of using informal methods for organizing and sharing information about a hydrologic process. A knowledge-based ontology provides such standards and is considered the ideal approach for overcoming this challenge. The aims of this research are to present the methodology used in analyzing the basic hydrologic domain in order to identify hydrologic processes, the ontology itself, and how the proposed ontology is integrated with the Water Resources Component (WRC) ontology. The proposed ontology standardizes the definitions of a hydrologic process, the relationships between hydrologic processes, and their associated scientific equations. The objective of the proposed Hydrologic Process (HP) Ontology is to advance the idea of creating a unified knowledge framework for components' metadata by introducing a domain-level ontology for hydrologic processes. The HP ontology is a step toward an explicit and robust domain knowledge framework that can be evolved through the contribution of domain users. Analysis of the hydrologic domain is accomplished using the Formal Concept Approach (FCA), in which the infiltration process, an important hydrologic process, is examined. Two infiltration methods, the Green-Ampt and Philip's methods, were used to demonstrate the implementation of information in the HP ontology. Furthermore, a SPARQL

  1. Technology for the product and process data base

    Science.gov (United States)

    Barnes, R. D.

    1984-01-01

    The computerized product and process data base is increasingly recognized to be the cornerstone component of an overall system aimed at the integrated automation of the industrial processes of a given company or enterprise. The technology needed to support these more effective computer integrated design and manufacturing methods, especially the concept of 3-D computer-sensible product definitions rather than engineering drawings, is not fully available and rationalized. Progress is being made, however, in bridging this technology gap with concentration on the modeling of sophisticated information and data structures, high-performance interactive user interfaces and comprehensive tools for managing the resulting computerized product definition and process data base.

  2. A new window of opportunity to reject process-based biotechnology regulation.

    Science.gov (United States)

    Marchant, Gary E; Stevens, Yvonne A

    2015-01-01

    The question of whether biotechnology regulation should be based on the process or the product has long been debated, with different jurisdictions adopting different approaches. The European Union has adopted a process-based approach, Canada has adopted a product-based approach, and the United States has implemented a hybrid system. With the recent proliferation of new methods of genetic modification, such as gene editing, process-based regulatory systems, which are premised on a binary system of transgenic and conventional approaches, will become increasingly obsolete and unsustainable. To avoid unreasonable, unfair and arbitrary results, nations that have adopted process-based approaches will need to migrate to a product-based approach that considers the novelty and risks of the individual trait, rather than the process by which that trait was produced. This commentary suggests some approaches for the design of such a product-based approach.

  3. Kinetics of pulp mill effluent treatment by ozone-based processes

    International Nuclear Information System (INIS)

    Ko, Chun-Han; Hsieh, Po-Hung; Chang, Meng-Wen; Chern, Jia-Ming; Chiang, Shih-Min; Tzeng, Chewn-Jeng

    2009-01-01

    The wastewaters generated from wood pulping and paper production processes are traditionally treated by biological and physicochemical processes. In order to reduce chemical oxygen demand (COD) and color to meet increasingly strict discharge standards, advanced oxidation processes (AOPs) are being adapted as polishing treatment units. Various ozone-based processes were used in this study to treat simulated wastewaters prepared from black liquor from a hardwood Kraft pulp mill in Taiwan. The experimental results showed that the COD and color were primarily removed by direct ozone oxidation and activated carbon adsorption. While the addition of activated carbon could enhance the COD and color removal during ozonation, the addition of hydrogen peroxide improved the color removal only. For the various ozone-based treatment processes, kinetic models were developed to satisfactorily predict the COD and color removal rates. According to the kinetic parameters obtained from the various ozone-based processes, the enhanced COD and color removal of ozonation in the presence of activated carbon was attributed to the regeneration of the activated carbon by ozonation. These kinetic models can be used for reactor design and process design to treat pulping wastewater using ozone-based processes.

  4. Quantum process reconstruction based on mutually unbiased basis

    International Nuclear Information System (INIS)

    Fernandez-Perez, A.; Saavedra, C.; Klimov, A. B.

    2011-01-01

    We study a quantum process reconstruction based on the use of mutually unbiased projectors (MUB projectors) as input states for a D-dimensional quantum system, with D being a power of a prime number. This approach connects the results of quantum-state tomography using mutually unbiased bases with the coefficients of a quantum process, expanded in terms of MUB projectors. We also study the performance of the reconstruction scheme against random errors when measuring probabilities at the MUB projectors.

  5. Smart membranes for monitoring membrane based desalination processes

    KAUST Repository

    Laleg-Kirati, Taous-Meriem; Karam, Ayman M.

    2017-01-01

    Various examples are related to smart membranes for monitoring membrane based process such as, e.g., membrane distillation processes. In one example, a membrane, includes a porous surface and a plurality of sensors (e.g., temperature, flow and

  6. Rule-Based Event Processing and Reaction Rules

    Science.gov (United States)

    Paschke, Adrian; Kozlenkov, Alexander

    Reaction rules and event processing technologies play a key role in making business and IT / Internet infrastructures more agile and active. While event processing is concerned with detecting events from large event clouds or streams in almost real-time, reaction rules are concerned with the invocation of actions in response to events and actionable situations. They state the conditions under which actions must be taken. In the last decades various reaction rule and event processing approaches have been developed, which for the most part have been advanced separately. In this paper we survey reaction rule approaches and rule-based event processing systems and languages.

  7. The research on construction and application of machining process knowledge base

    Science.gov (United States)

    Zhao, Tan; Qiao, Lihong; Qie, Yifan; Guo, Kai

    2018-03-01

    In order to realize the application of knowledge in machining process design, from the perspective of knowledge in the application of computer aided process planning(CAPP), a hierarchical structure of knowledge classification is established according to the characteristics of mechanical engineering field. The expression of machining process knowledge is structured by means of production rules and the object-oriented methods. Three kinds of knowledge base models are constructed according to the representation of machining process knowledge. In this paper, the definition and classification of machining process knowledge, knowledge model, and the application flow of the process design based on the knowledge base are given, and the main steps of the design decision of the machine tool are carried out as an application by using the knowledge base.

  8. Static Analysis for Event-Based XML Processing

    DEFF Research Database (Denmark)

    Møller, Anders

    2008-01-01

    Event-based processing of XML data - as exemplified by the popular SAX framework - is a powerful alternative to using W3C's DOM or similar tree-based APIs. The event-based approach is a streaming fashion with minimal memory consumption. This paper discusses challenges for creating program analyses...... for SAX applications. In particular, we consider the problem of statically guaranteeing the a given SAX program always produces only well-formed and valid XML output. We propose an analysis technique based on ecisting anglyses of Servlets, string operations, and XML graphs....

  9. ASPECTS REGARDING MECHANICAL PROCESSING OF STEELS FOR MAGNETS, NDFEB BASED

    Directory of Open Access Journals (Sweden)

    MELANIA TĂMAŞ

    2015-05-01

    Full Text Available This paper presents experimental research concerning the behavior on cutting by turning of steels for magnets NdFeB based. In this context, cutting by rough turning of steels magnet neodymium and boron ferrite based were performed. Turning processing with the values of the cutting parameters recommended by European Union and Sandvik Coromant company rules, taking into account the chemical composition of the processed material and cutting tool were performed. The tables have been drawn up with different values of the cutting parameters. By comparing these data it can be concluded that steels for permanent magnets, NdFeB based have acceptable behavior, the process by rough turning. A full assessment of the optimization of the cutting by turning process of steels for permanent magnet, NdFeB base will result from subsequent experimental research that will take into account the wear of cutting tools and quality (roughness of processed surface.

  10. Problem-centric Process for Research-based Learning

    Directory of Open Access Journals (Sweden)

    Khaled Shaban

    2015-05-01

    Full Text Available Research-based Learning (RbL extends Inquiry and Project-based Learning by facilitating an early stage exposure and training for future scientists through authentic research activities. In this paper, an iterative problem-centric RbL process is introduced, and its activities and management aspects are described. The process helps implement course-integrated research systematically and practically. Furthermore, the novel process follows constructivist methods in incorporating inquiry, scaffolding, open-ended projects, as well as a goal oriented learning approach. The RbL process is adopted in two advanced computing courses, at two different universities: a leading comprehensive Western university and a new university in a developing country. The paper summarizes new lessons learned in these rewarding experiences. In particular, the instructor should help students start their projects, by providing them with previous work or data and pre-approving the papers to review by students. He should also maintain a continuous feedback to and from students to keep the students motivated and help the instructor refine and adapt the RBL process. We note that research collaborators can help students in identifying the research topics early. The paper also shows how to alleviate difficulties that may be encountered by students who find the novel approach demanding, and consequently it also helps the instructors better manage the course contents.

  11. Agent Behavior-Based Simulation Study on Mass Collaborative Product Development Process

    Directory of Open Access Journals (Sweden)

    Shuo Zhang

    2015-01-01

    Full Text Available Mass collaborative product development (MCPD benefits people by high innovation products with lower cost and shorter lead time due to quick development of group innovation, Internet-based customization, and prototype manufacturing. Simulation is an effective way to study the evolution process and therefore to guarantee the success of MCPD. In this paper, an agent behavior-based simulation approach of MCPD is developed, which models the MCPD process as the interactive process of design agents and the environment objects based on Complex Adaptive System (CAS theory. Next, the structure model of design agent is proposed, and the modification and collaboration behaviors are described. Third, the agent behavior-based simulation flow of MCPD is designed. At last, simulation experiments are carried out based on an engineering case of mobile phone design. The experiment results show the following: (1 the community scale has significant influence on MCPD process; (2 the simulation process can explicitly represent the modification and collaboration behaviors of design agents; (3 the community evolution process can be observed and analyzed dynamically based on simulation data.

  12. Property Based Process and Product Synthesis and Design

    DEFF Research Database (Denmark)

    Eden, Mario Richard

    2003-01-01

    in terms of the constitutive (synthesis/design) variables instead of the process variables, thus providing the synthesis/design targets. The second reverse problem (reverse property prediction) solves the constitutive equations to identify unit operations, operating conditions and/or products by matching......This thesis describes the development of a general framework for solving process and product design problems. Targeting the desired performance of the system in a systematic manner relieves the iterative nature of conventional design techniques. Furthermore, conventional component based methods...... are not capable of handling problems, where the process or product objectives are driven by functionalities or properties rather than chemical constituency. The framework is meant to complement existing composition based methods by being able to handle property driven problems. By investigating the different...

  13. Knowledge-based processing for aircraft flight control

    Science.gov (United States)

    Painter, John H.; Glass, Emily; Economides, Gregory; Russell, Paul

    1994-01-01

    This Contractor Report documents research in Intelligent Control using knowledge-based processing in a manner dual to methods found in the classic stochastic decision, estimation, and control discipline. Such knowledge-based control has also been called Declarative, and Hybid. Software architectures were sought, employing the parallelism inherent in modern object-oriented modeling and programming. The viewpoint adopted was that Intelligent Control employs a class of domain-specific software architectures having features common over a broad variety of implementations, such as management of aircraft flight, power distribution, etc. As much attention was paid to software engineering issues as to artificial intelligence and control issues. This research considered that particular processing methods from the stochastic and knowledge-based worlds are duals, that is, similar in a broad context. They provide architectural design concepts which serve as bridges between the disparate disciplines of decision, estimation, control, and artificial intelligence. This research was applied to the control of a subsonic transport aircraft in the airport terminal area.

  14. Enforcement of entailment constraints in distributed service-based business processes.

    Science.gov (United States)

    Hummer, Waldemar; Gaubatz, Patrick; Strembeck, Mark; Zdun, Uwe; Dustdar, Schahram

    2013-11-01

    A distributed business process is executed in a distributed computing environment. The service-oriented architecture (SOA) paradigm is a popular option for the integration of software services and execution of distributed business processes. Entailment constraints, such as mutual exclusion and binding constraints, are important means to control process execution. Mutually exclusive tasks result from the division of powerful rights and responsibilities to prevent fraud and abuse. In contrast, binding constraints define that a subject who performed one task must also perform the corresponding bound task(s). We aim to provide a model-driven approach for the specification and enforcement of task-based entailment constraints in distributed service-based business processes. Based on a generic metamodel, we define a domain-specific language (DSL) that maps the different modeling-level artifacts to the implementation-level. The DSL integrates elements from role-based access control (RBAC) with the tasks that are performed in a business process. Process definitions are annotated using the DSL, and our software platform uses automated model transformations to produce executable WS-BPEL specifications which enforce the entailment constraints. We evaluate the impact of constraint enforcement on runtime performance for five selected service-based processes from existing literature. Our evaluation demonstrates that the approach correctly enforces task-based entailment constraints at runtime. The performance experiments illustrate that the runtime enforcement operates with an overhead that scales well up to the order of several ten thousand logged invocations. Using our DSL annotations, the user-defined process definition remains declarative and clean of security enforcement code. Our approach decouples the concerns of (non-technical) domain experts from technical details of entailment constraint enforcement. The developed framework integrates seamlessly with WS-BPEL and the Web

  15. Learning-based controller for biotechnology processing, and method of using

    Science.gov (United States)

    Johnson, John A.; Stoner, Daphne L.; Larsen, Eric D.; Miller, Karen S.; Tolle, Charles R.

    2004-09-14

    The present invention relates to process control where some of the controllable parameters are difficult or impossible to characterize. The present invention relates to process control in biotechnology of such systems, but not limited to. Additionally, the present invention relates to process control in biotechnology minerals processing. In the inventive method, an application of the present invention manipulates a minerals bioprocess to find local exterma (maxima or minima) for selected output variables/process goals by using a learning-based controller for bioprocess oxidation of minerals during hydrometallurgical processing. The learning-based controller operates with or without human supervision and works to find processor optima without previously defined optima due to the non-characterized nature of the process being manipulated.

  16. Processing Y- and Bi-based superconductors

    International Nuclear Information System (INIS)

    Balachandran, U.; Dos Santos, D.I.; von Stumberg, A.W.; Graham, S.W.; Singh, J.P.; Youngdahl, C.A.; Goretta, K.C.; Shi, D.; Poeppel, R.B.

    1989-01-01

    This paper reports on bulk specimens of YBa 2 Cu 3 O x and Bi 2 Sr 2 CaCu 2 O y formed and then processed by sintering in the solid state, in the presence of a liquid phase, or by sinter forging. Both Y- and Bi-based superconductors are difficult to densify by solid-state sintering but easy to densify in the presence of a liquid phase. Effects of sintering conditions on superconducting properties are, however, different between the two materials. These differences will be discussed. Attempts to texture microstructures and increase J c by sinter-forging techniques have been successful for Y-based superconductors, but unsuccessful for Bi-based superconductors

  17. Materials properties of hafnium and zirconium silicates: Metal interdiffusion and dopant penetration studies

    Science.gov (United States)

    Quevedo Lopez, Manuel Angel

    Hafnium and Zirconium based gate dielectrics are considered potential candidates to replace SiO2 or SiON as the gate dielectric in CMOS processing. Furthermore, the addition of nitrogen into this pseudo-binary alloy has been shown to improve their thermal stability, electrical properties, and reduce dopant penetration. Because CMOS processing requires high temperature anneals (up to 1050°C), it is important to understand the diffusion properties of any metal associated with the gate dielectric in silicon at these temperatures. In addition, dopant penetration from the doped polysilicon gate into the Si channel at these temperatures must also be studied. Impurity outdiffusion (Hf, Zr) from the dielectric, or dopant (B, As, P) penetration through the dielectric into the channel region would likely result in deleterious effects upon the carrier mobility. In this dissertation extensive thermal stability studies of alternate gate dielectric candidates ZrSixOy and HfSixO y are presented. Dopant penetration studies from doped-polysilicon through HfSixOy and HfSixOyNz are also presented. Rutherford Backscattering Spectroscopy (RBS), Heavy Ion RBS (HI-RBS), X-ray Photoelectron Spectroscopy (XPS), High Resolution Transmission Electron Microscopy (HR-TEM), and Time of Flight and Dynamic Secondary Ion Mass Spectroscopy (ToF-SIMS, D-SIMS) methods were used to characterize these materials. The dopant diffusivity is calculated by modeling of the dopant profiles in the Si substrate. In this disseration is reported that Hf silicate films are more stable than Zr silicate films, from the metal interdiffusion point of view. On the other hand, dopant (B, As, and P) penetration is observed for HfSixO y films. However, the addition of nitrogen to the Hf - Si - O systems improves the dopant penetration properties of the resulting HfSi xOyNz films.

  18. Model based methods and tools for process systems engineering

    DEFF Research Database (Denmark)

    Gani, Rafiqul

    need to be integrated with work-flows and data-flows for specific product-process synthesis-design problems within a computer-aided framework. The framework therefore should be able to manage knowledge-data, models and the associated methods and tools needed by specific synthesis-design work...... of model based methods and tools within a computer aided framework for product-process synthesis-design will be highlighted.......Process systems engineering (PSE) provides means to solve a wide range of problems in a systematic and efficient manner. This presentation will give a perspective on model based methods and tools needed to solve a wide range of problems in product-process synthesis-design. These methods and tools...

  19. Wavelet-Based Signal Processing of Electromagnetic Pulse Generated Waveforms

    National Research Council Canada - National Science Library

    Ardolino, Richard S

    2007-01-01

    This thesis investigated and compared alternative signal processing techniques that used wavelet-based methods instead of traditional frequency domain methods for processing measured electromagnetic pulse (EMP) waveforms...

  20. Cognitive load privileges memory-based over data-driven processing, not group-level over person-level processing.

    Science.gov (United States)

    Skorich, Daniel P; Mavor, Kenneth I

    2013-09-01

    In the current paper, we argue that categorization and individuation, as traditionally discussed and as experimentally operationalized, are defined in terms of two confounded underlying dimensions: a person/group dimension and a memory-based/data-driven dimension. In a series of three experiments, we unconfound these dimensions and impose a cognitive load. Across the three experiments, two with laboratory-created targets and one with participants' friends as the target, we demonstrate that cognitive load privileges memory-based over data-driven processing, not group- over person-level processing. We discuss the results in terms of their implications for conceptualizations of the categorization/individuation distinction, for the equivalence of person and group processes, for the ultimate 'purpose' and meaningfulness of group-based perception and, fundamentally, for the process of categorization, broadly defined. © 2012 The British Psychological Society.

  1. Modeling Dynamic Systems with Efficient Ensembles of Process-Based Models.

    Directory of Open Access Journals (Sweden)

    Nikola Simidjievski

    Full Text Available Ensembles are a well established machine learning paradigm, leading to accurate and robust models, predominantly applied to predictive modeling tasks. Ensemble models comprise a finite set of diverse predictive models whose combined output is expected to yield an improved predictive performance as compared to an individual model. In this paper, we propose a new method for learning ensembles of process-based models of dynamic systems. The process-based modeling paradigm employs domain-specific knowledge to automatically learn models of dynamic systems from time-series observational data. Previous work has shown that ensembles based on sampling observational data (i.e., bagging and boosting, significantly improve predictive performance of process-based models. However, this improvement comes at the cost of a substantial increase of the computational time needed for learning. To address this problem, the paper proposes a method that aims at efficiently learning ensembles of process-based models, while maintaining their accurate long-term predictive performance. This is achieved by constructing ensembles with sampling domain-specific knowledge instead of sampling data. We apply the proposed method to and evaluate its performance on a set of problems of automated predictive modeling in three lake ecosystems using a library of process-based knowledge for modeling population dynamics. The experimental results identify the optimal design decisions regarding the learning algorithm. The results also show that the proposed ensembles yield significantly more accurate predictions of population dynamics as compared to individual process-based models. Finally, while their predictive performance is comparable to the one of ensembles obtained with the state-of-the-art methods of bagging and boosting, they are substantially more efficient.

  2. Design of learner-centred constructivism based learning process

    OpenAIRE

    Schreurs, Jeanne; Al-Huneidi, Ahmad

    2012-01-01

    A Learner-centered learning is constructivism based and Competence directed. We define general competencies, domain competencies and specific course competencies. Constructivism based learning activities are based on constructivism theory. For each course module the intended learning level will be defined. A model is built for the design of a learner centered constructivism based and competency directed learning process. The application of it in two courses are presented. Constructivism ba...

  3. User-centric Query Refinement and Processing Using Granularity Based Strategies

    NARCIS (Netherlands)

    Zeng, Y.; Zhong, N.; Wang, Y.; Qin, Y.; Huang, Z.; Zhou, H; Yao, Y; van Harmelen, F.A.H.

    2011-01-01

    Under the context of large-scale scientific literatures, this paper provides a user-centric approach for refining and processing incomplete or vague query based on cognitive- and granularity-based strategies. From the viewpoints of user interests retention and granular information processing, we

  4. Systematic construction of qualitative physics-based rules for process diagnostics

    International Nuclear Information System (INIS)

    Reifman, J.; Wei, T.Y.C.

    1995-01-01

    A novel first-principles-based expert system is proposed for on-line detection and identification of faulty component candidates during incipient off-normal process operations. The system performs function-oriented diagnostics and can be reused for diagnosing single-component failures in different processes and different plants through the provision of the appropriate process schematics information. The function-oriented and process-independent diagnostic features of the proposed expert system are achieved by constructing a knowledge base containing three distinct types of information, qualitative balance equation rules, functional classification of process components, and the process piping and instrumentation diagram. The various types of qualitative balance equation rules for processes utilizing single-phase liquids are derived and their usage is illustrated through simulation results of a realistic process in a nuclear power plant

  5. Milestones in screen-based process control

    International Nuclear Information System (INIS)

    Guesnier, G.P.

    1995-01-01

    The German approach is based on the utilisation of the conceptual elements of the PRISCA information system developed by Siemens and on operational experience with screen-based process control in a conventional power plant. In the French approach, the screen-based control room for the N4 plants, designed from scratch, has undergone extensive simulator tests for validation before going into realisation. It is now used in the commissioning phase of the first N4 plants. The design of the control room for the European Pressurized Water Reactor will be based on the common experience of Siemens and Electricite de France. Its main elements are several separate operator workstations, a safety control area used as a back-up for postulated failures of the workstations, and a commonly utilisable plant overview for the operators' coordination. (orig./HP) [de

  6. Indexing business processes based on annotated finite state automata

    NARCIS (Netherlands)

    Mahleko, B.; Wombacher, Andreas

    The existing service discovery infrastructure with UDDI as the de facto standard, is limited in that it does not support more complex searching based on matching business processes. Two business processes match if they agree on their simple services, their processing order as well as any mandatory

  7. Implementation of a Web-Based Collaborative Process Planning System

    Science.gov (United States)

    Wang, Huifen; Liu, Tingting; Qiao, Li; Huang, Shuangxi

    Under the networked manufacturing environment, all phases of product manufacturing involving design, process planning, machining and assembling may be accomplished collaboratively by different enterprises, even different manufacturing stages of the same part may be finished collaboratively by different enterprises. Based on the self-developed networked manufacturing platform eCWS(e-Cooperative Work System), a multi-agent-based system framework for collaborative process planning is proposed. In accordance with requirements of collaborative process planning, share resources provided by cooperative enterprises in the course of collaboration are classified into seven classes. Then a reconfigurable and extendable resource object model is built. Decision-making strategy is also studied in this paper. Finally a collaborative process planning system e-CAPP is developed and applied. It provides strong support for distributed designers to collaboratively plan and optimize product process though network.

  8. Licensing process for safety-critical software-based systems

    Energy Technology Data Exchange (ETDEWEB)

    Haapanen, P. [VTT Automation, Espoo (Finland); Korhonen, J. [VTT Electronics, Espoo (Finland); Pulkkinen, U. [VTT Automation, Espoo (Finland)

    2000-12-01

    System vendors nowadays propose software-based technology even for the most critical safety functions in nuclear power plants. Due to the nature of software faults and the way they cause system failures new methods are needed for the safety and reliability evaluation of these systems. In the research project 'Programmable automation systems in nuclear power plants (OHA)', financed together by the Radiation and Nuclear Safety Authority (STUK), the Ministry of Trade and Industry (KTM) and the Technical Research Centre of Finland (VTT), various safety assessment methods and tools for software based systems are developed and evaluated. As a part of the OHA-work a reference model for the licensing process for software-based safety automation systems is defined. The licensing process is defined as the set of interrelated activities whose purpose is to produce and assess evidence concerning the safety and reliability of the system/application to be licensed and to make the decision about the granting the construction and operation permissions based on this evidence. The parties of the licensing process are the authority, the licensee (the utility company), system vendors and their subcontractors and possible external independent assessors. The responsibility about the production of the evidence in first place lies at the licensee who in most cases rests heavily on the vendor expertise. The evaluation and gauging of the evidence is carried out by the authority (possibly using external experts), who also can acquire additional evidence by using their own (independent) methods and tools. Central issue in the licensing process is to combine the quality evidence about the system development process with the information acquired through tests, analyses and operational experience. The purpose of the licensing process described in this report is to act as a reference model both for the authority and the licensee when planning the licensing of individual applications

  9. Licensing process for safety-critical software-based systems

    International Nuclear Information System (INIS)

    Haapanen, P.; Korhonen, J.; Pulkkinen, U.

    2000-12-01

    System vendors nowadays propose software-based technology even for the most critical safety functions in nuclear power plants. Due to the nature of software faults and the way they cause system failures new methods are needed for the safety and reliability evaluation of these systems. In the research project 'Programmable automation systems in nuclear power plants (OHA)', financed together by the Radiation and Nuclear Safety Authority (STUK), the Ministry of Trade and Industry (KTM) and the Technical Research Centre of Finland (VTT), various safety assessment methods and tools for software based systems are developed and evaluated. As a part of the OHA-work a reference model for the licensing process for software-based safety automation systems is defined. The licensing process is defined as the set of interrelated activities whose purpose is to produce and assess evidence concerning the safety and reliability of the system/application to be licensed and to make the decision about the granting the construction and operation permissions based on this evidence. The parties of the licensing process are the authority, the licensee (the utility company), system vendors and their subcontractors and possible external independent assessors. The responsibility about the production of the evidence in first place lies at the licensee who in most cases rests heavily on the vendor expertise. The evaluation and gauging of the evidence is carried out by the authority (possibly using external experts), who also can acquire additional evidence by using their own (independent) methods and tools. Central issue in the licensing process is to combine the quality evidence about the system development process with the information acquired through tests, analyses and operational experience. The purpose of the licensing process described in this report is to act as a reference model both for the authority and the licensee when planning the licensing of individual applications. Many of the

  10. Process Evaluation in Corrections-Based Substance Abuse Treatment.

    Science.gov (United States)

    Wolk, James L.; Hartmann, David J.

    1996-01-01

    Argues that process evaluation is needed to validate prison-based substance abuse treatment effectiveness. Five groups--inmates, treatment staff, prison staff, prison administration, and the parole board--should be a part of this process evaluation. Discusses these five groups relative to three stages of development of substance abuse treatment in…

  11. An analog memory integrated circuit for waveform sampling up to 900 MHz

    International Nuclear Information System (INIS)

    Haller, G.M.; Wooley, B.A.

    1994-01-01

    The potential of switched-capacitor technology for acquiring analog signals in high-energy physics (HEP) applications has been demonstrated in a number of analog memory designs. The design and implementation of a switched-capacitor memory suitable for capturing high-speed analog waveforms is described. Highlights of the presented circuit are a 900 MHz sampling frequency (generated on chip), input signal independent cell pedestal and sampling instances, and cell gains that are insensitive to component sizes. A two-channel version of the memory with 32 cells for each channel has been integrate in a 2-μm complementary metal oxide semiconductor (CMOS) process with polysilicon-to-polysilicon capacitors. The measured rms cell response variation in a channel after cell pedestal subtraction is less than 0.3 mV across the full input signal range. The cell-to-cell gain matching is better than 0.01% rms, and the nonlinearity is less than 0.03% for a 2.5-V input range. The dynamic range of the memory exceeds 13 bits, and the peak signal-to-(noise + distortion) ratio for a 21.4 MHz sine wave sampled at 900 MHz is 59 dB

  12. Adaptive Beamforming Based on Complex Quaternion Processes

    Directory of Open Access Journals (Sweden)

    Jian-wu Tao

    2014-01-01

    Full Text Available Motivated by the benefits of array signal processing in quaternion domain, we investigate the problem of adaptive beamforming based on complex quaternion processes in this paper. First, a complex quaternion least-mean squares (CQLMS algorithm is proposed and its performance is analyzed. The CQLMS algorithm is suitable for adaptive beamforming of vector-sensor array. The weight vector update of CQLMS algorithm is derived based on the complex gradient, leading to lower computational complexity. Because the complex quaternion can exhibit the orthogonal structure of an electromagnetic vector-sensor in a natural way, a complex quaternion model in time domain is provided for a 3-component vector-sensor array. And the normalized adaptive beamformer using CQLMS is presented. Finally, simulation results are given to validate the performance of the proposed adaptive beamformer.

  13. Process competencies in a problem and project based learning environment

    DEFF Research Database (Denmark)

    Du, Xiangyun; Kolmos, Anette

    2006-01-01

    with the expected professional competencies. Based on the educational practice of PBL Aalborg Model, which is characterized by problem-orientation, project-organization and team work, this paper examines the process of developing process competencies through studying engineering in a PBL environment from...... process competencies through doing problem and project based work in teams? 2) How do students perceive their achievement of these process competencies?......Future engineers are not only required to master technological competencies concerning solving problems, producing and innovating technology, they are also expected to have capabilities of cooperation, communication, and project management in diverse social context, which are referred to as process...

  14. A Model of Process-Based Automation: Cost and Quality Implications in the Medication Management Process

    Science.gov (United States)

    Spaulding, Trent Joseph

    2011-01-01

    The objective of this research is to understand how a set of systems, as defined by the business process, creates value. The three studies contained in this work develop the model of process-based automation. The model states that complementarities among systems are specified by handoffs in the business process. The model also provides theory to…

  15. Feature-based tolerancing for intelligent inspection process definition

    International Nuclear Information System (INIS)

    Brown, C.W.

    1993-07-01

    This paper describes a feature-based tolerancing capability that complements a geometric solid model with an explicit representation of conventional and geometric tolerances. This capability is focused on supporting an intelligent inspection process definition system. The feature-based tolerance model's benefits include advancing complete product definition initiatives (e.g., STEP -- Standard for Exchange of Product model dam), suppling computer-integrated manufacturing applications (e.g., generative process planning and automated part programming) with product definition information, and assisting in the solution of measurement performance issues. A feature-based tolerance information model was developed based upon the notion of a feature's toleranceable aspects and describes an object-oriented scheme for representing and relating tolerance features, tolerances, and datum reference frames. For easy incorporation, the tolerance feature entities are interconnected with STEP solid model entities. This schema will explicitly represent the tolerance specification for mechanical products, support advanced dimensional measurement applications, and assist in tolerance-related methods divergence issues

  16. Process Design and Evaluation for Chemicals Based on Renewable Resources

    DEFF Research Database (Denmark)

    Fu, Wenjing

    . In addition, another characteristic of chemicals based on renewable feedstocks is that many alternative technologies and possible routes exist, resulting in many possible process flowsheets. The challenge for process engineers is then to choose between possible process routes and alternative technologies...... development of chemicals based on renewable feedstocks. As an example, this thesis especially focuses on applying the methodology in process design and evaluation of the synthesis of 5-hydroxymethylfurfural (HMF) from the renewable feedstock glucose/fructose. The selected example is part of the chemoenzymatic......One of the key steps in process design is choosing between alternative technologies, especially for processes producing bulk and commodity chemicals. Recently, driven by the increasing oil prices and diminishing reserves, the production of bulk and commodity chemicals from renewable feedstocks has...

  17. Development of Electrochemical Processes for Aluminium-Based Coatings for Fusion Applications

    Energy Technology Data Exchange (ETDEWEB)

    Konys, J. [Karlsruhe Institute of Technology, Karlsruhe (Germany)

    2016-12-15

    Reduced activation ferritic-martensitic steels (RAFM) are envisaged in future fusion technology as structural material which will be in direct contact with a flowing liquid lead-lithium melt, serving as breeder material. Aluminium-based coatings had proven their ability to protect the structural material from corrosion attack in flowing Pb-15.7Li and to reduce tritium permeation into the coolant, significantly. Coming from scales produced by hot dipping aluminization (HDA), the development of electrochemical-based processes to produce well-defined aluminium-based coatings on RAFM steels gained increased attention in research during the last years. Two different electrochemical processes are described in this paper: The first one, referred to as ECA, is based on the electrodeposition of aluminium from volatile, metal-organic electrolytes. The other process called ECX is based on ionic liquids. All three processes exhibit specific characteristics, for example in the field of processability, control of coating thicknesses (low activation criteria) and heat treatment behavior. The aim of this article is to compare these different coating processes critically, whereby the focus is on the comparison of ECA and ECX processes. New results for ECX will be presented and occurring development needs for the future will be discussed.

  18. Development of Electrochemical Processes for Aluminium-Based Coatings for Fusion Applications

    International Nuclear Information System (INIS)

    Konys, J.

    2016-01-01

    Reduced activation ferritic-martensitic steels (RAFM) are envisaged in future fusion technology as structural material which will be in direct contact with a flowing liquid lead-lithium melt, serving as breeder material. Aluminium-based coatings had proven their ability to protect the structural material from corrosion attack in flowing Pb-15.7Li and to reduce tritium permeation into the coolant, significantly. Coming from scales produced by hot dipping aluminization (HDA), the development of electrochemical-based processes to produce well-defined aluminium-based coatings on RAFM steels gained increased attention in research during the last years. Two different electrochemical processes are described in this paper: The first one, referred to as ECA, is based on the electrodeposition of aluminium from volatile, metal-organic electrolytes. The other process called ECX is based on ionic liquids. All three processes exhibit specific characteristics, for example in the field of processability, control of coating thicknesses (low activation criteria) and heat treatment behavior. The aim of this article is to compare these different coating processes critically, whereby the focus is on the comparison of ECA and ECX processes. New results for ECX will be presented and occurring development needs for the future will be discussed.

  19. An Overview of Computer-Based Natural Language Processing.

    Science.gov (United States)

    Gevarter, William B.

    Computer-based Natural Language Processing (NLP) is the key to enabling humans and their computer-based creations to interact with machines using natural languages (English, Japanese, German, etc.) rather than formal computer languages. NLP is a major research area in the fields of artificial intelligence and computational linguistics. Commercial…

  20. Transforming Existing Procedural Business Processes into a Constraint-Based Formalism

    NARCIS (Netherlands)

    dr. Martijn Zoet; Eline de Haan; Floor Vermeer; Jeroen van Grondelle; Slinger Jansen

    2013-01-01

    Many organizations use business process management to manage and model their processes. Currently, flow-based process formalisms, such as BPMN, are considered the standard for modeling processes. However, recent literature describes several limitations of this type of formalism that can be solved by

  1. Screen-based process control in nuclear plants

    International Nuclear Information System (INIS)

    Hinz, W.; Arnoldt, C.; Hessler, C.

    1993-01-01

    Requirements, development and conceptual design of a screen-based control room for nuclear power plants are outlined. The control room consists of three or four equally equipped operator workstations comprising screens for process information and manual process control. A plant overview will assist the coordination among the operators. A safety classified backup system (safety control area) is provided to cover postulated failures of the control means. Some aspects of ergonomical validation and of future development trends are discussed. (orig.) [de

  2. A risk-based auditing process for pharmaceutical manufacturers.

    Science.gov (United States)

    Vargo, Susan; Dana, Bob; Rangavajhula, Vijaya; Rönninger, Stephan

    2014-01-01

    The purpose of this article is to share ideas on developing a risk-based model for the scheduling of audits (both internal and external). Audits are a key element of a manufacturer's quality system and provide an independent means of evaluating the manufacturer's or the supplier/vendor's compliance status. Suggestions for risk-based scheduling approaches are discussed in the article. Pharmaceutical manufacturers are required to establish and implement a quality system. The quality system is an organizational structure defining responsibilities, procedures, processes, and resources that the manufacturer has established to ensure quality throughout the manufacturing process. Audits are a component of the manufacturer's quality system and provide a systematic and an independent means of evaluating the manufacturer's overall quality system and compliance status. Audits are performed at defined intervals for a specified duration. The intention of the audit process is to focus on key areas within the quality system and may not cover all relevant areas during each audit. In this article, the authors provide suggestions for risk-based scheduling approaches to aid pharmaceutical manufacturers in identifying the key focus areas for an audit.

  3. Smart membranes for monitoring membrane based desalination processes

    KAUST Repository

    Laleg-Kirati, Taous-Meriem

    2017-10-12

    Various examples are related to smart membranes for monitoring membrane based process such as, e.g., membrane distillation processes. In one example, a membrane, includes a porous surface and a plurality of sensors (e.g., temperature, flow and/or impedance sensors) mounted on the porous surface. In another example, a membrane distillation (MD) process includes the membrane. Processing circuitry can be configured to monitor outputs of the plurality of sensors. The monitored outputs can be used to determine membrane degradation, membrane fouling, or to provide an indication of membrane replacement or cleaning. The sensors can also provide temperatures or temperature differentials across the porous surface, which can be used to improve modeling or control the MD process.

  4. Graphics processing unit based computation for NDE applications

    Science.gov (United States)

    Nahas, C. A.; Rajagopal, Prabhu; Balasubramaniam, Krishnan; Krishnamurthy, C. V.

    2012-05-01

    Advances in parallel processing in recent years are helping to improve the cost of numerical simulation. Breakthroughs in Graphical Processing Unit (GPU) based computation now offer the prospect of further drastic improvements. The introduction of 'compute unified device architecture' (CUDA) by NVIDIA (the global technology company based in Santa Clara, California, USA) has made programming GPUs for general purpose computing accessible to the average programmer. Here we use CUDA to develop parallel finite difference schemes as applicable to two problems of interest to NDE community, namely heat diffusion and elastic wave propagation. The implementations are for two-dimensions. Performance improvement of the GPU implementation against serial CPU implementation is then discussed.

  5. Application of agent-based system for bioprocess description and process improvement.

    Science.gov (United States)

    Gao, Ying; Kipling, Katie; Glassey, Jarka; Willis, Mark; Montague, Gary; Zhou, Yuhong; Titchener-Hooker, Nigel J

    2010-01-01

    Modeling plays an important role in bioprocess development for design and scale-up. Predictive models can also be used in biopharmaceutical manufacturing to assist decision-making either to maintain process consistency or to identify optimal operating conditions. To predict the whole bioprocess performance, the strong interactions present in a processing sequence must be adequately modeled. Traditionally, bioprocess modeling considers process units separately, which makes it difficult to capture the interactions between units. In this work, a systematic framework is developed to analyze the bioprocesses based on a whole process understanding and considering the interactions between process operations. An agent-based approach is adopted to provide a flexible infrastructure for the necessary integration of process models. This enables the prediction of overall process behavior, which can then be applied during process development or once manufacturing has commenced, in both cases leading to the capacity for fast evaluation of process improvement options. The multi-agent system comprises a process knowledge base, process models, and a group of functional agents. In this system, agent components co-operate with each other in performing their tasks. These include the description of the whole process behavior, evaluating process operating conditions, monitoring of the operating processes, predicting critical process performance, and providing guidance to decision-making when coping with process deviations. During process development, the system can be used to evaluate the design space for process operation. During manufacture, the system can be applied to identify abnormal process operation events and then to provide suggestions as to how best to cope with the deviations. In all cases, the function of the system is to ensure an efficient manufacturing process. The implementation of the agent-based approach is illustrated via selected application scenarios, which

  6. An expert systems application to space base data processing

    Science.gov (United States)

    Babb, Stephen M.

    1988-01-01

    The advent of space vehicles with their increased data requirements are reflected in the complexity of future telemetry systems. Space based operations with its immense operating costs will shift the burden of data processing and routine analysis from the space station to the Orbital Transfer Vehicle (OTV). A research and development project is described which addresses the real time onboard data processing tasks associated with a space based vehicle, specifically focusing on an implementation of an expert system.

  7. Polysilicon photoconductor for integrated circuits

    Science.gov (United States)

    Hammond, R.B.; Bowman, D.R.

    1989-04-11

    A photoconductive element of polycrystalline silicon is provided with intrinsic response time which does not limit overall circuit response. An undoped polycrystalline silicon layer is deposited by LPCVD to a selected thickness on silicon dioxide. The deposited polycrystalline silicon is then annealed at a selected temperature and for a time effective to obtain crystal sizes effective to produce an enhanced current output. The annealed polycrystalline layer is subsequently exposed and damaged by ion implantation to a damage factor effective to obtain a fast photoconductive response. 6 figs.

  8. Hard and soft acids and bases: structure and process.

    Science.gov (United States)

    Reed, James L

    2012-07-05

    Under investigation is the structure and process that gives rise to hard-soft behavior in simple anionic atomic bases. That for simple atomic bases the chemical hardness is expected to be the only extrinsic component of acid-base strength, has been substantiated in the current study. A thermochemically based operational scale of chemical hardness was used to identify the structure within anionic atomic bases that is responsible for chemical hardness. The base's responding electrons have been identified as the structure, and the relaxation that occurs during charge transfer has been identified as the process giving rise to hard-soft behavior. This is in contrast the commonly accepted explanations that attribute hard-soft behavior to varying degrees of electrostatic and covalent contributions to the acid-base interaction. The ability of the atomic ion's responding electrons to cause hard-soft behavior has been assessed by examining the correlation of the estimated relaxation energies of the responding electrons with the operational chemical hardness. It has been demonstrated that the responding electrons are able to give rise to hard-soft behavior in simple anionic bases.

  9. [Electrophysiological bases of semantic processing of objects].

    Science.gov (United States)

    Kahlaoui, Karima; Baccino, Thierry; Joanette, Yves; Magnié, Marie-Noële

    2007-02-01

    How pictures and words are stored and processed in the human brain constitute a long-standing question in cognitive psychology. Behavioral studies have yielded a large amount of data addressing this issue. Generally speaking, these data show that there are some interactions between the semantic processing of pictures and words. However, behavioral methods can provide only limited insight into certain findings. Fortunately, Event-Related Potential (ERP) provides on-line cues about the temporal nature of cognitive processes and contributes to the exploration of their neural substrates. ERPs have been used in order to better understand semantic processing of words and pictures. The main objective of this article is to offer an overview of the electrophysiologic bases of semantic processing of words and pictures. Studies presented in this article showed that the processing of words is associated with an N 400 component, whereas pictures elicited both N 300 and N 400 components. Topographical analysis of the N 400 distribution over the scalp is compatible with the idea that both image-mediated concrete words and pictures access an amodal semantic system. However, given the distinctive N 300 patterns, observed only during picture processing, it appears that picture and word processing rely upon distinct neuronal networks, even if they end up activating more or less similar semantic representations.

  10. Runtime Logistic Process Orchestration Based on Business Transaction Choreography

    NARCIS (Netherlands)

    Hofman, W.J.

    2013-01-01

    Today logistic systems are business document based, e.g. processing ship manifest, load lists, declarations, and shipping instructions. Business processes are organized in handling these business documents in the context of framework contracts with business partners. Implementations of new

  11. Discovering and Exploring State-based Models for Multi-perspective Processes

    NARCIS (Netherlands)

    van Eck, M.L.; Sidorova, N.; van der Aalst, W.M.P.; La Rosa, M.; Loos, P.; Pastor, O.

    2016-01-01

    Process mining provides fact-based insights into process behaviour captured in event data. In this work we aim to discover models for processes where different facets, or perspectives, of the process can be identified. Instead of focussing on the events or activities that are executed in the context

  12. Comparison of pre-processing methods for multiplex bead-based immunoassays.

    Science.gov (United States)

    Rausch, Tanja K; Schillert, Arne; Ziegler, Andreas; Lüking, Angelika; Zucht, Hans-Dieter; Schulz-Knappe, Peter

    2016-08-11

    High throughput protein expression studies can be performed using bead-based protein immunoassays, such as the Luminex® xMAP® technology. Technical variability is inherent to these experiments and may lead to systematic bias and reduced power. To reduce technical variability, data pre-processing is performed. However, no recommendations exist for the pre-processing of Luminex® xMAP® data. We compared 37 different data pre-processing combinations of transformation and normalization methods in 42 samples on 384 analytes obtained from a multiplex immunoassay based on the Luminex® xMAP® technology. We evaluated the performance of each pre-processing approach with 6 different performance criteria. Three performance criteria were plots. All plots were evaluated by 15 independent and blinded readers. Four different combinations of transformation and normalization methods performed well as pre-processing procedure for this bead-based protein immunoassay. The following combinations of transformation and normalization were suitable for pre-processing Luminex® xMAP® data in this study: weighted Box-Cox followed by quantile or robust spline normalization (rsn), asinh transformation followed by loess normalization and Box-Cox followed by rsn.

  13. Product and process effectiveness using performance-based auditing techniques

    International Nuclear Information System (INIS)

    Horseman, M.L.

    1995-01-01

    Focus is the backbone of genius. Focus is the lifeblood of adequate products and effective processes. Focus is the theme of Performance-Based Audits (PBA). The Civilian Radioactive Waste Management (CRWM) Program is using the PBA tool extensively to focus on the evaluation of product adequacy and process effectiveness. The term Performance-Based Audit has been around for several years. however, the approach presented here for the systematic end-product selection, planning, and measurement of adequacy and effectiveness is new and innovative

  14. A process-based typology of hydrological drought

    NARCIS (Netherlands)

    Loon, van A.F.; Lanen, van H.A.J.

    2012-01-01

    Hydrological drought events have very different causes and effects. Classifying these events into distinct types can be useful for both science and management. We propose a hydrological drought typology that is based on governing drought propagation processes derived from catchment-scale drought

  15. Full-scale experiments on solid-pellets feed continuous Czochralski growth of silicon crystals

    Science.gov (United States)

    Anselmo, A.; Koziol, J.; Prasad, V.

    1996-06-01

    Two long-term solid-pellets feed continuous Czochralski growth experiments were performed in an industrial Czochralski crystal puller as an extension to our previous work [7]. The goals of these experiments were to examine how polysilicon pellets would melt in a standard Cz system, to discover the thermal effects the pellets would have on the overall melt, and to find if pellet addition could be an effective melt replenishment technique. These experiments demonstrate that the quality of the melt for the CCz growth is based heavily on the surface temperature of the melt. A novel characterization method ("impact severity") is developed to characterize the quality of the CCz melt. Stable feed rate and melt conditions were achieved for three different pull rates. These experiments demonstrate that the process is technically feasible, and can be retrofitted to the existing industrial systems. Several critical issues that need to be addressed to develop a successful CCz process are also discussed.

  16. A CMOS pressure sensor with integrated interface for passive RFID applications

    International Nuclear Information System (INIS)

    Deng, Fangming; He, Yigang; Wu, Xiang; Fu, Zhihui

    2014-01-01

    This paper presents a CMOS pressure sensor with integrated interface for passive RFID sensing applications. The pressure sensor consists of three parts: top electrode, dielectric layer and bottom electrode. The dielectric layer consists of silicon oxide and an air gap. The bottom electrode is made of polysilicon. The gap is formed by sacrificial layer release and the Al vapor process is used to seal the gap and form the top electrode. The sensor interface is based on phase-locked architecture, which allows the use of fully digital blocks. The proposed pressure sensor and interface is fabricated in a 0.18 μm CMOS process. The measurement results show the pressure sensor achieves excellent linearity with a sensitivity of 1.2 fF kPa −1 . The sensor interface consumes only 1.1 µW of power at 0.5 V voltage supply, which is at least an order of magnitude better than state-of-the-art designs. (paper)

  17. A security modeling approach for web-service-based business processes

    DEFF Research Database (Denmark)

    Jensen, Meiko; Feja, Sven

    2009-01-01

    a transformation that automatically derives WS-SecurityPolicy-conformant security policies from the process model, which in conjunction with the generated WS-BPEL processes and WSDL documents provides the ability to deploy and run the complete security-enhanced process based on Web Service technology.......The rising need for security in SOA applications requires better support for management of non-functional properties in web-based business processes. Here, the model-driven approach may provide valuable benefits in terms of maintainability and deployment. Apart from modeling the pure functionality...... of a process, the consideration of security properties at the level of a process model is a promising approach. In this work-in-progress paper we present an extension to the ARIS SOA Architect that is capable of modeling security requirements as a separate security model view. Further we provide...

  18. An advanced purex process based on salt-free reductants

    Energy Technology Data Exchange (ETDEWEB)

    He, Hui; Ye, Guoan; Tang, Hongbin; Zheng, Weifang; Li, Gaoliang; Lin, Rushan [China Institute of Atomic Energy, Beijing (China). Dept. of Radiochemistry

    2014-04-01

    An advanced plutonium and uranium recovery process has been established based on two organic reductants, N,N-dimethylhydroxylamine (DMHAN) and methylhydrazine (MH), as U/Pu separation reagents. This Advanced Purex process based on Organic Reductants (APOR) is composed of three cycles, including U/Pu co-decontamination/separation cycle, uranium purification cycle and plutonium purification cycle. Using DMHAN and MH as plutonium stripping reagents in the U/Pu co-decontamination/separation cycle and plutonium purification cycle, the APOR process exhibits high performance with following highlights: (1) the process is much simpler because of the elimination of Tc scrubbing operation and the supplement extraction operation, (2) high efficiency of U/Pu separation can be achieved in the first cycle, (3) plutonium product solution of high concentration can be obtained in the Pu purification cycle with a simple extraction operation instead of circumfluent extraction or evaporation of the plutonium solution. (orig.)

  19. Photoresist removal using gaseous sulfur trioxide cleaning technology

    Science.gov (United States)

    Del Puppo, Helene; Bocian, Paul B.; Waleh, Ahmad

    1999-06-01

    A novel cleaning method for removing photoresists and organic polymers from semiconductor wafers is described. This non-plasma method uses anhydrous sulfur trioxide gas in a two-step process, during which, the substrate is first exposed to SO3 vapor at relatively low temperatures and then is rinsed with de-ionized water. The process is radically different from conventional plasma-ashing methods in that the photoresist is not etched or removed during the exposure to SO3. Rather, the removal of the modified photoresist takes place during the subsequent DI-water rinse step. The SO3 process completely removes photoresist and polymer residues in many post-etch applications. Additional advantages of the process are absence of halogen gases and elimination of the need for other solvents and wet chemicals. The process also enjoys a very low cost of ownership and has minimal environmental impact. The SEM and SIMS surface analysis results are presented to show the effectiveness of gaseous SO3 process after polysilicon, metal an oxide etch applications. The effects of both chlorine- and fluorine-based plasma chemistries on resist removal are described.

  20. Processing data base information having nonwhite noise

    Science.gov (United States)

    Gross, Kenneth C.; Morreale, Patricia

    1995-01-01

    A method and system for processing a set of data from an industrial process and/or a sensor. The method and system can include processing data from either real or calculated data related to an industrial process variable. One of the data sets can be an artificial signal data set generated by an autoregressive moving average technique. After obtaining two data sets associated with one physical variable, a difference function data set is obtained by determining the arithmetic difference between the two pairs of data sets over time. A frequency domain transformation is made of the difference function data set to obtain Fourier modes describing a composite function data set. A residual function data set is obtained by subtracting the composite function data set from the difference function data set and the residual function data set (free of nonwhite noise) is analyzed by a statistical probability ratio test to provide a validated data base.

  1. Bridging process-based and empirical approaches to modeling tree growth

    Science.gov (United States)

    Harry T. Valentine; Annikki Makela; Annikki Makela

    2005-01-01

    The gulf between process-based and empirical approaches to modeling tree growth may be bridged, in part, by the use of a common model. To this end, we have formulated a process-based model of tree growth that can be fitted and applied in an empirical mode. The growth model is grounded in pipe model theory and an optimal control model of crown development. Together, the...

  2. Matchmaking for business processes based on choreographies

    NARCIS (Netherlands)

    Wombacher, Andreas; Fankhauser, Peter; Mahleko, Bendick; Neuhold, Erich

    Web services have a potential to enhance B2B ecommerce over the Internet by allowing companies and organizations to publish their business processes on service directories where potential trading partners can find them. This can give rise to new business paradigms based on ad-hoc trading relations

  3. APPLICABILITY OF ACTIVITY BASED COSTING IN NEW PRODUCT DEVELOPMENT PROCESSES

    Directory of Open Access Journals (Sweden)

    Ewa Wanda MARUSZEWSKA

    2015-01-01

    Full Text Available The purpose of the article is to emphasis that activity based costing is a proper tool for engineers to enhance their deci-sion-making process while developing new product. The theoretical analysis shows that variety of factors shall be en-compassed into new product decision-making process and therefore engineers and management should pay great attention to proper cost allocation. The paper suggests the usage of Activity Based Costing methodology for new product development decision-making process. Author states that application ABC in the process of rational decision-making referring to new product development enables managers and engineers to prioritize possible solutions, and reallocate resources used in production process in order to meet wider organizational goals. It would also contribute in coopera-tion of managers and engineers for the sake of organizational goal.

  4. All solution processed tandem polymer solar cells based on thermocleavable materials

    DEFF Research Database (Denmark)

    Hagemann, Ole; Bjerring, Morten; Nielsen, Niels Chr.

    2008-01-01

    efficiently solved. Devices comprised a transparent front cathode based on solution processed zinc oxide nanoparticles, a large band gap active layer based on a bulk heterojunction between zinc oxide and poly(3-carboxydithiophene) (P3CT) followed by a layer of PEDOT:PSS processed from water. The second cell...... in the stack employed a zinc oxide front cathode processed on top of the PEDOT:PSS layer from an organic solvent, a low band gap active layer based on a bulk heterojunction between zinc oxide and the novel poly(carboxyterthiophene-co-diphenylthienopyrazine) (P3CTTP) followed by a layer of PEDOT:PSS again...

  5. Environmental Assessment: General Plan-Based Environmental Impact Analysis Process, Laughlin Air Force Base

    Science.gov (United States)

    2007-05-01

    BASED ENVIROMENTAL IMPACT ANALYSIS PROCESS LAUGHLIN AIR FORCE BASE, TEXAS AGENCY: 47th Flying Training Wing (FTW), Laughlin Air Force Base (AFB), Texas...m3 micrograms per cubic meter US United States USACE United States Army Corp of Engineers USC United States Code USCB United States Census Bureau...effects and annoyance in that very few flight operations and ground engine runs occur between 2200 hours and 0700 hours. BMPs include restricting the

  6. Agent-Based Modeling of Consumer Decision making Process Based on Power Distance and Personality

    NARCIS (Netherlands)

    Roozmand, O.; Ghasem-Aghaee, N.; Hofstede, G.J.; Nematbakhsh, M.A.; Baraani, A.; Verwaart, T.

    2011-01-01

    Simulating consumer decision making processes involves different disciplines such as: sociology, social psychology, marketing, and computer science. In this paper, we propose an agent-based conceptual and computational model of consumer decision-making based on culture, personality and human needs.

  7. DEVELOPMENT OF SCIENCE PROCESS SKILLS STUDENTS WITH PROJECT BASED LEARNING MODEL- BASED TRAINING IN LEARNING PHYSICS

    Directory of Open Access Journals (Sweden)

    Ratna Malawati

    2016-06-01

    Full Text Available This study aims to improve the physics Science Process Skills Students on cognitive and psychomotor aspects by using model based Project Based Learning training.The object of this study is the Project Based Learning model used in the learning process of Computationa Physics.The method used is classroom action research through two learning cycles, each cycle consisting of the stages of planning, implementation, observation and reflection. In the first cycle of treatment with their emphasis given training in the first phase up to third in the model Project Based Learning, while the second cycle is given additional treatment with emphasis discussion is collaboration in achieving the best results for each group of products. The results of data analysis showed increased ability to think Students on cognitive and Science Process Skills in the psychomotor.

  8. A quantum computer based on recombination processes in microelectronic devices

    International Nuclear Information System (INIS)

    Theodoropoulos, K; Ntalaperas, D; Petras, I; Konofaos, N

    2005-01-01

    In this paper a quantum computer based on the recombination processes happening in semiconductor devices is presented. A 'data element' and a 'computational element' are derived based on Schokley-Read-Hall statistics and they can later be used to manifest a simple and known quantum computing process. Such a paradigm is shown by the application of the proposed computer onto a well known physical system involving traps in semiconductor devices

  9. Predictors of Processing-Based Task Performance in Bilingual and Monolingual Children

    Science.gov (United States)

    Buac, Milijana; Gross, Megan; Kaushanskaya, Margarita

    2016-01-01

    In the present study we examined performance of bilingual Spanish-English-speaking and monolingual English-speaking school-age children on a range of processing-based measures within the framework of Baddeley’s working memory model. The processing-based measures included measures of short-term memory, measures of working memory, and a novel word-learning task. Results revealed that monolinguals outperformed bilinguals on the short-term memory tasks but not the working memory and novel word-learning tasks. Further, children’s vocabulary skills and socioeconomic status (SES) were more predictive of processing-based task performance in the bilingual group than the monolingual group. Together, these findings indicate that processing-based tasks that engage verbal working memory rather than short-term memory may be better-suited for diagnostic purposes with bilingual children. However, even verbal working memory measures are sensitive to bilingual children’s language-specific knowledge and demographic characteristics, and therefore may have limited clinical utility. PMID:27179914

  10. [Near infrared spectroscopy based process trajectory technology and its application in monitoring and controlling of traditional Chinese medicine manufacturing process].

    Science.gov (United States)

    Li, Wen-Long; Qu, Hai-Bin

    2016-10-01

    In this paper, the principle of NIRS (near infrared spectroscopy)-based process trajectory technology was introduced.The main steps of the technique include:① in-line collection of the processes spectra of different technics; ② unfolding of the 3-D process spectra;③ determination of the process trajectories and their normal limits;④ monitoring of the new batches with the established MSPC (multivariate statistical process control) models.Applications of the technology in the chemical and biological medicines were reviewed briefly. By a comprehensive introduction of our feasibility research on the monitoring of traditional Chinese medicine technical process using NIRS-based multivariate process trajectories, several important problems of the practical applications which need urgent solutions are proposed, and also the application prospect of the NIRS-based process trajectory technology is fully discussed and put forward in the end. Copyright© by the Chinese Pharmaceutical Association.

  11. An Analysis of Resilience of a Cloud Based Incident Notification Process

    OpenAIRE

    Vrieze , Paul ,; Xu , Lai

    2015-01-01

    Part 2: Agility and Resilience in Collaborative Networks; International audience; Cloud based Business Process Management (BPM) systems have provided SMEs with BPM in a pay-per-use manner. Previous work has focused on looking at cloud based BPM from the perspectives of distribution of data, activity or/and process engine and related issues, such as scalability of system, security of data, distribution of data and activities. To achieve business agility, business process collaboration needs to...

  12. Image processing system design for microcantilever-based optical readout infrared arrays

    Science.gov (United States)

    Tong, Qiang; Dong, Liquan; Zhao, Yuejin; Gong, Cheng; Liu, Xiaohua; Yu, Xiaomei; Yang, Lei; Liu, Weiyu

    2012-12-01

    Compared with the traditional infrared imaging technology, the new type of optical-readout uncooled infrared imaging technology based on MEMS has many advantages, such as low cost, small size, producing simple. In addition, the theory proves that the technology's high thermal detection sensitivity. So it has a very broad application prospects in the field of high performance infrared detection. The paper mainly focuses on an image capturing and processing system in the new type of optical-readout uncooled infrared imaging technology based on MEMS. The image capturing and processing system consists of software and hardware. We build our image processing core hardware platform based on TI's high performance DSP chip which is the TMS320DM642, and then design our image capturing board based on the MT9P031. MT9P031 is Micron's company high frame rate, low power consumption CMOS chip. Last we use Intel's company network transceiver devices-LXT971A to design the network output board. The software system is built on the real-time operating system DSP/BIOS. We design our video capture driver program based on TI's class-mini driver and network output program based on the NDK kit for image capturing and processing and transmitting. The experiment shows that the system has the advantages of high capturing resolution and fast processing speed. The speed of the network transmission is up to 100Mbps.

  13. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    For the thin polycrystalline Si films fabricated with the aluminium-induced-layer-exchange (ALILE) process a good structural quality up to a layer-thickness value of 10 nm was determined. For 5 nm thick layers however after the layer exchange no closes poly-silicon film was present. In this case the substrate was covered with spherically arranged semiconductor material. Furthermore amorphous contributions in the layer could be determined. The electrical characterization of the samples at room temperature proved a high hole concentration in the range 10{sup 18} cm{sup -3} up to 9.10{sup 19} cm{sup -3}, which is influenced by the process temperature and the layer thickness. Hereby higher hole concentrations at higher process temperatures and thinner films were observed. Furthermore above 150-200 K a thermically activated behaviour of the electrical conductivity was observed. At lower temperatures a deviation of the measured characteristic from the exponential Arrhenius behaviour was determined. For low temperatures (below 20 K) the conductivity follows the behaviour {sigma}{proportional_to}[-(T{sub 0}/T){sup 1/4}]. The hole mobility in the layers was lowered by a passivation step, which can be explained by defect states at the grain boundaries. The for these very thin layers present situation was simulated in the framework of the model of Seto, whereby both the defect states at the grain boundaries (with an area density Q{sub t}) and the defect states at the interfaces (with an area density Q{sub it}) were regarded. By this the values Q{sub t}{approx}(3-4).10{sup 12} cm{sup -2} and Q{sub it}{approx}(2-5).10{sup 12} cm{sup -2} could be determined for these thin ALILE layers on quartz substrates. Additionally th R-ALILE process was studied, which uses the reverse precursor-layer sequence substrate/amorphous silicon/oxide/aluminium. Hereby two steps in the crystallization process of the R-ALILE process were found. First a substrate/Al-Si mixture/poly-Si layer structure

  14. Recent developments in membrane-based separations in biotechnology processes: review.

    Science.gov (United States)

    Rathore, A S; Shirke, A

    2011-01-01

    Membrane-based separations are the most ubiquitous unit operations in biotech processes. There are several key reasons for this. First, they can be used with a large variety of applications including clarification, concentration, buffer exchange, purification, and sterilization. Second, they are available in a variety of formats, such as depth filtration, ultrafiltration, diafiltration, nanofiltration, reverse osmosis, and microfiltration. Third, they are simple to operate and are generally robust toward normal variations in feed material and operating parameters. Fourth, membrane-based separations typically require lower capital cost when compared to other processing options. As a result of these advantages, a typical biotech process has anywhere from 10 to 20 membrane-based separation steps. In this article we review the major developments that have occurred on this topic with a focus on developments in the last 5 years.

  15. Low-cost and versatile thermal test chip for power assemblies assessment and thermometric calibration purposes

    International Nuclear Information System (INIS)

    Jorda, X.; Perpina, X.; Vellvehi, M.; Madrid, F.; Flores, D.; Hidalgo, S.; Millan, J.

    2011-01-01

    Chips specifically designed for thermal tests such as the assessment of packages, are of main interest in Microelectronics. Nevertheless, these test dies are required in relatively low quantities and their price is a limiting factor. This work describes a low-cost thermal test chip, specifically developed for the needs of power electronics. It is based on a poly-silicon heating resistor and a decoupled Pt temperature sensing resistor on the top, allowing to dissipate more than 60 W (170 W/cm 2 ) and reaching temperatures up to 200 o C. Its simple structure allows an easy simulation and modeling. These features have been taken in profit for packaging materials assessment, calibration of temperature measurement apparatus and methods, and validation of thermal models and simulations. - Highlights: → We describe a low-cost thermal test chip developed for power electronics applications. → It integrates a poly-silicon heating resistor and a Pt temperature sensing resistor on the top. → It can dissipate up to 200 W/cm 2 and work up to 200 o C. → It has been used for thermal resistance and conductivity measurement of substrates. → It allowed also the calibration of advanced thermometric equipments.

  16. Enzyme-based solutions for textile processing and dye contaminant biodegradation-a review.

    Science.gov (United States)

    Chatha, Shahzad Ali Shahid; Asgher, Muhammad; Iqbal, Hafiz M N

    2017-06-01

    The textile industry, as recognized conformist and stake industry in the world's economy, is facing serious environmental challenges. In numerous industries, in practice, various chemical-based processes from initial sizing to final washing are fascinating harsh environment concerns. Some of these chemicals are corrosive to equipment and cause serious damage itself. Therefore, in the twenty-first century, chemical and allied industries quest a paradigm transition from traditional chemical-based concepts to a greener, sustainable, and environmentally friendlier catalytic alternative, both at the laboratory and industrial scales. Bio-based catalysis offers numerous benefits in the context of biotechnological industry and environmental applications. In recent years, bio-based processing has received particular interest among the scientist for inter- and multi-disciplinary investigations in the areas of natural and engineering sciences for the application in biotechnology sector at large and textile industries in particular. Different enzymatic processes such as chemical substitution have been developed or in the process of development for various textile wet processes. In this context, the present review article summarizes current developments and highlights those areas where environment-friendly enzymatic textile processing might play an increasingly important role in the textile industry. In the first part of the review, a special focus has been given to a comparative discussion of the chemical-based "classical/conventional" treatments and the modern enzyme-based treatment processes. Some relevant information is also reported to identify the major research gaps to be worked out in future.

  17. A Process Model for Goal-Based Information Retrieval

    Directory of Open Access Journals (Sweden)

    Harvey Hyman

    2014-12-01

    Full Text Available In this paper we examine the domain of information search and propose a "goal-based" approach to study search strategy. We describe "goal-based information search" using a framework of Knowledge Discovery. We identify two Information Retrieval (IR goals using the constructs of Knowledge Acquisition (KA and Knowledge Explanation (KE. We classify these constructs into two specific information problems: An exploration-exploitation problem and an implicit-explicit problem. Our proposed framework is an extension of prior work in this domain, applying an IR Process Model originally developed for Legal-IR and adapted to Medical-IR. The approach in this paper is guided by the recent ACM-SIG Medical Information Retrieval (MedIR Workshop definition: "methodologies and technologies that seek to improve access to medical information archives via a process of information retrieval."

  18. On Process Modelling Using Physical Oriented And Phenomena Based Principles

    Directory of Open Access Journals (Sweden)

    Mihai Culea

    2000-12-01

    Full Text Available This work presents a modelling framework based on phenomena description of the process. The approach is taken to easy understand and construct process model in heterogeneous possible distributed modelling and simulation environments. A simplified case study of a heat exchanger is considered and Modelica modelling language to check the proposed concept. The partial results are promising and the research effort will be extended in a computer aided modelling environment based on phenomena.

  19. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  20. Process Evaluation for a Prison-based Substance Abuse Program.

    Science.gov (United States)

    Staton, Michele; Leukefeld, Carl; Logan, T. K.; Purvis, Rick

    2000-01-01

    Presents findings from a process evaluation conducted in a prison-based substance abuse program in Kentucky. Discusses key components in the program, including a detailed program description, modifications in planned treatment strategies, program documentation, and perspectives of staff and clients. Findings suggest that prison-based programs have…

  1. Risk-Based Decision Making for Deterioration Processes Using POMDP

    DEFF Research Database (Denmark)

    Nielsen, Jannie Sønderkær; Sørensen, John Dalsgaard

    2015-01-01

    This paper proposes a method for risk-based decision making for maintenance of deteriorating components, based on the partially observable Markov decision process (POMDP). Unlike most methods, the decision polices do not need to be stationary and can vary according to seasons and near the end...

  2. Reforming European universities: Scope for an evidence-based process

    NARCIS (Netherlands)

    Veugelers, R.; van der Ploeg, F.; Dewatripont, M.; Thys-Clément, F.; Wilkin, L.

    2008-01-01

    Universities are key players in the successful transition to a knowledge-based economy and society. However, this crucial sector of society needs restructuring if Europe is not to lose out in the global competition in education, research and innovation. To allow a more evidence based process of

  3. On the Risk Management and Auditing of SOA Based Business Processes

    Science.gov (United States)

    Orriens, Bart; Heuvel, Willem-Jan V./D.; Papazoglou, Mike

    SOA-enabled business processes stretch across many cooperating and coordinated systems, possibly crossing organizational boundaries, and technologies like XML and Web services are used for making system-to-system interactions commonplace. Business processes form the foundation for all organizations, and as such, are impacted by industry regulations. This requires organizations to review their business processes and ensure that they meet the compliance standards set forth in legislation. In this paper we sketch a SOA-based service risk management and auditing methodology including a compliance enforcement and verification system that assures verifiable business process compliance. This is done on the basis of a knowledge-based system that allows integration of internal control systems into business processes conform pre-defined compliance rules, monitor both the normal process behavior and those of the control systems during process execution, and log these behaviors to facilitate retrospective auditing.

  4. New approach for risk based inspection of H2S based Process Plants

    International Nuclear Information System (INIS)

    Vinod, Gopika; Sharma, Pavan K.; Santosh, T.V.; Hari Prasad, M.; Vaze, K.K.

    2014-01-01

    Highlights: • Study looks into improving the consequence evaluation in risk based inspection. • Ways to revise the quantity factors used in qualitative approach. • New approach based on computational fluid dynamics along with probit mathematics. • Demonstrated this methodology along with a suitable case study for the said issue. - Abstract: Recent trend in risk informed and risk based approaches in life management issues have certainly put the focus on developing estimation methods for real risk. Idea of employing risk as an optimising measure for in-service inspection, termed as risk based inspection, was accepted in principle from late 80s. While applying risk based inspection, consequence of failure from each component needs to be assessed. Consequence evaluation in a Process Plant is a crucial task. It may be noted that, in general, the number of components to be considered for life management is very large and hence the consequence evaluation resulting from their failures (individually) is a laborious task. Screening of critical components is usually carried out using simplified qualitative approach, which primarily uses influence factors for categorisation. This necessitates logical formulation of influence factors and their ranges with a suitable technical basis for acceptance from regulators. This paper describes application of risk based inspection for H 2 S based Process Plant along with the approach devised for handling the influence factor related to the quantity of H 2 S released

  5. Microvalve-based bioprinting - process, bio-inks and applications.

    Science.gov (United States)

    Ng, Wei Long; Lee, Jia Min; Yeong, Wai Yee; Win Naing, May

    2017-03-28

    Bioprinting is an emerging research field that has attracted tremendous attention for various applications; it offers a highly automated, advanced manufacturing platform for the fabrication of complex bioengineered constructs. Different bio-inks comprising multiple types of printable biomaterials and cells are utilized during the bioprinting process to improve the homology to native tissues and/or organs in a highly reproducible manner. This paper, presenting a first-time comprehensive yet succinct review of microvalve-based bioprinting, provides an in-depth analysis and comparison of different drop-on-demand bioprinting systems and highlights the important considerations for microvalve-based bioprinting systems. This review paper reports a detailed analysis of its printing process, bio-ink properties and cellular components on the printing outcomes. Lastly, this review highlights the significance of drop-on-demand bioprinting for various applications such as high-throughput screening, fundamental cell biology research, in situ bioprinting and fabrication of in vitro tissue constructs and also presents future directions to transform the microvalve-based bioprinting technology into imperative tools for tissue engineering and regenerative medicine.

  6. A model-based approach to on-line process disturbance management

    International Nuclear Information System (INIS)

    Kim, I.S.

    1988-01-01

    The methodology developed can be applied to the design of a real-time expert system to aid control-room operators in coping with process abnormalities. The approach encompasses diverse functional aspects required for an effective on-line process disturbance management: (1) intelligent process monitoring and alarming, (2) on-line sensor data validation, (3) on-line sensor and hardware (except sensors) fault diagnosis, and (4) real-time corrective measure synthesis. Accomplishment of these functions is made possible through the application of various models, goal-tree success-tree, process monitor-tree, sensor failure diagnosis, and hardware failure diagnosis models. The models used in the methodology facilitate not only the knowledge-acquisition process - a bottleneck in the development of an expert system - but also the reasoning process of the knowledge-based system. These transparent models and model-based reasoning significantly enhance the maintainability of the real-time expert systems. The proposed approach was applied to the feedwater control system of a nuclear power plant, and implemented into a real-time expert system, MOAS II, using the expert system shell, PICON, on the LMI machine

  7. Development of Spreadsheet-Based Integrated Transaction Processing Systems and Financial Reporting Systems

    Science.gov (United States)

    Ariana, I. M.; Bagiada, I. M.

    2018-01-01

    Development of spreadsheet-based integrated transaction processing systems and financial reporting systems is intended to optimize the capabilities of spreadsheet in accounting data processing. The purpose of this study are: 1) to describe the spreadsheet-based integrated transaction processing systems and financial reporting systems; 2) to test its technical and operational feasibility. This study type is research and development. The main steps of study are: 1) needs analysis (need assessment); 2) developing spreadsheet-based integrated transaction processing systems and financial reporting systems; and 3) testing the feasibility of spreadsheet-based integrated transaction processing systems and financial reporting systems. The technical feasibility include the ability of hardware and operating systems to respond the application of accounting, simplicity and ease of use. Operational feasibility include the ability of users using accounting applications, the ability of accounting applications to produce information, and control applications of the accounting applications. The instrument used to assess the technical and operational feasibility of the systems is the expert perception questionnaire. The instrument uses 4 Likert scale, from 1 (strongly disagree) to 4 (strongly agree). Data were analyzed using percentage analysis by comparing the number of answers within one (1) item by the number of ideal answer within one (1) item. Spreadsheet-based integrated transaction processing systems and financial reporting systems integrate sales, purchases, and cash transaction processing systems to produce financial reports (statement of profit or loss and other comprehensive income, statement of changes in equity, statement of financial position, and statement of cash flows) and other reports. Spreadsheet-based integrated transaction processing systems and financial reporting systems is feasible from the technical aspects (87.50%) and operational aspects (84.17%).

  8. Utility-based early modulation of processing distracting stimulus information.

    Science.gov (United States)

    Wendt, Mike; Luna-Rodriguez, Aquiles; Jacobsen, Thomas

    2014-12-10

    Humans are selective information processors who efficiently prevent goal-inappropriate stimulus information to gain control over their actions. Nonetheless, stimuli, which are both unnecessary for solving a current task and liable to cue an incorrect response (i.e., "distractors"), frequently modulate task performance, even when consistently paired with a physical feature that makes them easily discernible from target stimuli. Current models of cognitive control assume adjustment of the processing of distractor information based on the overall distractor utility (e.g., predictive value regarding the appropriate response, likelihood to elicit conflict with target processing). Although studies on distractor interference have supported the notion of utility-based processing adjustment, previous evidence is inconclusive regarding the specificity of this adjustment for distractor information and the stage(s) of processing affected. To assess the processing of distractors during sensory-perceptual phases we applied EEG recording in a stimulus identification task, involving successive distractor-target presentation, and manipulated the overall distractor utility. Behavioral measures replicated previously found utility modulations of distractor interference. Crucially, distractor-evoked visual potentials (i.e., posterior N1) were more pronounced in high-utility than low-utility conditions. This effect generalized to distractors unrelated to the utility manipulation, providing evidence for item-unspecific adjustment of early distractor processing to the experienced utility of distractor information. Copyright © 2014 the authors 0270-6474/14/3416720-06$15.00/0.

  9. Adaptive PCA based fault diagnosis scheme in imperial smelting process.

    Science.gov (United States)

    Hu, Zhikun; Chen, Zhiwen; Gui, Weihua; Jiang, Bin

    2014-09-01

    In this paper, an adaptive fault detection scheme based on a recursive principal component analysis (PCA) is proposed to deal with the problem of false alarm due to normal process changes in real process. Our further study is also dedicated to develop a fault isolation approach based on Generalized Likelihood Ratio (GLR) test and Singular Value Decomposition (SVD) which is one of general techniques of PCA, on which the off-set and scaling fault can be easily isolated with explicit off-set fault direction and scaling fault classification. The identification of off-set and scaling fault is also applied. The complete scheme of PCA-based fault diagnosis procedure is proposed. The proposed scheme is first applied to Imperial Smelting Process, and the results show that the proposed strategies can be able to mitigate false alarms and isolate faults efficiently. Copyright © 2013 ISA. Published by Elsevier Ltd. All rights reserved.

  10. Microcomputer-based image processing system for CT/MRI scans II

    International Nuclear Information System (INIS)

    Kwok, J.C.K.; Yu, P.K.N.; Cheng, A.Y.S.; Ho, W.C.

    1991-01-01

    This paper reports that a microcomputer-based image processing system is used to digitize and process serial sections of CT/MRI scan and reconstruct three-dimensional images of brain structures and brain lesions. The images grabbed also serve as templates and different vital regions with different risk values are also traced out for 3D reconstruction. A knowledge-based system employing rule-based programming has been built to help identifying brain lesions and to help planning trajectory for operations. The volumes of the lesions are also automatically determined. Such system is very useful for medical skills archival, tumor size monitoring, survival and outcome forecasting, and consistent neurosurgical planning

  11. Cryogenic transimpedance amplifier for micromechanical capacitive sensors.

    Science.gov (United States)

    Antonio, D; Pastoriza, H; Julián, P; Mandolesi, P

    2008-08-01

    We developed a cryogenic transimpedance amplifier that works at a broad range of temperatures, from room temperature down to 4 K. The device was realized with a standard complementary metal oxide semiconductor 1.5 mum process. Measurements of current-voltage characteristics, open-loop gain, input referred noise current, and power consumption are presented as a function of temperature. The transimpedance amplifier has been successfully applied to sense the motion of a polysilicon micromechanical oscillator at low temperatures. The whole device is intended to serve as a magnetometer for microscopic superconducting samples.

  12. Optimized Laplacian image sharpening algorithm based on graphic processing unit

    Science.gov (United States)

    Ma, Tinghuai; Li, Lu; Ji, Sai; Wang, Xin; Tian, Yuan; Al-Dhelaan, Abdullah; Al-Rodhaan, Mznah

    2014-12-01

    In classical Laplacian image sharpening, all pixels are processed one by one, which leads to large amount of computation. Traditional Laplacian sharpening processed on CPU is considerably time-consuming especially for those large pictures. In this paper, we propose a parallel implementation of Laplacian sharpening based on Compute Unified Device Architecture (CUDA), which is a computing platform of Graphic Processing Units (GPU), and analyze the impact of picture size on performance and the relationship between the processing time of between data transfer time and parallel computing time. Further, according to different features of different memory, an improved scheme of our method is developed, which exploits shared memory in GPU instead of global memory and further increases the efficiency. Experimental results prove that two novel algorithms outperform traditional consequentially method based on OpenCV in the aspect of computing speed.

  13. A process synthesis-intensification framework for the development of sustainable membrane-based operations

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Lutze, Philip; Woodley, John

    2014-01-01

    In this paper a multi-level, multi-scale framework for process synthesis-intensification that aims to make the process more sustainable than a base-case, which may represent a new process or an existing process, is presented. At the first level (operation-scale) a conceptual base case design...... of extension of the combined intensification-synthesis method and its application to generate membrane-based operations. Also, application of the framework is illustrated through a case study involving the production of methyl acetate where membrane-based intensified operations play a major role in determining...... is synthesized through the sequencing of unit operations and subsequently analyzed for identifying process hot-spots using economic, life cycle and sustainability metrics. These hot-spots are limitations/bottlenecks associated with tasks that may be targeted for overall process improvement. At the second level...

  14. Entropy-Based Block Processing for Satellite Image Registration

    Directory of Open Access Journals (Sweden)

    Ikhyun Lee

    2012-11-01

    Full Text Available Image registration is an important task in many computer vision applications such as fusion systems, 3D shape recovery and earth observation. Particularly, registering satellite images is challenging and time-consuming due to limited resources and large image size. In such scenario, state-of-the-art image registration methods such as scale-invariant feature transform (SIFT may not be suitable due to high processing time. In this paper, we propose an algorithm based on block processing via entropy to register satellite images. The performance of the proposed method is evaluated using different real images. The comparative analysis shows that it not only reduces the processing time but also enhances the accuracy.

  15. Modelling and simulating decision processes of linked lives: An approach based on concurrent processes and stochastic race

    NARCIS (Netherlands)

    Warnke, T.; Reinhardt, O.; Klabunde, A.; Willekens, F.J.; Uhrmacher, A.

    2017-01-01

    Individuals’ decision processes play a central role in understanding modern migration phenomena and other demographic processes. Their integration into agent-based computational demography depends largely on suitable support by a modelling language. We are developing the Modelling Language for

  16. Processing and characterization of bio-based composites

    Science.gov (United States)

    Lu, Hong

    Much research has focused on bio-based composites as a potential material to replace petroleum-based plastics. Considering the high price of Polyhydroxyalkanoates (PHAs), PHA/ Distiller's Dried Grains with Solubles (DDGS) composite is a promising economical and high-performance biodegradable material. In this paper, we discuss the effect of DDGS on PHA composites in balancing cost with material performance. Poly (lactic acid) PLA/DDGS composite is another excellent biodegradable composite, although as a bio-based polymer its degradation time is relatively long. The goal of this research is therefore to accelerate the degradation process for this material. Both bio-based composites were extruded through a twin-screw microcompounder, and the two materials were uniformly mixed. The morphology of the samples was examined using a Scanning Electron Microscope (SEM); thermal stability was determined with a Thermal Gravimetric Analyzer (TGA); other thermal properties were studied using Differential Scanning Calorimetry (DSC) and a Dynamic Mechanical Analyzer (DMA). Viscoelastic properties were also evaluated using a Rheometer.

  17. Microfabricated sleeve devices for chemical reactions

    Science.gov (United States)

    Northrup, M. Allen

    2003-01-01

    A silicon-based sleeve type chemical reaction chamber that combines heaters, such as doped polysilicon for heating, and bulk silicon for convection cooling. The reaction chamber combines a critical ratio of silicon and non-silicon based materials to provide the thermal properties desired. For example, the chamber may combine a critical ratio of silicon and silicon nitride to the volume of material to be heated (e.g., a liquid) in order to provide uniform heating, yet low power requirements. The reaction chamber will also allow the introduction of a secondary tube (e.g., plastic) into the reaction sleeve that contains the reaction mixture thereby alleviating any potential materials incompatibility issues. The reaction chamber may be utilized in any chemical reaction system for synthesis or processing of organic, inorganic, or biochemical reactions, such as the polymerase chain reaction (PCR) and/or other DNA reactions, such as the ligase chain reaction, which are examples of a synthetic, thermal-cycling-based reaction. The reaction chamber may also be used in synthesis instruments, particularly those for DNA amplification and synthesis.

  18. Accountability-based reengineering of an order fulfillment process

    NARCIS (Netherlands)

    Zhang, L.; Jiao, J.; Ma, Q.

    2009-01-01

    In view of the dynamic changes in a supply chain network and the significance of order fulfillment processes (OFPs) for the successful implementation of supply chain management, this paper puts forward an accountability-based methodology for companies to reengineer OFPs while considering both

  19. GPU based numerical simulation of core shooting process

    Directory of Open Access Journals (Sweden)

    Yi-zhong Zhang

    2017-11-01

    Full Text Available Core shooting process is the most widely used technique to make sand cores and it plays an important role in the quality of sand cores. Although numerical simulation can hopefully optimize the core shooting process, research on numerical simulation of the core shooting process is very limited. Based on a two-fluid model (TFM and a kinetic-friction constitutive correlation, a program for 3D numerical simulation of the core shooting process has been developed and achieved good agreements with in-situ experiments. To match the needs of engineering applications, a graphics processing unit (GPU has also been used to improve the calculation efficiency. The parallel algorithm based on the Compute Unified Device Architecture (CUDA platform can significantly decrease computing time by multi-threaded GPU. In this work, the program accelerated by CUDA parallelization method was developed and the accuracy of the calculations was ensured by comparing with in-situ experimental results photographed by a high-speed camera. The design and optimization of the parallel algorithm were discussed. The simulation result of a sand core test-piece indicated the improvement of the calculation efficiency by GPU. The developed program has also been validated by in-situ experiments with a transparent core-box, a high-speed camera, and a pressure measuring system. The computing time of the parallel program was reduced by nearly 95% while the simulation result was still quite consistent with experimental data. The GPU parallelization method can successfully solve the problem of low computational efficiency of the 3D sand shooting simulation program, and thus the developed GPU program is appropriate for engineering applications.

  20. THE DEVELOPMENT OF THE PROCESS-BASED APPROACH TO MANAGEMENT

    Directory of Open Access Journals (Sweden)

    Urij V. Lyandau

    2013-01-01

    Full Text Available This article considers the timeline of the approaches to management of the industrial processes and organizations in general.The Adam Smith’s idea of specialization, the Henry Ford’s conveyor and Frederick Taylor’s scientific approach created functional corporations, in which specialized departments consisted of specialized workers. Such organizational chart was optimized for every department’s tasks, which are necessary to perform.During the life cycle evolution of industrial and then informational ages external conditions of production has changed. In consequence, there was born the necessity to change key factors of the management paradigm. These changes are the transfer from the functional management to the process-based approach. The functional management was the basic type of management in many organizations during the 20th century. Only in the end of 1990 companies started to integrate the process-based approach. This conversion was born cause of special conditions that the informational age created.

  1. Monolithic Composite “Pressure + Acceleration + Temperature + Infrared” Sensor Using a Versatile Single-Sided “SiN/Poly-Si/Al” Process-Module

    Directory of Open Access Journals (Sweden)

    Xinxin Li

    2013-01-01

    Full Text Available We report a newly developed design/fabrication module with low-cost single-sided “low-stress-silicon-nitride (LS-SiN/polysilicon (poly-Si/Al” process for monolithic integration of composite sensors for sensing-network-node applications. A front-side surface-/bulk-micromachining process on a conventional Si-substrate is developed, featuring a multifunctional SiN/poly-Si/Al layer design for diverse sensing functions. The first “pressure + acceleration + temperature + infrared” (PATIR composite sensor with the chip size of 2.5 mm × 2.5 mm is demonstrated. Systematic theoretical design and analysis methods are developed. The diverse sensing components include a piezoresistive absolute-pressure sensor (up to 700 kPa, with a sensitivity of 49 mV/MPa under 3.3 V supplied voltage, a piezoresistive accelerometer (±10 g, with a sensitivity of 66 μV/g under 3.3 V and a −3 dB bandwidth of 780 Hz, a thermoelectric infrared detector (with a responsivity of 45 V/W and detectivity of 3.6 × 107 cm·Hz1/2/W and a thermistor (−25–120 °C. This design/fabrication module concept enables a low-cost monolithically-integrated “multifunctional-library” technique. It can be utilized as a customizable tool for versatile application-specific requirements, which is very useful for small-size, low-cost, large-scale sensing-network node developments.

  2. Neural correlates of attentional and mnemonic processing in event-based prospective memory.

    Science.gov (United States)

    Knight, Justin B; Ethridge, Lauren E; Marsh, Richard L; Clementz, Brett A

    2010-01-01

    Prospective memory (PM), or memory for realizing delayed intentions, was examined with an event-based paradigm while simultaneously measuring neural activity with high-density EEG recordings. Specifically, the neural substrates of monitoring for an event-based cue were examined, as well as those perhaps associated with the cognitive processes supporting detection of cues and fulfillment of intentions. Participants engaged in a baseline lexical decision task (LDT), followed by a LDT with an embedded PM component. Event-based cues were constituted by color and lexicality (red words). Behavioral data provided evidence that monitoring, or preparatory attentional processes, were used to detect cues. Analysis of the event-related potentials (ERP) revealed visual attentional modulations at 140 and 220 ms post-stimulus associated with preparatory attentional processes. In addition, ERP components at 220, 350, and 400 ms post-stimulus were enhanced for intention-related items. Our results suggest preparatory attention may operate by selectively modulating processing of features related to a previously formed event-based intention, as well as provide further evidence for the proposal that dissociable component processes support the fulfillment of delayed intentions.

  3. Symbolic Processing Combined with Model-Based Reasoning

    Science.gov (United States)

    James, Mark

    2009-01-01

    A computer program for the detection of present and prediction of future discrete states of a complex, real-time engineering system utilizes a combination of symbolic processing and numerical model-based reasoning. One of the biggest weaknesses of a purely symbolic approach is that it enables prediction of only future discrete states while missing all unmodeled states or leading to incorrect identification of an unmodeled state as a modeled one. A purely numerical approach is based on a combination of statistical methods and mathematical models of the applicable physics and necessitates development of a complete model to the level of fidelity required for prediction. In addition, a purely numerical approach does not afford the ability to qualify its results without some form of symbolic processing. The present software implements numerical algorithms to detect unmodeled events and symbolic algorithms to predict expected behavior, correlate the expected behavior with the unmodeled events, and interpret the results in order to predict future discrete states. The approach embodied in this software differs from that of the BEAM methodology (aspects of which have been discussed in several prior NASA Tech Briefs articles), which provides for prediction of future measurements in the continuous-data domain.

  4. Action video games and improved attentional control: Disentangling selection- and response-based processes.

    Science.gov (United States)

    Chisholm, Joseph D; Kingstone, Alan

    2015-10-01

    Research has demonstrated that experience with action video games is associated with improvements in a host of cognitive tasks. Evidence from paradigms that assess aspects of attention has suggested that action video game players (AVGPs) possess greater control over the allocation of attentional resources than do non-video-game players (NVGPs). Using a compound search task that teased apart selection- and response-based processes (Duncan, 1985), we required participants to perform an oculomotor capture task in which they made saccades to a uniquely colored target (selection-based process) and then produced a manual directional response based on information within the target (response-based process). We replicated the finding that AVGPs are less susceptible to attentional distraction and, critically, revealed that AVGPs outperform NVGPs on both selection-based and response-based processes. These results not only are consistent with the improved-attentional-control account of AVGP benefits, but they suggest that the benefit of action video game playing extends across the full breadth of attention-mediated stimulus-response processes that impact human performance.

  5. Paperless and paper-based processes in the modern radiotherapy department

    Energy Technology Data Exchange (ETDEWEB)

    Middleton, M. [Radiation Oncology Queensland, 280 North Street, Toowoomba 4350 (Australia)], E-mail: mark.middleton@roq.net.au; Bradford, C. [Harley St. Cancer Centre, London (United Kingdom); Frantzis, J. [Radiation Oncology Queensland, 280 North Street, Toowoomba 4350 (Australia); Ambler, A. [Harley St. Cancer Centre, London (United Kingdom); Sisson, T. [Radiation Oncology Queensland, 280 North Street, Toowoomba 4350 (Australia); Montgomerie, D. [Harley St. Cancer Centre, London (United Kingdom); Martin, J. [Radiation Oncology Queensland, 280 North Street, Toowoomba 4350 (Australia)

    2009-11-15

    Purpose: To assess the implications on workflow and efficiency in a paperless environment versus a traditional paper-based environment. This paper summarises the comparison of specific workflow practices conducted in a paperless and paper-based approach, comparing time taken, potential advantages and disadvantages of each approach, and the cost-effectiveness of a paperless approach. Methods and materials: A time study was undertaken on three specific workflow areas for 5 patients with breast and prostate cancer respectively, and comparison made between paperless and paper-based methodology. The workflow areas analysed were electronic treatment record (ETR) versus treatment sheet preparation, digital history check process versus paper-based and digital image and trend analysis versus paper-based. The cost-effectiveness of a paperless approach was then analysed. Additionally a staff questionnaire was undertaken, assessing Information Technology (IT) skills of staff and comfort levels pertaining to a paperless environment. Results: There was on average a 50% reduction in workload when comparing an ETR versus a paper-based treatment sheet, a 50% reduction in workload by utilising a paperless history check process and a 70% reduction in workload with a paperless image and trend analysis process. There was also significant cost savings by introducing a paperless workflow. The survey showed a higher level of comfort with information technology in the paperless environment, and less frustration with aspects of working in the paper-based centre. Conclusion: The digital radiotherapy department offers highly significant improvements in efficiency. These potentially translate into major financial savings and increased job satisfaction.

  6. Paperless and paper-based processes in the modern radiotherapy department

    International Nuclear Information System (INIS)

    Middleton, M.; Bradford, C.; Frantzis, J.; Ambler, A.; Sisson, T.; Montgomerie, D.; Martin, J.

    2009-01-01

    Purpose: To assess the implications on workflow and efficiency in a paperless environment versus a traditional paper-based environment. This paper summarises the comparison of specific workflow practices conducted in a paperless and paper-based approach, comparing time taken, potential advantages and disadvantages of each approach, and the cost-effectiveness of a paperless approach. Methods and materials: A time study was undertaken on three specific workflow areas for 5 patients with breast and prostate cancer respectively, and comparison made between paperless and paper-based methodology. The workflow areas analysed were electronic treatment record (ETR) versus treatment sheet preparation, digital history check process versus paper-based and digital image and trend analysis versus paper-based. The cost-effectiveness of a paperless approach was then analysed. Additionally a staff questionnaire was undertaken, assessing Information Technology (IT) skills of staff and comfort levels pertaining to a paperless environment. Results: There was on average a 50% reduction in workload when comparing an ETR versus a paper-based treatment sheet, a 50% reduction in workload by utilising a paperless history check process and a 70% reduction in workload with a paperless image and trend analysis process. There was also significant cost savings by introducing a paperless workflow. The survey showed a higher level of comfort with information technology in the paperless environment, and less frustration with aspects of working in the paper-based centre. Conclusion: The digital radiotherapy department offers highly significant improvements in efficiency. These potentially translate into major financial savings and increased job satisfaction.

  7. Model-based design of self-Adapting networked signal processing systems

    NARCIS (Netherlands)

    Oliveira Filho, J.A. de; Papp, Z.; Djapic, R.; Oostveen, J.C.

    2013-01-01

    The paper describes a model based approach for architecture design of runtime reconfigurable, large-scale, networked signal processing applications. A graph based modeling formalism is introduced to describe all relevant aspects of the design (functional, concurrency, hardware, communication,

  8. Enzymes as Biocatalysts for Lipid-based Bioproducts Processing

    DEFF Research Database (Denmark)

    Cheong, Ling-Zhi; Guo, Zheng; Fedosov, Sergey

    2012-01-01

    Bioproducts are materials, chemicals and energy derived from renewable biological resources such as agriculture, forestry, and biologically-derived wastes. To date, the use of enzymes as biocatalysts for lipid-based bioproducts processing has shown marked increase. This is mainly due to the fact...... that cost benefit derived from enzymatic processing such as enzyme specificity, higher product purity and lesser or none toxic waste disposal has surpassed the cost of biocatalysts itself. This chapter provided insights into distinct enzymes characteristics essential in industrial processing especially...... enzymes kinetics. Understanding of enzyme kinetics is important especially in designing efficient reaction set-ups including type of bioreactors, reaction conditions and reusability of biocatalysts to ensure efficient running cost. A brief review of state-of-the-art in industrial applications of enzymes...

  9. The effect of individually-induced processes on image-based overlay and diffraction-based overlay

    Science.gov (United States)

    Oh, SeungHwa; Lee, Jeongjin; Lee, Seungyoon; Hwang, Chan; Choi, Gilheyun; Kang, Ho-Kyu; Jung, EunSeung

    2014-04-01

    In this paper, set of wafers with separated processes was prepared and overlay measurement result was compared in two methods; IBO and DBO. Based on the experimental result, theoretical approach of relationship between overlay mark deformation and overlay variation is presented. Moreover, overlay reading simulation was used in verification and prediction of overlay variation due to deformation of overlay mark caused by induced processes. Through this study, understanding of individual process effects on overlay measurement error is given. Additionally, guideline of selecting proper overlay measurement scheme for specific layer is presented.

  10. Context-Based Synchronization of Concurrent Process Using Aspect ...

    African Journals Online (AJOL)

    ... will not cause any race condition if all other threads are accessing from the same context. The result of our experiment shows that context-based synchronization performs better than Java given the same number of threads. Keywords: Aspect-oriented programming, synchronization, resource, and concurrent process.

  11. A task based design procedure and modelling approached for industrial crystallization processes

    NARCIS (Netherlands)

    Menon, A.R.

    2006-01-01

    A synthesis-based approach to the design of crystallizers and industrial crystallization processes is introduced in this thesis. An ontology for a task-based design procedure has been developed which breaks the crystallization process into a subset of basic functions (physical tasks) which transform

  12. Metal monitoring for process control of laser-based coating removal

    Science.gov (United States)

    Fraser, Mark E.; Hunter, Amy J.; Panagiotou, Thomai; Davis, Steven J.; Freiwald, David A.

    1999-12-01

    Cost-effective and environmentally-sound means of paint and coatings removal is a problem spanning many government, commercial, industrial and municipal applications. For example, the Department of Energy is currently engaged in removing paint and other coatings from concrete and structural steel as part of decommissioning former nuclear processing facilities. Laser-based coatings removal is an attractive new technology for these applications as it promises to reduce the waste volume by up to 75 percent. To function more efficiently, however, the laser-based systems require some form of process control.

  13. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  14. Recent Progress on Data-Based Optimization for Mineral Processing Plants

    Directory of Open Access Journals (Sweden)

    Jinliang Ding

    2017-04-01

    Full Text Available In the globalized market environment, increasingly significant economic and environmental factors within complex industrial plants impose importance on the optimization of global production indices; such optimization includes improvements in production efficiency, product quality, and yield, along with reductions of energy and resource usage. This paper briefly overviews recent progress in data-driven hybrid intelligence optimization methods and technologies in improving the performance of global production indices in mineral processing. First, we provide the problem description. Next, we summarize recent progress in data-based optimization for mineral processing plants. This optimization consists of four layers: optimization of the target values for monthly global production indices, optimization of the target values for daily global production indices, optimization of the target values for operational indices, and automation systems for unit processes. We briefly overview recent progress in each of the different layers. Finally, we point out opportunities for future works in data-based optimization for mineral processing plants.

  15. Nonlinear process in the mode transition in typical strut-based and cavity-strut based scramjet combustors

    Science.gov (United States)

    Yan, Li; Liao, Lei; Huang, Wei; Li, Lang-quan

    2018-04-01

    The analysis of nonlinear characteristics and control of mode transition process is the crucial issue to enhance the stability and reliability of the dual-mode scramjet engine. In the current study, the mode transition processes in both strut-based combustor and cavity-strut based combustor are numerically studied, and the influence of the cavity on the transition process is analyzed in detail. The simulations are conducted by means of the Reynolds averaged Navier-Stokes (RANS) equations coupled with the renormalization group (RNG) k-ε turbulence model and the single-step chemical reaction mechanism, and this numerical approach is proved to be valid by comparing the predicted results with the available experimental shadowgraphs in the open literature. During the mode transition process, an obvious nonlinear property is observed, namely the unevenly variations of pressure along the combustor. The hysteresis phenomenon is more obvious upstream of the flow field. For the cavity-strut configuration, the whole flow field is more inclined to the supersonic state during the transition process, and it is uneasy to convert to the ramjet mode. In the scram-to-ram transition process, the process would be more stable, and the hysteresis effect would be reduced in the ram-to-scram transition process.

  16. An Analysis of Hole Trapping at Grain Boundary or Poly-Si Floating-Body MOSFET.

    Science.gov (United States)

    Jang, Taejin; Baek, Myung-Hyun; Kim, Hyungjin; Park, Byung-Gook

    2018-09-01

    In this paper, we demonstrate the characteristics of the floating body effect of poly-silicon with grain boundary by SENTAURUS™ TCAD simulation. As drain voltage increases, impact ionization occurs at the drain-channel junction. And these holes created by impact ionization are deposited on the bottom of the body to change the threshold voltage. This feature, the kink effect, is also observed in fully depleted silicon on insulator because grain boundary of the poly-silicon serve as a storage to trap the holes. We simulate the transfer curve depending on the density and position of the grain boundary. The trap density of the grain boundary affects the device characteristics significantly. However similar properties appear except where the grain boundary is located on the drain side.

  17. Data-based control of a multi-step forming process

    Science.gov (United States)

    Schulte, R.; Frey, P.; Hildenbrand, P.; Vogel, M.; Betz, C.; Lechner, M.; Merklein, M.

    2017-09-01

    The fourth industrial revolution represents a new stage in the organization and management of the entire value chain. However, concerning the field of forming technology, the fourth industrial revolution has only arrived gradually until now. In order to make a valuable contribution to the digital factory the controlling of a multistage forming process was investigated. Within the framework of the investigation, an abstracted and transferable model is used to outline which data have to be collected, how an interface between the different forming machines can be designed tangible and which control tasks must be fulfilled. The goal of this investigation was to control the subsequent process step based on the data recorded in the first step. The investigated process chain links various metal forming processes, which are typical elements of a multi-step forming process. Data recorded in the first step of the process chain is analyzed and processed for an improved process control of the subsequent process. On the basis of the gained scientific knowledge, it is possible to make forming operations more robust and at the same time more flexible, and thus create the fundament for linking various production processes in an efficient way.

  18. Control of Process Operations and Monitoring of Product Qualities through Generic Model-based Framework in Crystallization Processes

    DEFF Research Database (Denmark)

    Abdul Samad, Noor Asma Fazli Bin

    A generic and systematic model-based framework for the design of a process monitoring and control system to achieve the desired crystal size distribution (CSD) and crystal shape for a wide range of crystallization processes has been developed. This framework combines a generic multi-dimensional m...

  19. Modelling and simulating decision processes of linked lives: An approach based on concurrent processes and stochastic race.

    Science.gov (United States)

    Warnke, Tom; Reinhardt, Oliver; Klabunde, Anna; Willekens, Frans; Uhrmacher, Adelinde M

    2017-10-01

    Individuals' decision processes play a central role in understanding modern migration phenomena and other demographic processes. Their integration into agent-based computational demography depends largely on suitable support by a modelling language. We are developing the Modelling Language for Linked Lives (ML3) to describe the diverse decision processes of linked lives succinctly in continuous time. The context of individuals is modelled by networks the individual is part of, such as family ties and other social networks. Central concepts, such as behaviour conditional on agent attributes, age-dependent behaviour, and stochastic waiting times, are tightly integrated in the language. Thereby, alternative decisions are modelled by concurrent processes that compete by stochastic race. Using a migration model, we demonstrate how this allows for compact description of complex decisions, here based on the Theory of Planned Behaviour. We describe the challenges for the simulation algorithm posed by stochastic race between multiple concurrent complex decisions.

  20. Attribute based selection of thermoplastic resin for vacuum infusion process

    DEFF Research Database (Denmark)

    Prabhakaran, R.T. Durai; Lystrup, Aage; Løgstrup Andersen, Tom

    2011-01-01

    The composite industry looks toward a new material system (resins) based on thermoplastic polymers for the vacuum infusion process, similar to the infusion process using thermosetting polymers. A large number of thermoplastics are available in the market with a variety of properties suitable...... for different engineering applications, and few of those are available in a not yet polymerised form suitable for resin infusion. The proper selection of a new resin system among these thermoplastic polymers is a concern for manufactures in the current scenario and a special mathematical tool would...... be beneficial. In this paper, the authors introduce a new decision making tool for resin selection based on significant attributes. This article provides a broad overview of suitable thermoplastic material systems for vacuum infusion process available in today’s market. An illustrative example—resin selection...

  1. Process optimization of friction stir welding based on thermal models

    DEFF Research Database (Denmark)

    Larsen, Anders Astrup

    2010-01-01

    This thesis investigates how to apply optimization methods to numerical models of a friction stir welding process. The work is intended as a proof-of-concept using different methods that are applicable to models of high complexity, possibly with high computational cost, and without the possibility...... information of the high-fidelity model. The optimization schemes are applied to stationary thermal models of differing complexity of the friction stir welding process. The optimization problems considered are based on optimizing the temperature field in the workpiece by finding optimal translational speed....... Also an optimization problem based on a microstructure model is solved, allowing the hardness distribution in the plate to be optimized. The use of purely thermal models represents a simplification of the real process; nonetheless, it shows the applicability of the optimization methods considered...

  2. Security Process Capability Model Based on ISO/IEC 15504 Conformant Enterprise SPICE

    Directory of Open Access Journals (Sweden)

    Mitasiunas Antanas

    2014-07-01

    Full Text Available In the context of modern information systems, security has become one of the most critical quality attributes. The purpose of this paper is to address the problem of quality of information security. An approach to solve this problem is based on the main assumption that security is a process oriented activity. According to this approach, product quality can be achieved by means of process quality - process capability. Introduced in the paper, SPICE conformant information security process capability model is based on process capability modeling elaborated by world-wide software engineering community during the last 25 years, namely ISO/IEC 15504 that defines the capability dimension and the requirements for process definition and domain independent integrated model for enterprise-wide assessment and Enterprise SPICE improvement

  3. A midas plugin to enable construction of reproducible web-based image processing pipelines.

    Science.gov (United States)

    Grauer, Michael; Reynolds, Patrick; Hoogstoel, Marion; Budin, Francois; Styner, Martin A; Oguz, Ipek

    2013-01-01

    Image processing is an important quantitative technique for neuroscience researchers, but difficult for those who lack experience in the field. In this paper we present a web-based platform that allows an expert to create a brain image processing pipeline, enabling execution of that pipeline even by those biomedical researchers with limited image processing knowledge. These tools are implemented as a plugin for Midas, an open-source toolkit for creating web based scientific data storage and processing platforms. Using this plugin, an image processing expert can construct a pipeline, create a web-based User Interface, manage jobs, and visualize intermediate results. Pipelines are executed on a grid computing platform using BatchMake and HTCondor. This represents a new capability for biomedical researchers and offers an innovative platform for scientific collaboration. Current tools work well, but can be inaccessible for those lacking image processing expertise. Using this plugin, researchers in collaboration with image processing experts can create workflows with reasonable default settings and streamlined user interfaces, and data can be processed easily from a lab environment without the need for a powerful desktop computer. This platform allows simplified troubleshooting, centralized maintenance, and easy data sharing with collaborators. These capabilities enable reproducible science by sharing datasets and processing pipelines between collaborators. In this paper, we present a description of this innovative Midas plugin, along with results obtained from building and executing several ITK based image processing workflows for diffusion weighted MRI (DW MRI) of rodent brain images, as well as recommendations for building automated image processing pipelines. Although the particular image processing pipelines developed were focused on rodent brain MRI, the presented plugin can be used to support any executable or script-based pipeline.

  4. A Midas Plugin to Enable Construction of Reproducible Web-based Image Processing Pipelines

    Directory of Open Access Journals (Sweden)

    Michael eGrauer

    2013-12-01

    Full Text Available Image processing is an important quantitative technique for neuroscience researchers, but difficult for those who lack experience in the field. In this paper we present a web-based platform that allows an expert to create a brain image processing pipeline, enabling execution of that pipeline even by those biomedical researchers with limited image processing knowledge. These tools are implemented as a plugin for Midas, an open-source toolkit for creating web based scientific data storage and processing platforms. Using this plugin, an image processing expert can construct a pipeline, create a web-based UI, manage jobs, and visualize intermediate results. Pipelines are executed on a grid computing platform using BatchMake and HTCondor. This represents a new capability for biomedical researchers and offers an innovative platform for scientific collaboration. Current tools work well, but can be inaccessible for those lacking image processing expertise. Using this plugin, researchers in collaboration with image processing experts can create workflows with reasonable default settings and streamlined user interfaces, and data can be processed easily from a lab environment without the need for a powerful desktop computer. This platform allows simplified troubleshooting, centralized maintenance, and easy data sharing with collaborators. These capabilities enable reproducible science by sharing datasets and processing pipelines between collaborators. In this paper, we present a description of this innovative Midas plugin, along with results obtained from building and executing several ITK based image processing workflows for diffusion weighted MRI (DW MRI of rodent brain images, as well as recommendations for building automated image processing pipelines. Although the particular image processing pipelines developed were focused on rodent brain MRI, the presented plugin can be used to support any executable or script-based pipeline.

  5. Nonlinear Process Fault Diagnosis Based on Serial Principal Component Analysis.

    Science.gov (United States)

    Deng, Xiaogang; Tian, Xuemin; Chen, Sheng; Harris, Chris J

    2018-03-01

    Many industrial processes contain both linear and nonlinear parts, and kernel principal component analysis (KPCA), widely used in nonlinear process monitoring, may not offer the most effective means for dealing with these nonlinear processes. This paper proposes a new hybrid linear-nonlinear statistical modeling approach for nonlinear process monitoring by closely integrating linear principal component analysis (PCA) and nonlinear KPCA using a serial model structure, which we refer to as serial PCA (SPCA). Specifically, PCA is first applied to extract PCs as linear features, and to decompose the data into the PC subspace and residual subspace (RS). Then, KPCA is performed in the RS to extract the nonlinear PCs as nonlinear features. Two monitoring statistics are constructed for fault detection, based on both the linear and nonlinear features extracted by the proposed SPCA. To effectively perform fault identification after a fault is detected, an SPCA similarity factor method is built for fault recognition, which fuses both the linear and nonlinear features. Unlike PCA and KPCA, the proposed method takes into account both linear and nonlinear PCs simultaneously, and therefore, it can better exploit the underlying process's structure to enhance fault diagnosis performance. Two case studies involving a simulated nonlinear process and the benchmark Tennessee Eastman process demonstrate that the proposed SPCA approach is more effective than the existing state-of-the-art approach based on KPCA alone, in terms of nonlinear process fault detection and identification.

  6. A Sensitivity Analysis Method to Study the Behavior of Complex Process-based Models

    Science.gov (United States)

    Brugnach, M.; Neilson, R.; Bolte, J.

    2001-12-01

    The use of process-based models as a tool for scientific inquiry is becoming increasingly relevant in ecosystem studies. Process-based models are artificial constructs that simulate the system by mechanistically mimicking the functioning of its component processes. Structurally, a process-based model can be characterized, in terms of its processes and the relationships established among them. Each process comprises a set of functional relationships among several model components (e.g., state variables, parameters and input data). While not encoded explicitly, the dynamics of the model emerge from this set of components and interactions organized in terms of processes. It is the task of the modeler to guarantee that the dynamics generated are appropriate and semantically equivalent to the phenomena being modeled. Despite the availability of techniques to characterize and understand model behavior, they do not suffice to completely and easily understand how a complex process-based model operates. For example, sensitivity analysis studies model behavior by determining the rate of change in model output as parameters or input data are varied. One of the problems with this approach is that it considers the model as a "black box", and it focuses on explaining model behavior by analyzing the relationship input-output. Since, these models have a high degree of non-linearity, understanding how the input affects an output can be an extremely difficult task. Operationally, the application of this technique may constitute a challenging task because complex process-based models are generally characterized by a large parameter space. In order to overcome some of these difficulties, we propose a method of sensitivity analysis to be applicable to complex process-based models. This method focuses sensitivity analysis at the process level, and it aims to determine how sensitive the model output is to variations in the processes. Once the processes that exert the major influence in

  7. Neural correlates of attentional and mnemonic processing in event-based prospective memory

    Directory of Open Access Journals (Sweden)

    Justin B Knight

    2010-02-01

    Full Text Available Prospective memory, or memory for realizing delayed intentions, was examined with an event-based paradigm while simultaneously measuring neural activity with high-density EEG recordings. Specifically, the neural substrates of monitoring for an event-based cue were examined, as well as those perhaps associated with the cognitive processes supporting detection of cues and fulfillment of intentions. Participants engaged in a baseline lexical decision task (LDT, followed by a LDT with an embedded prospective memory (PM component. Event-based cues were constituted by color and lexicality (red words. Behavioral data provided evidence that monitoring, or preparatory attentional processes, were used to detect cues. Analysis of the event-related potentials (ERP revealed visual attentional modulations at 140 and 220 ms post-stimulus associated with preparatory attentional processes. In addition, ERP components at 220, 350, and 400 ms post-stimulus were enhanced for intention-related items. Our results suggest preparatory attention may operate by selectively modulating processing of features related to a previously formed event-based intention, as well as provide further evidence for the proposal that dissociable component processes support the fulfillment of delayed intentions.

  8. Numerical Model based Reliability Estimation of Selective Laser Melting Process

    DEFF Research Database (Denmark)

    Mohanty, Sankhya; Hattel, Jesper Henri

    2014-01-01

    Selective laser melting is developing into a standard manufacturing technology with applications in various sectors. However, the process is still far from being at par with conventional processes such as welding and casting, the primary reason of which is the unreliability of the process. While...... of the selective laser melting process. A validated 3D finite-volume alternating-direction-implicit numerical technique is used to model the selective laser melting process, and is calibrated against results from single track formation experiments. Correlation coefficients are determined for process input...... parameters such as laser power, speed, beam profile, etc. Subsequently, uncertainties in the processing parameters are utilized to predict a range for the various outputs, using a Monte Carlo method based uncertainty analysis methodology, and the reliability of the process is established....

  9. A Psychometric Study of Reading Processes in L2 Acquisition: Deploying Deep Processing to Push Learners' Discourse Towards Syntactic Processing-Based Constructions

    Science.gov (United States)

    Manuel, Carlos J.

    2009-01-01

    This study assesses reading processes and/or strategies needed to deploy deep processing that could push learners towards syntactic-based constructions in L2 classrooms. Research has found L2 acquisition to present varying degrees of success and/or fossilization (Bley-Vroman 1989, Birdsong 1992 and Sharwood Smith 1994). For example, learners have…

  10. Information-management data base for fusion-target fabrication processes

    International Nuclear Information System (INIS)

    Reynolds, J.

    1982-01-01

    A computer-based data-management system has been developed to handle data associated with target-fabrication processes including glass microballoon characterization, gas filling, materials coating, and storage locations. The system provides automatic data storage and computation, flexible data-entry procedures, fast access, automated report generation, and secure data transfer. It resides on a CDC CYBER 175 computer and is compatible with the CDC data-base-language Query Update, but is based on custom FORTRAN software interacting directly with the CYBER's file-management system. The described data base maintains detailed, accurate, and readily available records of fusion targets information

  11. Information management data base for fusion target fabrication processes

    International Nuclear Information System (INIS)

    Reynolds, J.

    1983-01-01

    A computer-based data management system has been developed to handle data associated with target fabrication processes including glass microballoon characterization, gas filling, materials coating, and storage locations. The system provides automatic data storage and computation, flexible data entry procedures, fast access, automated report generation, and secure data transfer. It resides on a CDC CYBER 175 computer and is compatible with the CDC data base language Query Update, but is based on custom fortran software interacting directly with the CYBER's file management system. The described data base maintains detailed, accurate, and readily available records of fusion targets information

  12. Parallel processing approach to transform-based image coding

    Science.gov (United States)

    Normile, James O.; Wright, Dan; Chu, Ken; Yeh, Chia L.

    1991-06-01

    This paper describes a flexible parallel processing architecture designed for use in real time video processing. The system consists of floating point DSP processors connected to each other via fast serial links, each processor has access to a globally shared memory. A multiple bus architecture in combination with a dual ported memory allows communication with a host control processor. The system has been applied to prototyping of video compression and decompression algorithms. The decomposition of transform based algorithms for decompression into a form suitable for parallel processing is described. A technique for automatic load balancing among the processors is developed and discussed, results ar presented with image statistics and data rates. Finally techniques for accelerating the system throughput are analyzed and results from the application of one such modification described.

  13. Energy analysis of hydrogen and electricity production from aluminum-based processes

    International Nuclear Information System (INIS)

    Wang, Huizhi; Leung, Dennis Y.C.; Leung, Michael K.H.

    2012-01-01

    The aluminum energy conversion processes have been characterized to be carbon-free and sustainable. However, their applications are restrained by aluminum production capacity as aluminum is never found as a free metal on the earth. This study gives an assessment of typical aluminum-based energy processes in terms of overall energy efficiency and cost. Moreover, characteristics associated with different processes are identified. Results in this study indicate the route from which aluminum is produced can be a key factor in determining the efficiency and costs. Besides, the aluminum–air battery provides a more energy-efficient manner for the conversion of energy stored in primary aluminum and recovered aluminum from products compared to aluminum-based hydrogen production, whereas the aluminum-based hydrogen production gives a more energy-efficient way of utilizing energy stored in secondary aluminum or even scrap aluminum.

  14. Gröbner bases in control theory and signal processing

    CERN Document Server

    Regensburger, Georg

    2007-01-01

    This volume contains survey and original articles presenting the state of the art on the application of Gröbner bases in control theory and signal processing. The contributions are based on talks delivered at the Special Semester on Gröbner Bases and Related Methods at the Johann Radon Institute of Computational and Applied Mathematics (RICAM), Linz, Austria, in May 2006.

  15. Intelligent Transportation Control based on Proactive Complex Event Processing

    OpenAIRE

    Wang Yongheng; Geng Shaofeng; Li Qian

    2016-01-01

    Complex Event Processing (CEP) has become the key part of Internet of Things (IoT). Proactive CEP can predict future system states and execute some actions to avoid unwanted states which brings new hope to intelligent transportation control. In this paper, we propose a proactive CEP architecture and method for intelligent transportation control. Based on basic CEP technology and predictive analytic technology, a networked distributed Markov decision processes model with predicting states is p...

  16. Social Workers’ Orientation Toward the Evidence-Based Practice Process

    Science.gov (United States)

    Kolmer, Deirdre M. Beneken genaamd; Schalk, René

    2014-01-01

    Objectives: This study assesses social workers’ orientation toward the evidence-based practice (EBP) process and explores which specific variables (e.g. age) are associated. Methods: Data were collected from 341 Dutch social workers through an online survey which included a Dutch translation of the EBP Process Assessment Scale (EBPPAS), along with 13 background/demographic questions. Results: The overall level of orientation toward the EBP process is relatively low. Although respondents are slightly familiar with it and have slightly positive attitudes about it, their intentions to engage in it and their actual engagement are relatively low. Respondents who followed a course on the EBP process as a student are more oriented toward it than those who did not. Social workers under 29 are more familiar with the EBP process than those over 29. Conclusions: We recommend educators to take a more active role in teaching the EBP process to students and social workers. PMID:27630517

  17. A Realistic Process Example for MIMO MPC based on Autoregressive Models

    DEFF Research Database (Denmark)

    Huusom, Jakob Kjøbsted; Jørgensen, John Bagterp

    2014-01-01

    for advanced control design develo pment which may be used by non experts in control theory. This paper presents and illustra tes the use of a simple methodology to design an offset-free MPC based on ARX models. Hence a mecha nistic process model is not required. The forced circulation evaporator by Newell...... and Lee is used to illustrate the offset-free MPC based on ARX models for a nonlinear multivariate process ....

  18. Towards a Web-Based Handbook of Generic, Process-Oriented Learning Designs

    Science.gov (United States)

    Marjanovic, Olivera

    2005-01-01

    Process-oriented learning designs are innovative learning activities that include a set of inter-related learning tasks and are generic (could be used across disciplines). An example includes a problem-solving process widely used in problem-based learning today. Most of the existing process-oriented learning designs are not documented, let alone…

  19. Locally processed roasted-maize-based weaning foods fortified with ...

    African Journals Online (AJOL)

    Locally processed roasted-maize-based weaning foods fortified with legumes: factors ... African Journal of Food, Agriculture, Nutrition and Development ... Tom Brown (roasted-maize porridge) is one of the traditional weaning foods in Ghana.

  20. PLS-based memory control scheme for enhanced process monitoring

    KAUST Repository

    Harrou, Fouzi; Sun, Ying

    2017-01-01

    Fault detection is important for safe operation of various modern engineering systems. Partial least square (PLS) has been widely used in monitoring highly correlated process variables. Conventional PLS-based methods, nevertheless, often fail

  1. On the upscaling of process-based models in deltaic applications

    Science.gov (United States)

    Li, L.; Storms, J. E. A.; Walstra, D. J. R.

    2018-03-01

    Process-based numerical models are increasingly used to study the evolution of marine and terrestrial depositional environments. Whilst a detailed description of small-scale processes provides an accurate representation of reality, application on geological timescales is restrained by the associated increase in computational time. In order to reduce the computational time, a number of acceleration methods are combined and evaluated for a schematic supply-driven delta (static base level) and an accommodation-driven delta (variable base level). The performance of the combined acceleration methods is evaluated by comparing the morphological indicators such as distributary channel networking and delta volumes derived from the model predictions for various levels of acceleration. The results of the accelerated models are compared to the outcomes from a series of simulations to capture autogenic variability. Autogenic variability is quantified by re-running identical models on an initial bathymetry with 1 cm added noise. The overall results show that the variability of the accelerated models fall within the autogenic variability range, suggesting that the application of acceleration methods does not significantly affect the simulated delta evolution. The Time-scale compression method (the acceleration method introduced in this paper) results in an increased computational efficiency of 75% without adversely affecting the simulated delta evolution compared to a base case. The combination of the Time-scale compression method with the existing acceleration methods has the potential to extend the application range of process-based models towards geologic timescales.

  2. Process for fabricating ZnO-based varistors

    Science.gov (United States)

    Lauf, R.J.

    The invention is a process for producing ZnO-based varistors incorporating a metal oxide dopant. In one form, the invention comprises providing a varistor powder mix of colloidal particles of ZnO and metal-oxide dopants including Bi/sub 2/O/sub 3/. The mix is hot-pressed to form a compact at temperatures below 850/sup 0/C and under conditions effecting reduction of the ZnO to sub-stoichiometric oxide. This promotes densification while restricting liquid formation and grain growth. The compact then is heated under conditions restoring the zinc oxide to stoichiometric composition, thus improving the varistor properties of the compact. The process produces fine-grain varistors characterized by a high actual breakdown voltage and a high average breakdown voltage per individual grain boundary.

  3. Model-based verification and validation of the SMAP uplink processes

    Science.gov (United States)

    Khan, M. O.; Dubos, G. F.; Tirona, J.; Standley, S.

    Model-Based Systems Engineering (MBSE) is being used increasingly within the spacecraft design community because of its benefits when compared to document-based approaches. As the complexity of projects expands dramatically with continually increasing computational power and technology infusion, the time and effort needed for verification and validation (V& V) increases geometrically. Using simulation to perform design validation with system-level models earlier in the life cycle stands to bridge the gap between design of the system (based on system-level requirements) and verifying those requirements/validating the system as a whole. This case study stands as an example of how a project can validate a system-level design earlier in the project life cycle than traditional V& V processes by using simulation on a system model. Specifically, this paper describes how simulation was added to a system model of the Soil Moisture Active-Passive (SMAP) mission's uplink process. Also discussed are the advantages and disadvantages of the methods employed and the lessons learned; which are intended to benefit future model-based and simulation-based development efforts.

  4. Risk-based Strategy to Determine Testing Requirement for the Removal of Residual Process Reagents as Process-related Impurities in Bioprocesses.

    Science.gov (United States)

    Qiu, Jinshu; Li, Kim; Miller, Karen; Raghani, Anil

    2015-01-01

    The purpose of this article is to recommend a risk-based strategy for determining clearance testing requirements of the process reagents used in manufacturing biopharmaceutical products. The strategy takes account of four risk factors. Firstly, the process reagents are classified into two categories according to their safety profile and history of use: generally recognized as safe (GRAS) and potential safety concern (PSC) reagents. The clearance testing of GRAS reagents can be eliminated because of their safe use historically and process capability to remove these reagents. An estimated safety margin (Se) value, a ratio of the exposure limit to the estimated maximum reagent amount, is then used to evaluate the necessity for testing the PSC reagents at an early development stage. The Se value is calculated from two risk factors, the starting PSC reagent amount per maximum product dose (Me), and the exposure limit (Le). A worst-case scenario is assumed to estimate the Me value, that is common. The PSC reagent of interest is co-purified with the product and no clearance occurs throughout the entire purification process. No clearance testing is required for this PSC reagent if its Se value is ≥1; otherwise clearance testing is needed. Finally, the point of the process reagent introduction to the process is also considered in determining the necessity of the clearance testing for process reagents. How to use the measured safety margin as a criterion for determining PSC reagent testing at process characterization, process validation, and commercial production stages are also described. A large number of process reagents are used in the biopharmaceutical manufacturing to control the process performance. Clearance testing for all of the process reagents will be an enormous analytical task. In this article, a risk-based strategy is described to eliminate unnecessary clearance testing for majority of the process reagents using four risk factors. The risk factors included

  5. Perspectives on Resource Recovery from Bio-Based Production Processes: From Concept to Implementation

    DEFF Research Database (Denmark)

    S.B.A. Udugama, Isuru; Mansouri, Seyed Soheil; Mitic, Aleksandar

    2017-01-01

    Recovering valuable compounds from waste streams of bio-based production processes is in line with the circular economy paradigm, and is achievable by implementing “simple-to-use” and well-established process separation technologies. Such solutions are acceptable from industrial, economic...... and environmental points of view, implying relatively easy future implementation on pilot- and full-scale levels in the bio-based industry. Reviewing such technologies is therefore the focus here. Considerations about technology readiness level (TRL) and Net Present Value (NPV) are included in the review, since TRL...... and NPV contribute significantly to the techno-economic evaluation of future and promising process solutions. Based on the present review, a qualitative guideline for resource recovery from bio-based production processes is proposed. Finally, future approaches and perspectives toward identification...

  6. Qualitative processing of uncertainty, conflicts and redundancy in knowledge bases

    International Nuclear Information System (INIS)

    Zbytovsky, V.

    1994-01-01

    This paper describes two techniques, created and implemented in the course of development of the real-time on-line expert system Recon at the Nuclear Research Institute at Rez, Czech Republic. The first of them is the qualitative processing of uncertainty, which is based on the introduction of the third logic value to logic data objects, and the credibility flag to arithmetic data objects. The treatment of the third value and credibility flags during the inference, the explanation method based on the graphic representation and the uncertainty processing during the explanation are also mentioned. The second technique, is a semantic checking of knowledge bases, which enables us to recover parts of the bases, that are meaningless, either because of an error during their implementation into a base, or because they are redundant. The paper includes the explanation of basic terms of this method, such as so called conflicts, K-group and K-situation. The two types of the conflict (dead-end and bubble) are also discussed. The paper also offers the complete mathematical apparatus, which the checking method is based on. (author). 4 refs, tabs

  7. Current-mode subthreshold MOS implementation of the Herault-Jutten autoadaptive network

    Science.gov (United States)

    Cohen, Marc H.; Andreou, Andreas G.

    1992-05-01

    The translinear circuits in subthreshold MOS technology and current-mode design techniques for the implementation of neuromorphic analog network processing are investigated. The architecture, also known as the Herault-Jutten network, performs an independent component analysis and is essentially a continuous-time recursive linear adaptive filter. Analog I/O interface, weight coefficients, and adaptation blocks are all integrated on the chip. A small network with six neurons and 30 synapses was fabricated in a 2-microns n-well double-polysilicon, double-metal CMOS process. Circuit designs at the transistor level yield area-efficient implementations for neurons, synapses, and the adaptation blocks. The design methodology and constraints as well as test results from the fabricated chips are discussed.

  8. Recent development of VUV-based processes for air pollutants degradation

    Directory of Open Access Journals (Sweden)

    Haibao eHuang

    2016-03-01

    Full Text Available As air pollution become more and more serious nowadays, it is essential to find out a way to efficiently degrade the air pollutants. Vacuum ultraviolet (VUV-based processes are an emerging and promising technologies for environmental remediation such as air cleaning, wastewater treatment and air/water disinfection. With VUV irradiation, photolysis, photocatalyst is and ozone-assisted oxidation are involved at the same time, resulting in the fast degradation of air pollutants because of their strong oxidizing capacity. The mechanisms of how the oxidants are produced and reacted are discussed in this review. This paper mainly focuses on the three VUV-based oxidation processes including VUV photolysis, VUV combined with ozone-assisted oxidation and VUV-PCO with emphasis on their mechanisms and applications. Also, the outlooks of these processes are outlined in this paper.

  9. A PROCESS-BASED APPROACH TO KNOWLEDGE MANAGEMENT

    OpenAIRE

    Kovačić, Andrej; Bosilj Vukšić, Vesna; Lončar, Anita

    2006-01-01

    This paper analyses the relationship between business process modelling, knowledge management and information systems development projects. The paper’s main objective is to present business rules as the encoded knowledge of corporate business practices. Further, it introduces a rule-based business activity meta-model as a repository in which business knowledge can be captured and traced from their origin in the business environment through to their implementation in information systems. The c...

  10. Automated Signal Processing Applied to Volatile-Based Inspection of Greenhouse Crops

    Science.gov (United States)

    Jansen, Roel; Hofstee, Jan Willem; Bouwmeester, Harro; van Henten, Eldert

    2010-01-01

    Gas chromatograph–mass spectrometers (GC-MS) have been used and shown utility for volatile-based inspection of greenhouse crops. However, a widely recognized difficulty associated with GC-MS application is the large and complex data generated by this instrument. As a consequence, experienced analysts are often required to process this data in order to determine the concentrations of the volatile organic compounds (VOCs) of interest. Manual processing is time-consuming, labour intensive and may be subject to errors due to fatigue. The objective of this study was to assess whether or not GC-MS data can also be automatically processed in order to determine the concentrations of crop health associated VOCs in a greenhouse. An experimental dataset that consisted of twelve data files was processed both manually and automatically to address this question. Manual processing was based on simple peak integration while the automatic processing relied on the algorithms implemented in the MetAlign™ software package. The results of automatic processing of the experimental dataset resulted in concentrations similar to that after manual processing. These results demonstrate that GC-MS data can be automatically processed in order to accurately determine the concentrations of crop health associated VOCs in a greenhouse. When processing GC-MS data automatically, noise reduction, alignment, baseline correction and normalisation are required. PMID:22163594

  11. A Process Towards Societal Value within a Community-Based Regional Development Project

    Directory of Open Access Journals (Sweden)

    Anna Åslund

    2012-12-01

    Full Text Available Processes, activities and tasks of a community-based area development project are described. The main process has been used three times and a model is presented. An earlier developed process map has been verified. The description of the project can help other communities to plan development projects. The illustration can be valuable for entrepreneurs who are planning a societal value initiative and for decision-makers and stakeholders who can contribute to, are concerned with, or may be affected by societal entrepreneurship. Observation, participating studies, dokumentations and an interview with the project leader has been carried out. Data have been analyzed and compared with the previously developed process map to achieve a deeper understanding of the processes within societal entrepreneurship. The purpose was to study and describe the processes of a community-based area development project and to compare it with a previously developed process map and to verify the process map.

  12. A KPI framework for process-based benchmarking of hospital information systems.

    Science.gov (United States)

    Jahn, Franziska; Winter, Alfred

    2011-01-01

    Benchmarking is a major topic for monitoring, directing and elucidating the performance of hospital information systems (HIS). Current approaches neglect the outcome of the processes that are supported by the HIS and their contribution to the hospital's strategic goals. We suggest to benchmark HIS based on clinical documentation processes and their outcome. A framework consisting of a general process model and outcome criteria for clinical documentation processes is introduced.

  13. Enhancing Users' Participation in Business Process Modeling through Ontology-Based Training

    Science.gov (United States)

    Macris, A.; Malamateniou, F.; Vassilacopoulos, G.

    Successful business process design requires active participation of users who are familiar with organizational activities and business process modelling concepts. Hence, there is a need to provide users with reusable, flexible, agile and adaptable training material in order to enable them instil their knowledge and expertise in business process design and automation activities. Knowledge reusability is of paramount importance in designing training material on process modelling since it enables users participate actively in process design/redesign activities stimulated by the changing business environment. This paper presents a prototype approach for the design and use of training material that provides significant advantages to both the designer (knowledge - content reusability and semantic web enabling) and the user (semantic search, knowledge navigation and knowledge dissemination). The approach is based on externalizing domain knowledge in the form of ontology-based knowledge networks (i.e. training scenarios serving specific training needs) so that it is made reusable.

  14. Membrane-based processes for sustainable power generation using water

    KAUST Repository

    Logan, Bruce E.; Elimelech, Menachem

    2012-01-01

    Water has always been crucial to combustion and hydroelectric processes, but it could become the source of power in membrane-based systems that capture energy from natural and waste waters. Two processes are emerging as sustainable methods for capturing energy from sea water: pressure-retarded osmosis and reverse electrodialysis. These processes can also capture energy from waste heat by generating artificial salinity gradients using synthetic solutions, such as thermolytic salts. A further source of energy comes from organic matter in waste waters, which can be harnessed using microbial fuel-cell technology, allowing both wastewater treatment and power production. © 2012 Macmillan Publishers Limited. All rights reserved.

  15. A process-based approach to management of the enterprise

    Directory of Open Access Journals (Sweden)

    Ryzhakina Tatiana

    2016-01-01

    Full Text Available Establishing an efficient management system is an especially pressing issue for machinery industry as a basic sector of economy in a country. The present paper considers establishing a management system oriented towards increasing enterprise value and customer satisfaction through the integration of process-based management and a Balanced Scorecard. An integrated management system enables structuring of organization processes, redesigning them with regard to external changes as well as applying a balanced scorecard connecting functional units by means of defining strategic objectives and measurable indicators detailing and controlling these objectives and thus increasing the efficiency of processes orienting an organization towards a customer.

  16. Membrane-based processes for sustainable power generation using water

    KAUST Repository

    Logan, Bruce E.

    2012-08-15

    Water has always been crucial to combustion and hydroelectric processes, but it could become the source of power in membrane-based systems that capture energy from natural and waste waters. Two processes are emerging as sustainable methods for capturing energy from sea water: pressure-retarded osmosis and reverse electrodialysis. These processes can also capture energy from waste heat by generating artificial salinity gradients using synthetic solutions, such as thermolytic salts. A further source of energy comes from organic matter in waste waters, which can be harnessed using microbial fuel-cell technology, allowing both wastewater treatment and power production. © 2012 Macmillan Publishers Limited. All rights reserved.

  17. An ontological knowledge based system for selection of process monitoring and analysis tools

    DEFF Research Database (Denmark)

    Singh, Ravendra; Gernaey, Krist; Gani, Rafiqul

    2010-01-01

    monitoring and analysis tools for a wide range of operations has made their selection a difficult, time consuming and challenging task. Therefore, an efficient and systematic knowledge base coupled with an inference system is necessary to support the optimal selection of process monitoring and analysis tools......, satisfying the process and user constraints. A knowledge base consisting of the process knowledge as well as knowledge on measurement methods and tools has been developed. An ontology has been designed for knowledge representation and management. The developed knowledge base has a dual feature. On the one...... procedures has been developed to retrieve the data/information stored in the knowledge base....

  18. Pipeline Processing with an Iterative, Context-Based Detection Model

    Science.gov (United States)

    2016-01-22

    wave precursor artifacts. Distortion definitely is reduced with the addition of more channels to the processed data stream (comparing trace 3 to...limitations of fully automatic hypothesis evaluation with a test case of two events in Central Asia – a deep Hindu Kush earthquake and a shallow earthquake in...AFRL-RV-PS- AFRL-RV-PS- TR-2016-0080 TR-2016-0080 PIPELINE PROCESSING WITH AN ITERATIVE, CONTEXT-BASED DETECTION MODEL T. Kværna, et al

  19. All-optical microwave signal processing based on optical phase modulation

    Science.gov (United States)

    Zeng, Fei

    This thesis presents a theoretical and experimental study of optical phase modulation and its applications in all-optical microwave signal processing, which include all-optical microwave filtering, all-optical microwave mixing, optical code-division multiple-access (CDMA) coding, and ultrawideband (UWB) signal generation. All-optical microwave signal processing can be considered as the use of opto-electronic devices and systems to process microwave signals in the optical domain, which provides several significant advantages such as low loss, low dispersion, light weight, high time bandwidth products, and immunity to electromagnetic interference. In conventional approaches, the intensity of an optical carrier is modulated by a microwave signal based on direct modulation or external modulation. The intensity-modulated optical signal is then fed to a photonic circuit or system to achieve specific signal processing functionalities. The microwave signal being processed is usually obtained based on direct detection, i.e., an opto-electronic conversion by use of a photodiode. In this thesis, the research efforts are focused on the optical phase modulation and its applications in all-optical microwave signal processing. To avoid using coherent detection which is complicated and costly, simple and effective phase modulation to intensity modulation (PM-IM) conversion schemes are pursued. Based on a theoretical study of optical phase modulation, two approaches to achieving PM-IM conversions are proposed. In the first approach, the use of chromatic dispersion induced by a dispersive device to alter the phase relationships among the sidebands and the optical carrier of a phase-modulated optical signal to realize PM-IM conversion is investigated. In the second approach, instead of using a dispersive device, the PM-IM conversion is realized based on optical frequency discrimination implemented using an optical filter. We show that the proposed PM-IM conversion schemes can be

  20. Process-Based Modeling of Constructed Wetlands

    Science.gov (United States)

    Baechler, S.; Brovelli, A.; Rossi, L.; Barry, D. A.

    2007-12-01

    Constructed wetlands (CWs) are widespread facilities for wastewater treatment. In subsurface flow wetlands, contaminated wastewater flows through a porous matrix, where oxidation and detoxification phenomena occur. Despite the large number of working CWs, system design and optimization are still mainly based upon empirical equations or simplified first-order kinetics. This results from an incomplete understanding of the system functioning, and may in turn hinder the performance and effectiveness of the treatment process. As a result, CWs are often considered not suitable to meet high water quality-standards, or to treat water contaminated with recalcitrant anthropogenic contaminants. To date, only a limited number of detailed numerical models have been developed and successfully applied to simulate constructed wetland behavior. Among these, one of the most complete and powerful is CW2D, which is based on Hydrus2D. The aim of this work is to develop a comprehensive simulator tailored to model the functioning of horizontal flow constructed wetlands and in turn provide a reliable design and optimization tool. The model is based upon PHWAT, a general reactive transport code for saturated flow. PHWAT couples MODFLOW, MT3DMS and PHREEQC-2 using an operator-splitting approach. The use of PHREEQC to simulate reactions allows great flexibility in simulating biogeochemical processes. The biogeochemical reaction network is similar to that of CW2D, and is based on the Activated Sludge Model (ASM). Kinetic oxidation of carbon sources and nutrient transformations (nitrogen and phosphorous primarily) are modeled via Monod-type kinetic equations. Oxygen dissolution is accounted for via a first-order mass-transfer equation. While the ASM model only includes a limited number of kinetic equations, the new simulator permits incorporation of an unlimited number of both kinetic and equilibrium reactions. Changes in pH, redox potential and surface reactions can be easily incorporated

  1. PID controller auto-tuning based on process step response and damping optimum criterion.

    Science.gov (United States)

    Pavković, Danijel; Polak, Siniša; Zorc, Davor

    2014-01-01

    This paper presents a novel method of PID controller tuning suitable for higher-order aperiodic processes and aimed at step response-based auto-tuning applications. The PID controller tuning is based on the identification of so-called n-th order lag (PTn) process model and application of damping optimum criterion, thus facilitating straightforward algebraic rules for the adjustment of both the closed-loop response speed and damping. The PTn model identification is based on the process step response, wherein the PTn model parameters are evaluated in a novel manner from the process step response equivalent dead-time and lag time constant. The effectiveness of the proposed PTn model parameter estimation procedure and the related damping optimum-based PID controller auto-tuning have been verified by means of extensive computer simulations. © 2013 ISA. Published by Elsevier Ltd. All rights reserved.

  2. Facilitating Consensus in Cooperative Design Processes using animation-based sketching

    DEFF Research Database (Denmark)

    Vistisen, Peter; Rosenstand, Claus Andreas Foss

    2016-01-01

    In the following paper we show how animation can be used as a digital sketching tool to facilitate cooperative work processes when exploring the application of non-idiomatic digital technologies. Focus is on the early stages of the design process, framed as ‘product formation’. Based on the results...... from a action research case study at the North Sea Oceanarium we show that animation can act as a tool to create clear representations of the quality criteria at hand, and thus enable a richer feedback loop between the different stakeholders in the design process. The main contribution...... in cooperative design processes, and detail how the techniques differs from other representational options in the early design process....

  3. The peculiarities of process-based approach realization in transport sector company management

    Science.gov (United States)

    Khripko, Elena; Sidorov, Gennadiy

    2017-10-01

    In the present article we study the phenomena of multiple meaning in understanding process-based management method in construction of transport infrastructure facilities. The idea of multiple meaning is in distortions which appear during reception of the management process paradigm in organizational environment of transport sector. The cause of distortion in process management is organizational resistance. The distortions of management processes are discovered at the level of diffusion among spheres of responsibility, collision in forms of functional, project and process interaction between the owner of the process and its participants. The level of distortion is affected by the attitude towards the result of work which means that process understanding of the result is replaced by the functional one in practice of management. This transfiguration is the consequence of regressive defensive mechanisms of the organizational environment. On the base of experience of forming process management in construction of transport infrastructure facilities company of the issues of diagnostics of various forms of organizational resistance and ways of reducing the destructive influence on managing processes are reviewed.

  4. NTC operator training program viewed from SAT-based training process

    International Nuclear Information System (INIS)

    Matsumoto, Yoshio

    1996-01-01

    The Nuclear Power Training Center Ltd. (NTC) was established in June 1972 to train PWR plant operators. Operator training was started in Apr. 1974. Presently we have three full-scope, control-room simulators. Recently IAEA recommended that its Systematic Approach to Training (SAT) be used for the training of NPP personnel. We thoroughly examined the SAT-based process and compared it against the NTC training program. As a result, we have recognized that the NTC training program satisfies the SAT-based training process. We now intend to improve the feedback step of the NTC training system. Our efforts continue to produce a relevant program at the forefront of our profession. (author)

  5. A FPGA-based signal processing unit for a GEM array detector

    International Nuclear Information System (INIS)

    Yen, W.W.; Chou, H.P.

    2013-06-01

    in the present study, a signal processing unit for a GEM one-dimensional array detector is presented to measure the trajectory of photoelectrons produced by cosmic X-rays. The present GEM array detector system has 16 signal channels. The front-end unit provides timing signals from trigger units and energy signals from charge sensitive amplifies. The prototype of the processing unit is implemented using commercial field programmable gate array circuit boards. The FPGA based system is linked to a personal computer for testing and data analysis. Tests using simulated signals indicated that the FPGA-based signal processing unit has a good linearity and is flexible for parameter adjustment for various experimental conditions (authors)

  6. Hydrologic-Process-Based Soil Texture Classifications for Improved Visualization of Landscape Function

    Science.gov (United States)

    Groenendyk, Derek G.; Ferré, Ty P.A.; Thorp, Kelly R.; Rice, Amy K.

    2015-01-01

    Soils lie at the interface between the atmosphere and the subsurface and are a key component that control ecosystem services, food production, and many other processes at the Earth’s surface. There is a long-established convention for identifying and mapping soils by texture. These readily available, georeferenced soil maps and databases are used widely in environmental sciences. Here, we show that these traditional soil classifications can be inappropriate, contributing to bias and uncertainty in applications from slope stability to water resource management. We suggest a new approach to soil classification, with a detailed example from the science of hydrology. Hydrologic simulations based on common meteorological conditions were performed using HYDRUS-1D, spanning textures identified by the United States Department of Agriculture soil texture triangle. We consider these common conditions to be: drainage from saturation, infiltration onto a drained soil, and combined infiltration and drainage events. Using a k-means clustering algorithm, we created soil classifications based on the modeled hydrologic responses of these soils. The hydrologic-process-based classifications were compared to those based on soil texture and a single hydraulic property, Ks. Differences in classifications based on hydrologic response versus soil texture demonstrate that traditional soil texture classification is a poor predictor of hydrologic response. We then developed a QGIS plugin to construct soil maps combining a classification with georeferenced soil data from the Natural Resource Conservation Service. The spatial patterns of hydrologic response were more immediately informative, much simpler, and less ambiguous, for use in applications ranging from trafficability to irrigation management to flood control. The ease with which hydrologic-process-based classifications can be made, along with the improved quantitative predictions of soil responses and visualization of landscape

  7. A process-based framework for soil ecosystem services study and management.

    Science.gov (United States)

    Su, Changhong; Liu, Huifang; Wang, Shuai

    2018-06-15

    Soil provides various indispensable ecosystem services for human society. Soil's complex structure and property makes the soil ecological processes complicated and brings about tough challenges for soil ecosystem services study. Most of the current frameworks on soil services focus exclusively on services per se, neglecting the links and underlying ecological mechanisms. This article put forward a framework on soil services by stressing the underlying soil mechanisms and processes, which includes: 1) analyzing soil natural capital stock based on soil structure and property, 2) disentangling the underlying complex links and soil processes, 3) soil services valuation based on field investigation and spatial explicit models, and 4) enacting soil management strategy based on soil services and their driving factors. By application of this framework, we assessed the soil services of sediment retention, water yield, and grain production in the Upper-reach Fenhe Watershed. Based on the ecosystem services and human driving factors, the whole watershed was clustered into five groups: 1) municipal area, 2) typical coal mining area, 3) traditional farming area, 4) unsustainable urbanizing area, and 5) ecological conservation area. Management strategies on soils were made according to the clustering based soil services and human activities. Copyright © 2018 Elsevier B.V. All rights reserved.

  8. Effect of microscale gaseous thermal conduction on the thermal behavior of a buckled microbridge

    International Nuclear Information System (INIS)

    Wang Jiaqi; Tang Zhenan; Li Jinfeng; Zhang Fengtian

    2008-01-01

    A microbridge is a basic micro-electro-mechanical systems (MEMS) device and has great potential for application in microsensors and microactuators. The thermal behavior of a microbridge is important for designing a microbridge-based thermal microsensor or microactuator. To study the thermal behavior of a microbridge consisting of Si 3 N 4 and polysilicon with a 2 µm suspended gap between the substrate and the microbridge while the microbridge is heated by an electrical current fed through the polysilicon, a microbridge model is developed to correlate theoretically the input current and the temperature distribution under the buckling conditions, especially considering the effects of the microscale gaseous thermal conduction due to the microbridge buckling. The calculated results show that the buckling of the microbridge changes the microscale gaseous thermal conduction, and thus greatly affects the thermal behavior of the microbridge. We also evaluate the effects of initial buckling on the temperature distribution of the microbridge. The experimental results show that buckling should be taken into account if the buckling is large. Therefore, the variation in gaseous thermal conduction and the suspended gap height caused by the buckling should be considered in the design of such thermomechanical microsensors and microactuators, which requires more accurate thermal behavior

  9. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  10. Ionic-Liquid-Based CO2 Capture Systems: Structure, Interaction and Process.

    Science.gov (United States)

    Zeng, Shaojuan; Zhang, Xiangping; Bai, Lu; Zhang, Xiaochun; Wang, Hui; Wang, Jianji; Bao, Di; Li, Mengdie; Liu, Xinyan; Zhang, Suojiang

    2017-07-26

    The inherent structure tunability, good affinity with CO 2 , and nonvolatility of ionic liquids (ILs) drive their exploration and exploitation in CO 2 separation field, and has attracted remarkable interest from both industries and academia. The aim of this Review is to give a detailed overview on the recent advances on IL-based materials, including pure ILs, IL-based solvents, and IL-based membranes for CO 2 capture and separation from the viewpoint of molecule to engineering. The effects of anions, cations and functional groups on CO 2 solubility and selectivity of ILs, as well as the studies on degradability of ILs are reviewed, and the recent developments on functionalized ILs, IL-based solvents, and IL-based membranes are also discussed. CO 2 separation mechanism with IL-based solvents and IL-based membranes are explained by combining molecular simulation and experimental characterization. Taking into consideration of the applications and industrialization, the recent achievements and developments on the transport properties of IL fluids and the process design of IL-based processes are highlighted. Finally, the future research challenges and perspectives of the commercialization of CO 2 capture and separation with IL-based materials are posed.

  11. Energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1996-01-01

    A device capable of drastically improving the energy efficiency of present mask based laser materials processing systems is presented. Good accordance between experiments and simulations for a TEA-CO2 laser system designed for laser marking has been demonstrated. The energy efficiency may...... be improved with a factor of 2 - 4 for typical mask transmittances between 10 - 40%....

  12. Auto-Scaling of Geo-Based Image Processing in an OpenStack Cloud Computing Environment

    Directory of Open Access Journals (Sweden)

    Sanggoo Kang

    2016-08-01

    Full Text Available Cloud computing is a base platform for the distribution of large volumes of data and high-performance image processing on the Web. Despite wide applications in Web-based services and their many benefits, geo-spatial applications based on cloud computing technology are still developing. Auto-scaling realizes automatic scalability, i.e., the scale-out and scale-in processing of virtual servers in a cloud computing environment. This study investigates the applicability of auto-scaling to geo-based image processing algorithms by comparing the performance of a single virtual server and multiple auto-scaled virtual servers under identical experimental conditions. In this study, the cloud computing environment is built with OpenStack, and four algorithms from the Orfeo toolbox are used for practical geo-based image processing experiments. The auto-scaling results from all experimental performance tests demonstrate applicable significance with respect to cloud utilization concerning response time. Auto-scaling contributes to the development of web-based satellite image application services using cloud-based technologies.

  13. Parameter identification of an electrically actuated imperfect microbeam

    KAUST Repository

    Ruzziconi, Laura; Younis, Mohammad I.; Lenci, Stefano

    2013-01-01

    In this study we consider a microelectromechanical system (MEMS) and focus on extracting analytically the model parameters that describe its non-linear dynamic features accurately. The device consists of a clamped-clamped polysilicon microbeam

  14. Techno-economic analysis and comparison of coal based olefins processes

    International Nuclear Information System (INIS)

    Xiang, Dong; Yang, Siyu; Qian, Yu

    2016-01-01

    Highlights: • The coal based Fischer–Tropsch-to-olefins (CFTO) process is proposed and analyzed. • The CFTO suffers from lower energy efficiency and serious CO 2 emissions. • Approaches for improving techno-economic performance of the CFTO are obtained. - Abstract: Traditional olefins production is heavily dependent on oil. In the background of the scarcity of oil and richness of coal in China, olefins production from coal has been attracting more attention of the chemical process industry. The first coal based methanol-to-olefins (CMTO) plant has been commercialized in China. For shorter process route and lower capital cost, Fischer–Fropsch has been put forward in the last few years. The coal based Fischer–Tropsch-to-olefins (CFTO) process is designed in this paper and then its techno-economic and environmental performance was detailed studied in this paper, in comparison with the CMTO. Results show that at the present olefins selectivity, the CFTO suffers from relative lower energy efficiency and higher CO 2 emissions. In economic aspect, the capital investment and product cost of the CFTO are roughly equivalent to that of the CMTO. Although the conversion route of the CFTO is shorter, its techno-economic performance is still inferior to that of the CMTO. It is also found that increase of olefins selectivity by cracking oil or decrease of CO 2 selectivity by improving catalyst could significantly improve the performance of the CFTO.

  15. Dynamic biogas upgrading based on the Sabatier process

    DEFF Research Database (Denmark)

    Jurgensen, Lars; Ehimen, Ehiazesebhor Augustine; Born, Jens

    2015-01-01

    index, CO2 content and calorific value were found to be controllable by the H2/CO2 ratio fed the methanation reactor. An optimal H2/CO2 ratio of 3.45–3.7 was seen to result in a product gas with high calorific value and Wobbe index. The dynamic reactor simulation verified that the process start......This study aimed to investigate the feasibility of substitute natural gas (SNG) generation using biogas from anaerobic digestion and hydrogen from renewable energy systems. Using thermodynamic equilibrium analysis, kinetic reactor modeling and transient simulation, an integrated approach...... for the operation of a biogas-based Sabatier process was put forward, which was then verified using a lab scale heterogenous methanation reactor. The process simulation using a kinetic reactor model demonstrated the feasibility of the production of SNG at gas grid standards using a single reactor setup. The Wobbe...

  16. A model-based framework for incremental scale-up of wastewater treatment processes

    DEFF Research Database (Denmark)

    Mauricio Iglesias, Miguel; Sin, Gürkan

    Scale-up is traditionally done following specific ratios or rules of thumb which do not lead to optimal results. We present a generic framework to assist in scale-up of wastewater treatment processes based on multiscale modelling, multiobjective optimisation and a validation of the model at the new...... large scale. The framework is illustrated by the scale-up of a complete autotropic nitrogen removal process. The model based multiobjective scaleup offers a promising improvement compared to the rule of thumbs based emprical scale up rules...

  17. Fostering Organizational Innovation based on modeling the Marketing Research Process through Event-driven Process Chain (EPC

    Directory of Open Access Journals (Sweden)

    Elena Fleacă

    2016-11-01

    Full Text Available Enterprises competing in an actual business framework are required to win and maintain their competitiveness by flexibility, fast reaction and conformation to the changing customers' needs based on innovation of work related to products, services, and internal processes. The paper addresses these challenges which gain more complex bonds in a case of high pressure for innovation. The methodology commences with a literature review of the current knowledge on innovation through business processes management. Secondly, it has been applied the Event-driven Process Chain tool from the scientific literature to model the variables of marketing research process. The findings highlight benefits of marketing research workflow that enhances the value of market information while reducing costs of obtaining it, in a coherent way.

  18. In-Situ Testing of the Thermal Diffusivity of Polysilicon Thin Films

    Directory of Open Access Journals (Sweden)

    Yi-Fan Gu

    2016-10-01

    Full Text Available This paper presents an intuitive yet effective in-situ thermal diffusivity testing structure and testing method. The structure consists of two doubly clamped beams with the same width and thickness but different lengths. When the electric current is applied through two terminals of one beam, the beam serves as thermal resistor and the resistance R(t varies as temperature rises. A delicate thermodynamic model considering thermal convection, thermal radiation, and film-to-substrate heat conduction was established for the testing structure. The presented in-situ thermal diffusivity testing structure can be fabricated by various commonly used micro electro mechanical systems (MEMS fabrication methods, i.e., it requires no extra customized processes yet provides electrical input and output interfaces for in-situ testing. Meanwhile, the testing environment and equipment had no stringent restriction, measurements were carried out at normal temperatures and pressures, and the results are relatively accurate.

  19. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  20. [GSH fermentation process modeling using entropy-criterion based RBF neural network model].

    Science.gov (United States)

    Tan, Zuoping; Wang, Shitong; Deng, Zhaohong; Du, Guocheng

    2008-05-01

    The prediction accuracy and generalization of GSH fermentation process modeling are often deteriorated by noise existing in the corresponding experimental data. In order to avoid this problem, we present a novel RBF neural network modeling approach based on entropy criterion. It considers the whole distribution structure of the training data set in the parameter learning process compared with the traditional MSE-criterion based parameter learning, and thus effectively avoids the weak generalization and over-learning. Then the proposed approach is applied to the GSH fermentation process modeling. Our results demonstrate that this proposed method has better prediction accuracy, generalization and robustness such that it offers a potential application merit for the GSH fermentation process modeling.

  1. SmartWeld/SmartProcess - intelligent model based system for the design and validation of welding processes

    Energy Technology Data Exchange (ETDEWEB)

    Mitchner, J.

    1996-04-01

    Diagrams are presented on an intelligent model based system for the design and validation of welding processes. Key capabilities identified include `right the first time` manufacturing, continuous improvement, and on-line quality assurance.

  2. Data-driven fault detection for industrial processes canonical correlation analysis and projection based methods

    CERN Document Server

    Chen, Zhiwen

    2017-01-01

    Zhiwen Chen aims to develop advanced fault detection (FD) methods for the monitoring of industrial processes. With the ever increasing demands on reliability and safety in industrial processes, fault detection has become an important issue. Although the model-based fault detection theory has been well studied in the past decades, its applications are limited to large-scale industrial processes because it is difficult to build accurate models. Furthermore, motivated by the limitations of existing data-driven FD methods, novel canonical correlation analysis (CCA) and projection-based methods are proposed from the perspectives of process input and output data, less engineering effort and wide application scope. For performance evaluation of FD methods, a new index is also developed. Contents A New Index for Performance Evaluation of FD Methods CCA-based FD Method for the Monitoring of Stationary Processes Projection-based FD Method for the Monitoring of Dynamic Processes Benchmark Study and Real-Time Implementat...

  3. Anammox-based technologies for nitrogen removal: Advances in process start-up and remaining issues.

    Science.gov (United States)

    Ali, Muhammad; Okabe, Satoshi

    2015-12-01

    Nitrogen removal from wastewater via anaerobic ammonium oxidation (anammox)-based process has been recognized as efficient, cost-effective and low energy alternative to the conventional nitrification and denitrification processes. To date, more than one hundred full-scale anammox plants have been installed and operated for treatment of NH4(+)-rich wastewater streams around the world, and the number is increasing rapidly. Since the discovery of anammox process, extensive researches have been done to develop various anammox-based technologies. However, there are still some challenges in practical application of anammox-based treatment process at full-scale, e.g., longer start-up period, limited application to mainstream municipal wastewater and poor effluent water quality. This paper aimed to summarize recent status of application of anammox process and researches on technological development for solving these remaining problems. In addition, an integrated system of anammox-based process and microbial fuel cell is proposed for sustainable and energy-positive wastewater treatment. Copyright © 2015 Elsevier Ltd. All rights reserved.

  4. A Science-Based Understanding of Cermet Processing

    Energy Technology Data Exchange (ETDEWEB)

    Cesarano, III, Joseph [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Roach, Robert Allen [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Kilgo, Alice C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Susan, Donald Francis [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Van Ornum, David J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Stuecker, John N. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Shollenberger, Kimberly A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2006-04-01

    This report is a summary of the work completed in FY01 for science-based characterization of the processes used to fabricate 1) cermet vias in source feedthrus using slurry and paste-filling techniques and 2) cermet powder for dry pressing. Common defects found in cermet vias were characterized based on the ability of subsequent processing techniques (isopressing and firing) to remove the defects. Non-aqueous spray drying and mist granulation techniques were explored as alternative methods of creating CND50, the powder commonly used for dry pressed parts. Compaction and flow characteristics of these techniques were analyzed and compared to standard dry-ball-milled CND50. Due to processing changes, changes in microstructure can occur. A microstructure characterization technique was developed to numerically describe cermet microstructure. Machining and electrical properties of dry pressed parts were also analyzed and related to microstructure using this analytical technique.3 Executive SummaryThis report outlines accomplishments in the science-based understanding of cermet processing up to fiscal year 2002 for Sandia National Laboratories. The three main areas of work are centered on 1) increasing production yields of slurry-filled cermets, 2) evaluating the viability of high-solids-loading pastes for the same cermet components, and 3) optimizing cermet powder used in pressing processes (CND50). An additional development that was created as a result of the effort to fully understand the impacts of alternative processing techniques is the use of analytical methods to relate microstructure to physical properties. Recommendations are suggested at the end of this report. Summaries of these four efforts are as follows:1.Increase Production Yields of Slurry-Filled Cermet Vias Finalized slurry filling criteria were determined based on three designs of experiments where the following factors were analyzed: vacuum time, solids loading, pressure drop across the filter paper

  5. Framework, process and tool for managing technology-based assets

    CSIR Research Space (South Africa)

    Kfir, R

    2000-10-01

    Full Text Available ) and the intellectual property (IP) of the organisation, The study describes a framework linking the core processes supporting the management of technology-based assets and offerings with other organisational elements such as leadership, strategy, and culture. Specific...

  6. Clinical process analysis and activity-based costing at a heart center.

    Science.gov (United States)

    Ridderstolpe, Lisa; Johansson, Andreas; Skau, Tommy; Rutberg, Hans; Ahlfeldt, Hans

    2002-08-01

    Cost studies, productivity, efficiency, and quality of care measures, the links between resources and patient outcomes, are fundamental issues for hospital management today. This paper describes the implementation of a model for process analysis and activity-based costing (ABC)/management at a Heart Center in Sweden as a tool for administrative cost information, strategic decision-making, quality improvement, and cost reduction. A commercial software package (QPR) containing two interrelated parts, "ProcessGuide and CostControl," was used. All processes at the Heart Center were mapped and graphically outlined. Processes and activities such as health care procedures, research, and education were identified together with their causal relationship to costs and products/services. The construction of the ABC model in CostControl was time-consuming. However, after the ABC/management system was created, it opened the way for new possibilities including process and activity analysis, simulation, and price calculations. Cost analysis showed large variations in the cost obtained for individual patients undergoing coronary artery bypass grafting (CABG) surgery. We conclude that a process-based costing system is applicable and has the potential to be useful in hospital management.

  7. Contextual System of Symbol Structural Recognition based on an Object-Process Methodology

    OpenAIRE

    Delalandre, Mathieu

    2005-01-01

    We present in this paper a symbol recognition system for the graphic documents. This one is based on a contextual approach for symbol structural recognition exploiting an Object-Process Methodology. It uses a processing library composed of structural recognition processings and contextual evaluation processings. These processings allow our system to deal with the multi-representation of symbols. The different processings are controlled, in an automatic way, by an inference engine during the r...

  8. Robust adaptive multichannel SAR processing based on covariance matrix reconstruction

    Science.gov (United States)

    Tan, Zhen-ya; He, Feng

    2018-04-01

    With the combination of digital beamforming (DBF) processing, multichannel synthetic aperture radar(SAR) systems in azimuth promise well in high-resolution and wide-swath imaging, whereas conventional processing methods don't take the nonuniformity of scattering coefficient into consideration. This paper brings up a robust adaptive Multichannel SAR processing method which utilizes the Capon spatial spectrum estimator to obtain the spatial spectrum distribution over all ambiguous directions first, and then the interference-plus-noise covariance Matrix is reconstructed based on definition to acquire the Multichannel SAR processing filter. The performance of processing under nonuniform scattering coefficient is promoted by this novel method and it is robust again array errors. The experiments with real measured data demonstrate the effectiveness and robustness of the proposed method.

  9. Influence of the Migration Process on the Learning Performances of Fuzzy Knowledge Bases

    DEFF Research Database (Denmark)

    Akrout, Khaled; Baron, Luc; Balazinski, Marek

    2007-01-01

    This paper presents the influence of the process of migration between populations in GENO-FLOU, which is an environment of learning of fuzzy knowledge bases by genetic algorithms. Initially the algorithm did not use the process of migration. For the learning, the algorithm uses a hybrid coding......, binary for the base of rules and real for the data base. This hybrid coding used with a set of specialized operators of reproduction proven to be an effective environment of learning. Simulations were made in this environment by adding a process of migration. While varying the number of populations...

  10. Towards large-scale production of solution-processed organic tandem modules based on ternary composites: Design of the intermediate layer, device optimization and laser based module processing

    DEFF Research Database (Denmark)

    Li, Ning; Kubis, Peter; Forberich, Karen

    2014-01-01

    on commercially available materials, which enhances the absorption of poly(3-hexylthiophene) (P3HT) and as a result increase the PCE of the P3HT-based large-scale OPV devices; 3. laser-based module processing, which provides an excellent processing resolution and as a result can bring the power conversion...... efficiency (PCE) of mass-produced organic photovoltaic (OPV) devices close to the highest PCE values achieved for lab-scale solar cells through a significant increase in the geometrical fill factor. We believe that the combination of the above mentioned concepts provides a clear roadmap to push OPV towards...

  11. Research progress on trifluoromethyl-based radical reaction process

    Science.gov (United States)

    Song, Hao

    2017-12-01

    Due to the unique properties imparted by the trifluoromethyl group, such as high electron density and strong lipotropy, which effectively improve acidity, lipophilicity and metabolic stability of the molecule itself, trifluoromethyl-substituted organic compounds are becoming increasingly important as structural motifs in pharmaceuticals, agrochemicals and organic materials. In this review, we present several methods developed for the direct introduction of a trifluoromethyl group, beginning with its rich and storied history. Then the present article addresses mechanism and process in carbon-carbon bond forming reaction based on radical process which is divided into three parts according to the way of CF3 radical generation. Finally, challenges and opportunities of researches on trifluoromethylation reactions facing are prospected.

  12. Grating geophone signal processing based on wavelet transform

    Science.gov (United States)

    Li, Shuqing; Zhang, Huan; Tao, Zhifei

    2008-12-01

    Grating digital geophone is designed based on grating measurement technique benefiting averaging-error effect and wide dynamic range to improve weak signal detected precision. This paper introduced the principle of grating digital geophone and its post signal processing system. The signal acquisition circuit use Atmega 32 chip as core part and display the waveform on the Labwindows through the RS232 data link. Wavelet transform is adopted this paper to filter the grating digital geophone' output signal since the signal is unstable. This data processing method is compared with the FIR filter that widespread use in current domestic. The result indicates that the wavelet algorithm has more advantages and the SNR of seismic signal improve obviously.

  13. Comparison of coating processes in the development of aluminum-based barriers for blanket applications

    International Nuclear Information System (INIS)

    Wulf, Sven-Erik; Krauss, Wolfgang; Konys, Jürgen

    2014-01-01

    Highlights: •Electrochemical processes ECA and ECX are suitable for Al deposition on RAFM steels. •ECA and ECX are able to produce thin Al layers with adjustable thicknesses. •All aluminization processes need a subsequent heat treatment. •Scales made by ECA or ECX exhibit reduced thicknesses compared to HDA. •ECX provides higher flexibility compared to ECA to produce scales on RAFM steels. -- Abstract: Reduced activation ferritic-martensitic steels (RAFM), e.g. Eurofer 97, are envisaged in future fusion technology as structural material, which will be in direct contact with a flowing liquid lead–lithium melt serving as breeder material. Aluminum-based barrier layers had proven their ability to protect the structural material from corrosion attack in flowing Pb–15.7Li and to reduce tritium permeation into the coolant. Coming from scales produced by hot dipping aluminization (HDA), the development of processes based on electrochemical methods to produce defined aluminum-based scales on RAFM steels gained attention in research during the last years. Two different electrochemical processes are proposed: The first one, referred to as ECA process, is based on the electrodeposition of aluminum from volatile, metal-organic electrolytes. The other process called ECX is based on ionic liquids. All three processes exhibit specific characteristics, for example in the field of processability, control of coating thicknesses (low activation criteria) and heat treatment behavior. The aim of this article is to compare these different coating processes critically, whereby the focus is on the comparison of ECA and ECX processes. New results for ECX-process will be presented and occurring development needs for the future will be discussed

  14. Comparison of coating processes in the development of aluminum-based barriers for blanket applications

    Energy Technology Data Exchange (ETDEWEB)

    Wulf, Sven-Erik, E-mail: sven-erik.wulf@kit.edu; Krauss, Wolfgang; Konys, Jürgen

    2014-10-15

    Highlights: •Electrochemical processes ECA and ECX are suitable for Al deposition on RAFM steels. •ECA and ECX are able to produce thin Al layers with adjustable thicknesses. •All aluminization processes need a subsequent heat treatment. •Scales made by ECA or ECX exhibit reduced thicknesses compared to HDA. •ECX provides higher flexibility compared to ECA to produce scales on RAFM steels. -- Abstract: Reduced activation ferritic-martensitic steels (RAFM), e.g. Eurofer 97, are envisaged in future fusion technology as structural material, which will be in direct contact with a flowing liquid lead–lithium melt serving as breeder material. Aluminum-based barrier layers had proven their ability to protect the structural material from corrosion attack in flowing Pb–15.7Li and to reduce tritium permeation into the coolant. Coming from scales produced by hot dipping aluminization (HDA), the development of processes based on electrochemical methods to produce defined aluminum-based scales on RAFM steels gained attention in research during the last years. Two different electrochemical processes are proposed: The first one, referred to as ECA process, is based on the electrodeposition of aluminum from volatile, metal-organic electrolytes. The other process called ECX is based on ionic liquids. All three processes exhibit specific characteristics, for example in the field of processability, control of coating thicknesses (low activation criteria) and heat treatment behavior. The aim of this article is to compare these different coating processes critically, whereby the focus is on the comparison of ECA and ECX processes. New results for ECX-process will be presented and occurring development needs for the future will be discussed.

  15. Technical Note: Approximate Bayesian parameterization of a process-based tropical forest model

    Science.gov (United States)

    Hartig, F.; Dislich, C.; Wiegand, T.; Huth, A.

    2014-02-01

    Inverse parameter estimation of process-based models is a long-standing problem in many scientific disciplines. A key question for inverse parameter estimation is how to define the metric that quantifies how well model predictions fit to the data. This metric can be expressed by general cost or objective functions, but statistical inversion methods require a particular metric, the probability of observing the data given the model parameters, known as the likelihood. For technical and computational reasons, likelihoods for process-based stochastic models are usually based on general assumptions about variability in the observed data, and not on the stochasticity generated by the model. Only in recent years have new methods become available that allow the generation of likelihoods directly from stochastic simulations. Previous applications of these approximate Bayesian methods have concentrated on relatively simple models. Here, we report on the application of a simulation-based likelihood approximation for FORMIND, a parameter-rich individual-based model of tropical forest dynamics. We show that approximate Bayesian inference, based on a parametric likelihood approximation placed in a conventional Markov chain Monte Carlo (MCMC) sampler, performs well in retrieving known parameter values from virtual inventory data generated by the forest model. We analyze the results of the parameter estimation, examine its sensitivity to the choice and aggregation of model outputs and observed data (summary statistics), and demonstrate the application of this method by fitting the FORMIND model to field data from an Ecuadorian tropical forest. Finally, we discuss how this approach differs from approximate Bayesian computation (ABC), another method commonly used to generate simulation-based likelihood approximations. Our results demonstrate that simulation-based inference, which offers considerable conceptual advantages over more traditional methods for inverse parameter estimation

  16. Novel welding image processing method based on fractal theory

    Institute of Scientific and Technical Information of China (English)

    陈强; 孙振国; 肖勇; 路井荣

    2002-01-01

    Computer vision has come into used in the fields of welding process control and automation. In order to improve precision and rapidity of welding image processing, a novel method based on fractal theory has been put forward in this paper. Compared with traditional methods, the image is preliminarily processed in the macroscopic regions then thoroughly analyzed in the microscopic regions in the new method. With which, an image is divided up to some regions according to the different fractal characters of image edge, and the fuzzy regions including image edges are detected out, then image edges are identified with Sobel operator and curved by LSM (Lease Square Method). Since the data to be processed have been decreased and the noise of image has been reduced, it has been testified through experiments that edges of weld seam or weld pool could be recognized correctly and quickly.

  17. Nitrogen Trifluoride-Based Fluoride- Volatility Separations Process: Initial Studies

    Energy Technology Data Exchange (ETDEWEB)

    McNamara, Bruce K.; Scheele, Randall D.; Casella, Andrew M.; Kozelisky, Anne E.

    2011-09-28

    This document describes the results of our investigations on the potential use of nitrogen trifluoride as the fluorinating and oxidizing agent in fluoride volatility-based used nuclear fuel reprocessing. The conceptual process uses differences in reaction temperatures between nitrogen trifluoride and fuel constituents that produce volatile fluorides to achieve separations and recover valuable constituents. We provide results from our thermodynamic evaluations, thermo-analytical experiments, kinetic models, and provide a preliminary process flowsheet. The evaluations found that nitrogen trifluoride can effectively produce volatile fluorides at different temperatures dependent on the fuel constituent.

  18. Indirect three-dimensional printing of synthetic polymer scaffold based on thermal molding process

    International Nuclear Information System (INIS)

    Park, Jeong Hun; Jung, Jin Woo; Cho, Dong-Woo; Kang, Hyun-Wook

    2014-01-01

    One of the major issues in tissue engineering has been the development of three-dimensional (3D) scaffolds, which serve as a structural template for cell growth and extracellular matrix formation. In scaffold-based tissue engineering, 3D printing (3DP) technology has been successfully applied for the fabrication of complex 3D scaffolds by using both direct and indirect techniques. In principle, direct 3DP techniques rely on the straightforward utilization of the final scaffold materials during the actual scaffold fabrication process. In contrast, indirect 3DP techniques use a negative mold based on a scaffold design, to which the desired biomaterial is cast and then sacrificed to obtain the final scaffold. Such indirect 3DP techniques generally impose a solvent-based process for scaffold fabrication, resulting in a considerable increase in the fabrication time and poor mechanical properties. In addition, the internal architecture of the resulting scaffold is affected by the properties of the biomaterial solution. In this study, we propose an advanced indirect 3DP technique using projection-based micro-stereolithography and an injection molding system (IMS) in order to address these challenges. The scaffold was fabricated by a thermal molding process using IMS to overcome the limitation of the solvent-based molding process in indirect 3DP techniques. The results indicate that the thermal molding process using an IMS has achieved a substantial reduction in scaffold fabrication time and has also provided the scaffold with higher mechanical modulus and strength. In addition, cell adhesion and proliferation studies have indicated no significant difference in cell activity between the scaffolds prepared by solvent-based and thermal molding processes. (paper)

  19. Optimization of submerged arc welding process parameters using quasi-oppositional based Jaya algorithm

    International Nuclear Information System (INIS)

    Rao, R. Venkata; Rai, Dhiraj P.

    2017-01-01

    Submerged arc welding (SAW) is characterized as a multi-input process. Selection of optimum combination of process parameters of SAW process is a vital task in order to achieve high quality of weld and productivity. The objective of this work is to optimize the SAW process parameters using a simple optimization algorithm, which is fast, robust and convenient. Therefore, in this work a very recently proposed optimization algorithm named Jaya algorithm is applied to solve the optimization problems in SAW process. In addition, a modified version of Jaya algorithm with oppositional based learning, named “Quasi-oppositional based Jaya algorithm” (QO-Jaya) is proposed in order to improve the performance of the Jaya algorithm. Three optimization case studies are considered and the results obtained by Jaya algorithm and QO-Jaya algorithm are compared with the results obtained by well-known optimization algorithms such as Genetic algorithm (GA), Particle swarm optimization (PSO), Imperialist competitive algorithm (ICA) and Teaching learning based optimization (TLBO).

  20. Optimization of submerged arc welding process parameters using quasi-oppositional based Jaya algorithm

    Energy Technology Data Exchange (ETDEWEB)

    Rao, R. Venkata; Rai, Dhiraj P. [Sardar Vallabhbhai National Institute of Technology, Gujarat (India)

    2017-05-15

    Submerged arc welding (SAW) is characterized as a multi-input process. Selection of optimum combination of process parameters of SAW process is a vital task in order to achieve high quality of weld and productivity. The objective of this work is to optimize the SAW process parameters using a simple optimization algorithm, which is fast, robust and convenient. Therefore, in this work a very recently proposed optimization algorithm named Jaya algorithm is applied to solve the optimization problems in SAW process. In addition, a modified version of Jaya algorithm with oppositional based learning, named “Quasi-oppositional based Jaya algorithm” (QO-Jaya) is proposed in order to improve the performance of the Jaya algorithm. Three optimization case studies are considered and the results obtained by Jaya algorithm and QO-Jaya algorithm are compared with the results obtained by well-known optimization algorithms such as Genetic algorithm (GA), Particle swarm optimization (PSO), Imperialist competitive algorithm (ICA) and Teaching learning based optimization (TLBO).

  1. Characterization of Ti and Co based biomaterials processed via laser based additive manufacturing

    Science.gov (United States)

    Sahasrabudhe, Himanshu

    Titanium and Cobalt based metallic materials are currently the most ideal materials for load-bearing metallic bio medical applications. However, the long term tribological degradation of these materials still remains a problem that needs a solution. To improve the tribological performance of these two metallic systems, three different research approaches were adapted, stemming out four different research projects. First, the simplicity of laser gas nitriding was utilized with a modern LENS(TM) technology to form an in situ nitride rich later in titanium substrate material. This nitride rich composite coating improved the hardness by as much as fifteen times and reduced the wear rate by more than a magnitude. The leaching of metallic ions during wear was also reduced by four times. In the second research project, a mixture of titanium and silicon were processed on a titanium substrate in a nitrogen rich environment. The results of this reactive, in situ additive manufacturing process were Ti-Si-Nitride coatings that were harder than the titanium substrate by more than twenty times. These coatings also reduced the wear rate by more than two magnitudes. In the third research approach, composites of CoCrMo alloy and Calcium phosphate (CaP) bio ceramic were processed using LENS(TM) based additive manufacturing. These composites were effective in reducing the wear in the CoCrMo alloy by more than three times as well as reduce the leaching of cobalt and chromium ions during wear. The novel composite materials were found to develop a tribofilm during wear. In the final project, a combination of hard nitride coating and addition of CaP bioceramic was investigated by processing a mixture of Ti6Al4V alloy and CaP in a nitrogen rich environment using the LENS(TM) technology. The resultant Ti64-CaP-Nitride coatings significantly reduced the wear damage on the substrate. There was also a drastic reduction in the metal ions leached during wear. The results indicate that the three

  2. Process-based models are required to manage ecological systems in a changing world

    Science.gov (United States)

    K. Cuddington; M.-J. Fortin; L.R. Gerber; A. Hastings; A. Liebhold; M. OConnor; C. Ray

    2013-01-01

    Several modeling approaches can be used to guide management decisions. However, some approaches are better fitted than others to address the problem of prediction under global change. Process-based models, which are based on a theoretical understanding of relevant ecological processes, provide a useful framework to incorporate specific responses to altered...

  3. Turn-based evolution in a simplified model of artistic creative process

    DEFF Research Database (Denmark)

    Dahlstedt, Palle

    2015-01-01

    Evolutionary computation has often been presented as a possible model for creativity in computers. In this paper, evolution is discussed in the light of a theoretical model of human artistic process, recently presented by the author. Some crucial differences between human artistic creativity......, and the results of initial experiments are presented and discussed. Artistic creativity is here modeled as an iterated turn-based process, alternating between a conceptual representation and a material representation of the work-to-be. Evolutionary computation is proposed as a heuristic solution to the principal...... and natural evolution are observed and discussed, also in the light of other creative processes occurring in nature. As a tractable way to overcome these limitations, a new kind of evolutionary implementation of creativity is proposed, based on a simplified version of the previously presented model...

  4. Generalization bounds of ERM-based learning processes for continuous-time Markov chains.

    Science.gov (United States)

    Zhang, Chao; Tao, Dacheng

    2012-12-01

    Many existing results on statistical learning theory are based on the assumption that samples are independently and identically distributed (i.i.d.). However, the assumption of i.i.d. samples is not suitable for practical application to problems in which samples are time dependent. In this paper, we are mainly concerned with the empirical risk minimization (ERM) based learning process for time-dependent samples drawn from a continuous-time Markov chain. This learning process covers many kinds of practical applications, e.g., the prediction for a time series and the estimation of channel state information. Thus, it is significant to study its theoretical properties including the generalization bound, the asymptotic convergence, and the rate of convergence. It is noteworthy that, since samples are time dependent in this learning process, the concerns of this paper cannot (at least straightforwardly) be addressed by existing methods developed under the sample i.i.d. assumption. We first develop a deviation inequality for a sequence of time-dependent samples drawn from a continuous-time Markov chain and present a symmetrization inequality for such a sequence. By using the resultant deviation inequality and symmetrization inequality, we then obtain the generalization bounds of the ERM-based learning process for time-dependent samples drawn from a continuous-time Markov chain. Finally, based on the resultant generalization bounds, we analyze the asymptotic convergence and the rate of convergence of the learning process.

  5. Knowledge Base Applications to Adaptive Space-Time Processing, Volume 5: Knowledge-Based Tracker Rule Book

    National Research Council Canada - National Science Library

    Morgan, Charles

    2001-01-01

    ... processing algorithm can be applied. The proactive knowledge-based tracker uses information from other sources such as digital terrain maps, radar clutter and interference maps, and target priority assessments to determine the nature...

  6. A template-based approach for responsibility management in executable business processes

    Science.gov (United States)

    Cabanillas, Cristina; Resinas, Manuel; Ruiz-Cortés, Antonio

    2018-05-01

    Process-oriented organisations need to manage the different types of responsibilities their employees may have w.r.t. the activities involved in their business processes. Despite several approaches provide support for responsibility modelling, in current Business Process Management Systems (BPMS) the only responsibility considered at runtime is the one related to performing the work required for activity completion. Others like accountability or consultation must be implemented by manually adding activities in the executable process model, which is time-consuming and error-prone. In this paper, we address this limitation by enabling current BPMS to execute processes in which people with different responsibilities interact to complete the activities. We introduce a metamodel based on Responsibility Assignment Matrices (RAM) to model the responsibility assignment for each activity, and a flexible template-based mechanism that automatically transforms such information into BPMN elements, which can be interpreted and executed by a BPMS. Thus, our approach does not enforce any specific behaviour for the different responsibilities but new templates can be modelled to specify the interaction that best suits the activity requirements. Furthermore, libraries of templates can be created and reused in different processes. We provide a reference implementation and build a library of templates for a well-known set of responsibilities.

  7. Dependent Neyman type A processes based on common shock Poisson approach

    Science.gov (United States)

    Kadilar, Gamze Özel; Kadilar, Cem

    2016-04-01

    The Neyman type A process is used for describing clustered data since the Poisson process is insufficient for clustering of events. In a multivariate setting, there may be dependencies between multivarite Neyman type A processes. In this study, dependent form of the Neyman type A process is considered under common shock approach. Then, the joint probability function are derived for the dependent Neyman type A Poisson processes. Then, an application based on forest fires in Turkey are given. The results show that the joint probability function of the dependent Neyman type A processes, which is obtained in this study, can be a good tool for the probabilistic fitness for the total number of burned trees in Turkey.

  8. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  9. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  10. A first packet processing subdomain cluster model based on SDN

    Science.gov (United States)

    Chen, Mingyong; Wu, Weimin

    2017-08-01

    For the current controller cluster packet processing performance bottlenecks and controller downtime problems. An SDN controller is proposed to allocate the priority of each device in the SDN (Software Defined Network) network, and the domain contains several network devices and Controller, the controller is responsible for managing the network equipment within the domain, the switch performs data delivery based on the load of the controller, processing network equipment data. The experimental results show that the model can effectively solve the risk of single point failure of the controller, and can solve the performance bottleneck of the first packet processing.

  11. Fabrication and characterization of an electrostatic contraction beams micromotor

    NARCIS (Netherlands)

    Sarajlic, Edin; Berenschot, Johan W.; Tas, Niels Roelof; Fujita, H.; Krijnen, Gijsbertus J.M.; Elwenspoek, Michael Curt

    2006-01-01

    We report on fabrication and experimental characterization of an electrostatic contraction beams motor that exhibits both reliable operation and high performance haracteristics. This electrostatic linear stepper micromotor is fabricated in a single polysilicon layer combining vertical trench

  12. Beyond Depression: Towards a Process-Based Approach to Research, Diagnosis, and Treatment

    Science.gov (United States)

    Forgeard, Marie J. C.; Haigh, Emily A. P.; Beck, Aaron T.; Davidson, Richard J.; Henn, Fritz A.; Maier, Steven F.; Mayberg, Helen S.; Seligman, Martin E. P.

    2012-01-01

    Despite decades of research on the etiology and treatment of depression, a significant proportion of the population is affected by the disorder, fails to respond to treatment and is plagued by relapse. Six prominent scientists, Aaron Beck, Richard Davidson, Fritz Henn, Steven Maier, Helen Mayberg, and Martin Seligman, gathered to discuss the current state of scientific knowledge on depression, and in particular on the basic neurobiological and psychopathological processes at play in the disorder. These general themes were addressed: 1) the relevance of learned helplessness as a basic process involved in the development of depression; 2) the limitations of our current taxonomy of psychological disorders; 3) the need to work towards a psychobiological process-based taxonomy; and 4) the clinical implications of implementing such a process-based taxonomy. PMID:22509072

  13. Improving the Aircraft Design Process Using Web-based Modeling and Simulation

    Science.gov (United States)

    Reed, John A.; Follen, Gregory J.; Afjeh, Abdollah A.

    2003-01-01

    Designing and developing new aircraft systems is time-consuming and expensive. Computational simulation is a promising means for reducing design cycle times, but requires a flexible software environment capable of integrating advanced multidisciplinary and muitifidelity analysis methods, dynamically managing data across heterogeneous computing platforms, and distributing computationally complex tasks. Web-based simulation, with its emphasis on collaborative composition of simulation models, distributed heterogeneous execution, and dynamic multimedia documentation, has the potential to meet these requirements. This paper outlines the current aircraft design process, highlighting its problems and complexities, and presents our vision of an aircraft design process using Web-based modeling and simulation.

  14. Fibonacci-based hardware post-processing for non-autonomous signum hyperchaotic system

    KAUST Repository

    Mansingka, Abhinav S.; Barakat, Mohamed L.; Zidan, Mohammed A.; Radwan, Ahmed Gomaa; Salama, Khaled N.

    2013-01-01

    This paper presents a hardware implementation of a robust non-autonomous hyperchaotic-based PRNG driven by a 256-bit LFSR. The original chaotic output is post-processed using a novel technique based on the Fibonacci series, bitwise XOR, rotation, and feedback. The proposed post-processing technique preserves the throughput of the system and enhances the randomness in the output which is verified by successfully passing all NIST SP. 800-22 tests. The system is realized on a Xilinx Virtex 4 FPGA achieving throughput up to 13.165 Gbits/s for 16-bit bus-width surpassing previously reported CB-PRNGs. © 2013 IEEE.

  15. Fibonacci-based hardware post-processing for non-autonomous signum hyperchaotic system

    KAUST Repository

    Mansingka, Abhinav S.

    2013-12-01

    This paper presents a hardware implementation of a robust non-autonomous hyperchaotic-based PRNG driven by a 256-bit LFSR. The original chaotic output is post-processed using a novel technique based on the Fibonacci series, bitwise XOR, rotation, and feedback. The proposed post-processing technique preserves the throughput of the system and enhances the randomness in the output which is verified by successfully passing all NIST SP. 800-22 tests. The system is realized on a Xilinx Virtex 4 FPGA achieving throughput up to 13.165 Gbits/s for 16-bit bus-width surpassing previously reported CB-PRNGs. © 2013 IEEE.

  16. Arts-based Research Processes in ECEC: Examples from Preparing and Conducting a Data Collection

    Directory of Open Access Journals (Sweden)

    Torill Vist

    2016-08-01

    Full Text Available In this methodological article, different concepts and possibilities related to how arts-based research processes can contribute in the early phases of ECEC research will be presented and discussed. Despite a setback of art subjects in Norwegian ECEC and early childhood teacher’s education, the field of arts still plays an important role, and is expected to be research-based. Thus, there should be a need for an aesthetical and arts-based dimension in researching ECEC, not only in the subject matter, but also in the method, context, outcome and dissemination. The article focuses on methodological issues in the question development/design phase and the data collection phase, exemplified by the author’s own experiences in arts-based research processes. These processes include participation in dance and music performance as thinking or reflection tools in research, and an arts-based interview method. Some narrative writing processes will also be commented upon. Theoretically, the article primarily leans upon Barone and Eisner’s arts-based research and Irwin and Springgay’s a/r/tography.

  17. Fault detection in nonlinear chemical processes based on kernel entropy component analysis and angular structure

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Qingchao; Yan, Xuefeng; Lv, Zhaomin; Guo, Meijin [East China University of Science and Technology, Shanghai (China)

    2013-06-15

    Considering that kernel entropy component analysis (KECA) is a promising new method of nonlinear data transformation and dimensionality reduction, a KECA based method is proposed for nonlinear chemical process monitoring. In this method, an angle-based statistic is designed because KECA reveals structure related to the Renyi entropy of input space data set, and the transformed data sets are produced with a distinct angle-based structure. Based on the angle difference between normal status and current sample data, the current status can be monitored effectively. And, the confidence limit of the angle-based statistics is determined by kernel density estimation based on sample data of the normal status. The effectiveness of the proposed method is demonstrated by case studies on both a numerical process and a simulated continuous stirred tank reactor (CSTR) process. The KECA based method can be an effective method for nonlinear chemical process monitoring.

  18. Fault detection in nonlinear chemical processes based on kernel entropy component analysis and angular structure

    International Nuclear Information System (INIS)

    Jiang, Qingchao; Yan, Xuefeng; Lv, Zhaomin; Guo, Meijin

    2013-01-01

    Considering that kernel entropy component analysis (KECA) is a promising new method of nonlinear data transformation and dimensionality reduction, a KECA based method is proposed for nonlinear chemical process monitoring. In this method, an angle-based statistic is designed because KECA reveals structure related to the Renyi entropy of input space data set, and the transformed data sets are produced with a distinct angle-based structure. Based on the angle difference between normal status and current sample data, the current status can be monitored effectively. And, the confidence limit of the angle-based statistics is determined by kernel density estimation based on sample data of the normal status. The effectiveness of the proposed method is demonstrated by case studies on both a numerical process and a simulated continuous stirred tank reactor (CSTR) process. The KECA based method can be an effective method for nonlinear chemical process monitoring

  19. AUTOMATION DESIGN FOR MONORAIL - BASED SYSTEM PROCESSES

    Directory of Open Access Journals (Sweden)

    Bunda BESA

    2016-12-01

    Full Text Available Currently, conventional methods of decline development put enormous cost pressure on the profitability of mining operations. This is the case with narrow vein ore bodies where current methods and mine design of decline development may be too expensive to support economic extraction of the ore. According to studies, the time it takes to drill, clean and blast an end in conventional decline development can be up to 224 minutes. This is because once an end is blasted, cleaning should first be completed before drilling can commence, resulting in low advance rates per shift. Improvements in advance rates during decline development can be achieved by application of the Electric Monorail Transport System (EMTS based drilling system. The system consists of the drilling and loading components that use monorail technology to drill and clean the face during decline development. The two systems work simultaneously at the face in such a way that as the top part of the face is being drilled the pneumatic loading system cleans the face. However, to improve the efficiency of the two systems, critical processes performed by the two systems during mining operations must be automated. Automation increases safety and productivity, reduces operator fatigue and also reduces the labour costs of the system. The aim of this paper is, therefore, to describe automation designs of the two processes performed by the monorail drilling and loading systems during operations. During automation design, critical processes performed by the two systems and control requirements necessary to allow the two systems execute such processes automatically have also been identified.

  20. Process for the production of a dianhydrohexitol based polyester

    NARCIS (Netherlands)

    2008-01-01

    Process for the production of a polyester by the polycondensation of a mixture comprising isoidide, and a dicarboxylic acid or dicarboxylic acid anhydride, wherein the reaction is performed in the melt of the monomers and wherein these monomers are not activated. The polyesters based on one or more

  1. Automics: an integrated platform for NMR-based metabonomics spectral processing and data analysis

    Directory of Open Access Journals (Sweden)

    Qu Lijia

    2009-03-01

    Full Text Available Abstract Background Spectral processing and post-experimental data analysis are the major tasks in NMR-based metabonomics studies. While there are commercial and free licensed software tools available to assist these tasks, researchers usually have to use multiple software packages for their studies because software packages generally focus on specific tasks. It would be beneficial to have a highly integrated platform, in which these tasks can be completed within one package. Moreover, with open source architecture, newly proposed algorithms or methods for spectral processing and data analysis can be implemented much more easily and accessed freely by the public. Results In this paper, we report an open source software tool, Automics, which is specifically designed for NMR-based metabonomics studies. Automics is a highly integrated platform that provides functions covering almost all the stages of NMR-based metabonomics studies. Automics provides high throughput automatic modules with most recently proposed algorithms and powerful manual modules for 1D NMR spectral processing. In addition to spectral processing functions, powerful features for data organization, data pre-processing, and data analysis have been implemented. Nine statistical methods can be applied to analyses including: feature selection (Fisher's criterion, data reduction (PCA, LDA, ULDA, unsupervised clustering (K-Mean and supervised regression and classification (PLS/PLS-DA, KNN, SIMCA, SVM. Moreover, Automics has a user-friendly graphical interface for visualizing NMR spectra and data analysis results. The functional ability of Automics is demonstrated with an analysis of a type 2 diabetes metabolic profile. Conclusion Automics facilitates high throughput 1D NMR spectral processing and high dimensional data analysis for NMR-based metabonomics applications. Using Automics, users can complete spectral processing and data analysis within one software package in most cases

  2. Automics: an integrated platform for NMR-based metabonomics spectral processing and data analysis.

    Science.gov (United States)

    Wang, Tao; Shao, Kang; Chu, Qinying; Ren, Yanfei; Mu, Yiming; Qu, Lijia; He, Jie; Jin, Changwen; Xia, Bin

    2009-03-16

    Spectral processing and post-experimental data analysis are the major tasks in NMR-based metabonomics studies. While there are commercial and free licensed software tools available to assist these tasks, researchers usually have to use multiple software packages for their studies because software packages generally focus on specific tasks. It would be beneficial to have a highly integrated platform, in which these tasks can be completed within one package. Moreover, with open source architecture, newly proposed algorithms or methods for spectral processing and data analysis can be implemented much more easily and accessed freely by the public. In this paper, we report an open source software tool, Automics, which is specifically designed for NMR-based metabonomics studies. Automics is a highly integrated platform that provides functions covering almost all the stages of NMR-based metabonomics studies. Automics provides high throughput automatic modules with most recently proposed algorithms and powerful manual modules for 1D NMR spectral processing. In addition to spectral processing functions, powerful features for data organization, data pre-processing, and data analysis have been implemented. Nine statistical methods can be applied to analyses including: feature selection (Fisher's criterion), data reduction (PCA, LDA, ULDA), unsupervised clustering (K-Mean) and supervised regression and classification (PLS/PLS-DA, KNN, SIMCA, SVM). Moreover, Automics has a user-friendly graphical interface for visualizing NMR spectra and data analysis results. The functional ability of Automics is demonstrated with an analysis of a type 2 diabetes metabolic profile. Automics facilitates high throughput 1D NMR spectral processing and high dimensional data analysis for NMR-based metabonomics applications. Using Automics, users can complete spectral processing and data analysis within one software package in most cases. Moreover, with its open source architecture, interested

  3. The Problem-Based Learning Process: Reflections of Pre-Service Elementary School Teachers

    Science.gov (United States)

    Baysal, Zeliha Nurdan

    2017-01-01

    This study aims to identify the benefits acquired by third-year pre-service elementary school teachers participating in a problem-based learning process in social studies education, the issues they encountered in that process and those they are likely to encounter, and their feelings about the process. Semi-structured interviews were used as one…

  4. Intense high-frequency gyrotron-based microwave beams for material processing

    Energy Technology Data Exchange (ETDEWEB)

    Hardek, T.W.; Cooke, W.D.; Katz, J.D.; Perry, W.L.; Rees, D.E.

    1997-03-01

    Microwave processing of materials has traditionally utilized frequencies in the 0.915 and 2.45 GHz regions. Microwave power sources are readily available at these frequencies but the relatively long wavelengths can present challenges in uniformly heating materials. An additional difficulty is the poor coupling of ceramic based materials to the microwave energy. Los Alamos National Laboratory scientists, working in conjunction with the National Center for Manufacturing Sciences (NCMS), have assembled a high-frequency demonstration processing facility utilizing gyrotron based RF sources. The facility is primarily intended to demonstrate the unique features available at frequencies as high as 84 GHz. The authors can readily provide quasi-optical, 37 GHz beams at continuous wave (CW) power levels in the 10 kW range. They have also provided beams at 84 GHz at 10 kW CW power levels. They are presently preparing a facility to demonstrate the sintering of ceramics at 30 GHz. This paper presents an overview of the present demonstration processing facility and describes some of the features they have available now and will have available in the near future.

  5. An Asynchronous Circuit Design Technique for a Flexible 8-Bit Microprocessor

    Science.gov (United States)

    Karaki, Nobuo; Nanmoto, Takashi; Inoue, Satoshi

    This paper presents an asynchronous design technique, an enabler for the emerging technology of flexible microelectronics that feature low-temperature processed polysilicon (LTPS) thin-film transistors (TFT) and surface-free technology by laser annealing/ablation (SUFTLA®). The first design instance chosen is an 8-bit microprocessor. LTPS TFTs are good for realizing displays having integrated VLSI circuit at lower costs. However, LTPS TFTs have drawbacks, including substantial deviations in characteristics and the self-heating phenomenon. To solve these problems, the authors adopted the asynchronous circuit design technique and developed an asynchronous design language called Verilog+, which is based on a subset of Verilog HDL® and includes minimal primitives used for describing the communications between modules, and the dedicated tools including a translator called xlator and a synthesizer called ctrlsyn. The flexible 8-bit microprocessor stably operates at 500kHz, drawing 180μA from a 5V power source. The microprocessor's electromagnetic emissions are 21dB less than those of the synchronous counterpart.

  6. Optimizing Location of Bulk Metallic Minerals Processing Based on Greenhouse Gas Avoidance

    Directory of Open Access Journals (Sweden)

    Benjamin C. McLellan

    2011-12-01

    Full Text Available The bulk minerals iron ore and bauxite cause significant greenhouse emissions in their processing to steel and aluminum respectively. The level of these emissions is highly dependent on the source of electrical and thermal energy. However, they also cause significant greenhouse gas emissions from their transportation across the globe for processing. This study examines these minerals from the perspective of greenhouse gas avoidance, examining the location of processing as an option for reducing transportation-based and process-based emissions. The analysis proposes a “radius of reduction” to define the potential for transporting ore to reduce emissions by offshore processing. Overall scenarios for localized steel production indicate potential for 85% reduction of transport emissions in the steel industry and 14% of overall industry emissions. Local high-carbon electricity grids and inefficient production mean that the benefit of reduced transportation is partially counteracted by increased processing emissions. The transportation of all global bauxite to Norway and other nations with low-emissions electricity for production of aluminum could result in an overall reduction of industry emissions of up to 44%.

  7. Removal of inhibitors from pre-hydrolysis liquor of kraft-based dissolving pulp production process using adsorption and flocculation processes.

    Science.gov (United States)

    Liu, Xin; Fatehi, Pedram; Ni, Yonghao

    2012-07-01

    A process for removing inhibitors from pre-hydrolysis liquor (PHL) of a kraft-based dissolving pulp production process by adsorption and flocculation, and the characteristics of this process were studied. In this process, industrially produced PHL was treated with unmodified and oxidized activated carbon as an absorbent and polydiallyldimethylammonium chloride (PDADMAC) as a flocculant. The overall removal of lignin and furfural in the developed process was 83.3% and 100%, respectively, while that of hemicelluloses was 32.7%. These results confirmed that the developed process can remove inhibitors from PHL prior to producing value-added products, e.g. ethanol and xylitol via fermentation. Copyright © 2012 Elsevier Ltd. All rights reserved.

  8. Optimization of Power Consumption for Centrifugation Process Based on Attenuation Measurements

    Science.gov (United States)

    Salim, M. S.; Abd Malek, M. F.; Sabri, Naseer; Omar, M. Iqbal bin; Mohamed, Latifah; Juni, K. M.

    2013-04-01

    The main objective of this research is to produce a mathematical model that allows decreasing the electrical power consumption of centrifugation process based on attenuation measurements. The centrifugation time for desired separation efficiency may be measured to determine the power consumed of laboratory centrifuge device. The power consumption is one of several parameters that affect the system reliability and productivity. Attenuation measurements of wave propagated through blood sample during centrifugation process were used indirectly to measure the power consumption of device. A mathematical model for power consumption was derived and used to modify the speed profile of centrifuge controller. The power consumption model derived based on attenuation measurements has successfully save the power consumption of centrifugation process keeping high separation efficiency. 18kW.h monthly for 100 daily time device operation had been saved using the proposed model.

  9. Optimization of Power Consumption for Centrifugation Process Based on Attenuation Measurements

    International Nuclear Information System (INIS)

    Salim, M S; Iqbal bin Omar, M; Malek, M F Abd; Mohamed, Latifah; Sabri, Naseer; Juni, K M

    2013-01-01

    The main objective of this research is to produce a mathematical model that allows decreasing the electrical power consumption of centrifugation process based on attenuation measurements. The centrifugation time for desired separation efficiency may be measured to determine the power consumed of laboratory centrifuge device. The power consumption is one of several parameters that affect the system reliability and productivity. Attenuation measurements of wave propagated through blood sample during centrifugation process were used indirectly to measure the power consumption of device. A mathematical model for power consumption was derived and used to modify the speed profile of centrifuge controller. The power consumption model derived based on attenuation measurements has successfully save the power consumption of centrifugation process keeping high separation efficiency. 18kW.h monthly for 100 daily time device operation had been saved using the proposed model.

  10. Process of welding gamma prime-strengthened nickel-base superalloys

    Science.gov (United States)

    Speigel, Lyle B.; White, Raymond Alan; Murphy, John Thomas; Nowak, Daniel Anthony

    2003-11-25

    A process for welding superalloys, and particularly articles formed of gamma prime-strengthened nickel-base superalloys whose chemistries and/or microstructures differ. The process entails forming the faying surface of at least one of the articles to have a cladding layer of a filler material. The filler material may have a composition that is different from both of the articles, or the same as one of the articles. The cladding layer is machined to promote mating of the faying surfaces, after which the faying surfaces are mated and the articles welded together. After cooling, the welded assembly is free of thermally-induced cracks.

  11. A model-data based systems approach to process intensification

    DEFF Research Database (Denmark)

    Gani, Rafiqul

    . Their developments, however, are largely due to experiment based trial and error approaches and while they do not require validation, they can be time consuming and resource intensive. Also, one may ask, can a truly new intensified unit operation be obtained in this way? An alternative two-stage approach is to apply...... a model-based synthesis method to systematically generate and evaluate alternatives in the first stage and an experiment-model based validation in the second stage. In this way, the search for alternatives is done very quickly, reliably and systematically over a wide range, while resources are preserved...... for focused validation of only the promising candidates in the second-stage. This approach, however, would be limited to intensification based on “known” unit operations, unless the PI process synthesis/design is considered at a lower level of aggregation, namely the phenomena level. That is, the model-based...

  12. Enhancing Ground Based Telescope Performance with Image Processing

    Science.gov (United States)

    2013-11-13

    called the hybrid diversity algorithm ( HDA ) that is based on the Gerchberg-Saxton algorithm with another process to perform phase-unwraping [36, 45...47]. The HDA requires phase diversity similar to the LM least squares method used for characterizing the HST [32]. The problem of generating...addition, the new phase retrieval algorithm proposed in this chapter has the advantage over NASA’s hybrid diversity algorithm ( HDA ) planned for use on JWST

  13. Simulation based optimization on automated fibre placement process

    Science.gov (United States)

    Lei, Shi

    2018-02-01

    In this paper, a software simulation (Autodesk TruPlan & TruFiber) based method is proposed to optimize the automate fibre placement (AFP) process. Different types of manufacturability analysis are introduced to predict potential defects. Advanced fibre path generation algorithms are compared with respect to geometrically different parts. Major manufacturing data have been taken into consideration prior to the tool paths generation to achieve high success rate of manufacturing.

  14. Production and 3D printing processing of bio-based thermoplastic filament

    OpenAIRE

    Gkartzou, Eleni; Koumoulos, Elias P.; Charitidis, Costas A.

    2017-01-01

    In this work, an extrusion-based 3D printing technique was employed for processing of biobased blends of Poly(Lactic Acid) (PLA) with low-cost kraft lignin. In Fused Filament Fabrication (FFF) 3D printing process, objects are built in a layer-by-layer fashion by melting, extruding and selectively depositing thermoplastic fibers on a platform. These fibers are used as building blocks for more complex structures with defined microarchitecture, in an automated, cost-effective process, with minim...

  15. Elements for successful sensor-based process control {Integrated Metrology}

    International Nuclear Information System (INIS)

    Butler, Stephanie Watts

    1998-01-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended

  16. Elements for successful sensor-based process control {Integrated Metrology}

    Science.gov (United States)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  17. Consensual decision-making model based on game theory for LNG processes

    International Nuclear Information System (INIS)

    Castillo, Luis; Dorao, Carlos A.

    2012-01-01

    Highlights: ► A Decision Making (DM) approach for LNG projects based on game theory is presented. ► DM framework was tested with two different cases, using analytical models and a simple LNG process. ► The problems were solved by using a Genetic Algorithm (GA) binary coding and Nash-GA. ► Integrated models from the design and optimization of the process could result in more realistic outcome. ► The major challenge in such a framework is related to the uncertainties in the market models. - Abstract: Decision-Making (DM) in LNG projects is a quite complex process due to the number of actors, approval phases, large investments and capital return in the long time. Furthermore, due to the very high investment of a LNG project, a detailed and efficient DM process is required in order to minimize risks. In this work a Decision-Making (DM) approach for LNG projects is presented. The approach is based on a consensus algorithm to address the consensus output over a common value using cost functions within a framework based on game theory. The DM framework was tested with two different cases. The first case was used for evaluating the performance of the framework with analytical models, while the second case corresponds to a simple LNG process. The problems were solved by using a Genetic Algorithm (GA) binary coding and Nash-GA. The results of the DM framework in the LNG project indicate that considering an integrated DM model and including the markets role from the design and optimization of the process more realistic outcome could be obtained. However, the major challenge in such a framework is related to the uncertainties in the market models.

  18. High voltage MOSFET devices and methods of making the devices

    Science.gov (United States)

    Banerjee, Sujit; Matocha, Kevin; Chatty, Kiran

    2018-06-05

    A SiC MOSFET device having low specific on resistance is described. The device has N+, P-well and JFET regions extended in one direction (Y-direction) and P+ and source contacts extended in an orthogonal direction (X-direction). The polysilicon gate of the device covers the JFET region and is terminated over the P-well region to minimize electric field at the polysilicon gate edge. In use, current flows vertically from the drain contact at the bottom of the structure into the JFET region and then laterally in the X direction through the accumulation region and through the MOSFET channels into the adjacent N+ region. The current flowing out of the channel then flows along the N+ region in the Y-direction and is collected by the source contacts and the final metal. Methods of making the device are also described.

  19. High voltage MOSFET devices and methods of making the devices

    Science.gov (United States)

    Banerjee, Sujit; Matocha, Kevin; Chatty, Kiran

    2015-12-15

    A SiC MOSFET device having low specific on resistance is described. The device has N+, P-well and JFET regions extended in one direction (Y-direction) and P+ and source contacts extended in an orthogonal direction (X-direction). The polysilicon gate of the device covers the JFET region and is terminated over the P-well region to minimize electric field at the polysilicon gate edge. In use, current flows vertically from the drain contact at the bottom of the structure into the JFET region and then laterally in the X direction through the accumulation region and through the MOSFET channels into the adjacent N+ region. The current flowing out of the channel then flows along the N+ region in the Y-direction and is collected by the source contacts and the final metal. Methods of making the device are also described.

  20. Prioritization of pavement maintenance sections using objective based Analytic Hierarchy Process

    Directory of Open Access Journals (Sweden)

    Sarfaraz Ahmed

    2017-03-01

    Full Text Available The application of Analytic Hierarchy Process (AHP method for the prioritization of pavement maintenance sections is widespread now-a-days. Although the evaluation of pavement maintenance section through AHP method is simple, where the relative importance (on Saaty’s scale assigned to each parameter in the hierarchy varies between the experts (transportation professionals consulted, which leads to discrepancies in the final rankings of the sections’, due to the subjectivity in the process. Further, experts base their decisions solely on their experience while consideration is not given to the actual quantitative physical condition of the roads. To overcome these difficulties an objective based AHP method is proposed in this study, where pairwise comparison values are assigned based on the collected field data from a road network in Mumbai city, consisting of 28 road sections. The final ranking list of candidate sections takes into consideration the priority weight of alternatives, which reflect the road conditions. The solution of priority ratings of AHP method is compared with the corresponding solution of road condition index method, a traditional pavement maintenance procedure. The findings of the present study suggest that objective based AHP method is more suitable for the prioritization of pavement maintenance of roads. Keywords: Prioritization, Analytic Hierarchy Process, Road condition index, Objective method, Rating and ranking

  1. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  2. Open Source Web Based Geospatial Processing with OMAR

    Directory of Open Access Journals (Sweden)

    Mark Lucas

    2009-01-01

    Full Text Available The availability of geospatial data sets is exploding. New satellites, aerial platforms, video feeds, global positioning system tagged digital photos, and traditional GIS information are dramatically increasing across the globe. These raw materials need to be dynamically processed, combined and correlated to generate value added information products to answer a wide range of questions. This article provides an overview of OMAR web based geospatial processing. OMAR is part of the Open Source Software Image Map project under the Open Source Geospatial Foundation. The primary contributors of OSSIM make their livings by providing professional services to US Government agencies and programs. OMAR provides one example that open source software solutions are increasingly being deployed in US government agencies. We will also summarize the capabilities of OMAR and its plans for near term development.

  3. High-impact strength acrylic denture base material processed by autoclave.

    Science.gov (United States)

    Abdulwahhab, Salwan Sami

    2013-10-01

    To investigate the effect of two different cycles of autoclave processing on the transverse strength, impact strength, surface hardness and the porosity of high-impact strength acrylic denture base material. High Impact Acryl was the heat-cured acrylic denture base material included in the study. A total of 120 specimens were prepared, the specimens were grouped into: control groups in which high-impact strength acrylic resins processed by conventional water-bath processing technique (74°C for 1.5 h then boil for 30 min) and experimental groups in which high-impact strength acrylic resins processed by autoclave at 121°C, 210 kPa .The experimental groups were divided into (fast) groups for 15 min, and (slow) groups for 30 min. To study the effect of the autoclave processing (Tuttnauer 2540EA), four tests were conducted transverse strength (Instron universal testing machine), impact strength (Charpy tester), surface hardness (shore D), and porosity test. The results were analyzed to ANOVA and LSD test. In ANOVA test, there were highly significant differences between the results of the processing techniques in transverse, impact, hardness, and porosity test. The LSD test showed a significant difference between control and fast groups in transverse and hardness tests and a non-significant difference in impact test and a highly significant difference in porosity test; while, there were a highly significant differences between control and slow groups in all examined tests; finally, there were a non-significant difference between fast and slow groups in transverse and porosity tests and a highly significant difference in impact and hardness tests. In the autoclave processing technique, the slow (long) curing cycle improved the tested physical and mechanical properties as compared with the fast (short) curing cycle. The autoclave processing technique improved the tested physical and mechanical properties of High Impact Acryl. Copyright © 2013 Japan Prosthodontic Society

  4. Preferences based Control Design of Complex Fed-batch Cultivation Process

    Directory of Open Access Journals (Sweden)

    Yuri Pavlov

    2009-08-01

    Full Text Available In the paper is presented preferences based control design and stabilization of the growth rate of fed-batch cultivation processes. The control is based on an enlarged Wang-Monod-Yerusalimsky kinetic model. Expected utility theory is one of the approaches for utilization of conceptual information (expert preferences. In the article is discussed utilization of stochastic machine learning procedures for evaluation of expert utilities as criteria for optimization.

  5. Internet-based dimensional verification system for reverse engineering processes

    International Nuclear Information System (INIS)

    Song, In Ho; Kim, Kyung Don; Chung, Sung Chong

    2008-01-01

    This paper proposes a design methodology for a Web-based collaborative system applicable to reverse engineering processes in a distributed environment. By using the developed system, design reviewers of new products are able to confirm geometric shapes, inspect dimensional information of products through measured point data, and exchange views with other design reviewers on the Web. In addition, it is applicable to verifying accuracy of production processes by manufacturing engineers. Functional requirements for designing this Web-based dimensional verification system are described in this paper. ActiveX-server architecture and OpenGL plug-in methods using ActiveX controls realize the proposed system. In the developed system, visualization and dimensional inspection of the measured point data are done directly on the Web: conversion of the point data into a CAD file or a VRML form is unnecessary. Dimensional verification results and design modification ideas are uploaded to markups and/or XML files during collaboration processes. Collaborators review the markup results created by others to produce a good design result on the Web. The use of XML files allows information sharing on the Web to be independent of the platform of the developed system. It is possible to diversify the information sharing capability among design collaborators. Validity and effectiveness of the developed system has been confirmed by case studies

  6. Internet-based dimensional verification system for reverse engineering processes

    Energy Technology Data Exchange (ETDEWEB)

    Song, In Ho [Ajou University, Suwon (Korea, Republic of); Kim, Kyung Don [Small Business Corporation, Suwon (Korea, Republic of); Chung, Sung Chong [Hanyang University, Seoul (Korea, Republic of)

    2008-07-15

    This paper proposes a design methodology for a Web-based collaborative system applicable to reverse engineering processes in a distributed environment. By using the developed system, design reviewers of new products are able to confirm geometric shapes, inspect dimensional information of products through measured point data, and exchange views with other design reviewers on the Web. In addition, it is applicable to verifying accuracy of production processes by manufacturing engineers. Functional requirements for designing this Web-based dimensional verification system are described in this paper. ActiveX-server architecture and OpenGL plug-in methods using ActiveX controls realize the proposed system. In the developed system, visualization and dimensional inspection of the measured point data are done directly on the Web: conversion of the point data into a CAD file or a VRML form is unnecessary. Dimensional verification results and design modification ideas are uploaded to markups and/or XML files during collaboration processes. Collaborators review the markup results created by others to produce a good design result on the Web. The use of XML files allows information sharing on the Web to be independent of the platform of the developed system. It is possible to diversify the information sharing capability among design collaborators. Validity and effectiveness of the developed system has been confirmed by case studies

  7. A novel configurable VLSI architecture design of window-based image processing method

    Science.gov (United States)

    Zhao, Hui; Sang, Hongshi; Shen, Xubang

    2018-03-01

    Most window-based image processing architecture can only achieve a certain kind of specific algorithms, such as 2D convolution, and therefore lack the flexibility and breadth of application. In addition, improper handling of the image boundary can cause loss of accuracy, or consume more logic resources. For the above problems, this paper proposes a new VLSI architecture of window-based image processing operations, which is configurable and based on consideration of the image boundary. An efficient technique is explored to manage the image borders by overlapping and flushing phases at the end of row and the end of frame, which does not produce new delay and reduce the overhead in real-time applications. Maximize the reuse of the on-chip memory data, in order to reduce the hardware complexity and external bandwidth requirements. To perform different scalar function and reduction function operations in pipeline, this can support a variety of applications of window-based image processing. Compared with the performance of other reported structures, the performance of the new structure has some similarities to some of the structures, but also superior to some other structures. Especially when compared with a systolic array processor CWP, this structure at the same frequency of approximately 12.9% of the speed increases. The proposed parallel VLSI architecture was implemented with SIMC 0.18-μm CMOS technology, and the maximum clock frequency, power consumption, and area are 125Mhz, 57mW, 104.8K Gates, respectively, furthermore the processing time is independent of the different window-based algorithms mapped to the structure

  8. Strategies to Automatically Derive a Process Model from a Configurable Process Model Based on Event Data

    Directory of Open Access Journals (Sweden)

    Mauricio Arriagada-Benítez

    2017-10-01

    Full Text Available Configurable process models are frequently used to represent business workflows and other discrete event systems among different branches of large organizations: they unify commonalities shared by all branches and describe their differences, at the same time. The configuration of such models is usually done manually, which is challenging. On the one hand, when the number of configurable nodes in the configurable process model grows, the size of the search space increases exponentially. On the other hand, the person performing the configuration may lack the holistic perspective to make the right choice for all configurable nodes at the same time, since choices influence each other. Nowadays, information systems that support the execution of business processes create event data reflecting how processes are performed. In this article, we propose three strategies (based on exhaustive search, genetic algorithms and a greedy heuristic that use event data to automatically derive a process model from a configurable process model that better represents the characteristics of the process in a specific branch. These strategies have been implemented in our proposed framework and tested in both business-like event logs as recorded in a higher educational enterprise resource planning system and a real case scenario involving a set of Dutch municipalities.

  9. Degradation of imidacloprid using combined advanced oxidation processes based on hydrodynamic cavitation.

    Science.gov (United States)

    Patil, Pankaj N; Bote, Sayli D; Gogate, Parag R

    2014-09-01

    The harmful effects of wastewaters containing pesticides or insecticides on human and aquatic life impart the need of effectively treating the wastewater streams containing these contaminants. In the present work, hydrodynamic cavitation reactors have been applied for the degradation of imidacloprid with process intensification studies based on different additives and combination with other similar processes. Effect of different operating parameters viz. concentration (20-60 ppm), pressure (1-8 bar), temperature (34 °C, 39 °C and 42 °C) and initial pH (2.5-8.3) has been investigated initially using orifice plate as cavitating device. It has been observed that 23.85% degradation of imidacloprid is obtained at optimized set of operating parameters. The efficacy of different process intensifying approaches based on the use of hydrogen peroxide (20-80 ppm), Fenton's reagent (H2O2:FeSO4 ratio as 1:1, 1:2, 2:1, 2:2, 4:1 and 4:2), advanced Fenton process (H2O2:Iron Powder ratio as 1:1, 2:1 and 4:1) and combination of Na2S2O8 and FeSO4 (FeSO4:Na2S2O8 ratio as 1:1, 1:2, 1:3 and 1:4) on the extent of degradation has been investigated. It was observed that near complete degradation of imidacloprid was achieved in all the cases at optimized values of process intensifying parameters. The time required for complete degradation of imidacloprid for approach based on hydrogen peroxide was 120 min where as for the Fenton and advance Fenton process, the required time was only 60 min. To check the effectiveness of hydrodynamic cavitation with different cavitating devices, few experiments were also performed with the help of slit venturi as a cavitating device at already optimized values of parameters. The present work has conclusively established that combined processes based on hydrodynamic cavitation can be effectively used for complete degradation of imidacloprid. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. Observer-Based Perturbation Extremum Seeking Control with Input Constraints for Direct-Contact Membrane Distillation Process

    KAUST Repository

    Eleiwi, Fadi

    2017-05-08

    An Observer-based Perturbation Extremum Seeking Control (PESC) is proposed for a Direct-Contact Membrane Distillation (DCMD) process. The process is described with a dynamic model that is based on a 2D Advection-Diffusion Equation (ADE) model which has pump flow rates as process inputs. The objective of the controller is to optimize the trade-off between the permeate mass flux and the energy consumption by the pumps inside the process. Cases of single and multiple control inputs are considered through the use of only the feed pump flow rate or both the feed and the permeate pump flow rates. A nonlinear Lyapunov-based observer is designed to provide an estimation for the temperature distribution all over the designated domain of the DCMD process. Moreover, control inputs are constrained with an anti-windup technique to be within feasible and physical ranges. Performance of the proposed structure is analyzed, and simulations based on real DCMD process parameters for each control input are provided.

  11. Observer-based perturbation extremum seeking control with input constraints for direct-contact membrane distillation process

    Science.gov (United States)

    Eleiwi, Fadi; Laleg-Kirati, Taous Meriem

    2018-06-01

    An observer-based perturbation extremum seeking control is proposed for a direct-contact membrane distillation (DCMD) process. The process is described with a dynamic model that is based on a 2D advection-diffusion equation model which has pump flow rates as process inputs. The objective of the controller is to optimise the trade-off between the permeate mass flux and the energy consumption by the pumps inside the process. Cases of single and multiple control inputs are considered through the use of only the feed pump flow rate or both the feed and the permeate pump flow rates. A nonlinear Lyapunov-based observer is designed to provide an estimation for the temperature distribution all over the designated domain of the DCMD process. Moreover, control inputs are constrained with an anti-windup technique to be within feasible and physical ranges. Performance of the proposed structure is analysed, and simulations based on real DCMD process parameters for each control input are provided.

  12. Integration Processes of Delay Differential Equation Based on Modified Laguerre Functions

    Directory of Open Access Journals (Sweden)

    Yeguo Sun

    2012-01-01

    Full Text Available We propose long-time convergent numerical integration processes for delay differential equations. We first construct an integration process based on modified Laguerre functions. Then we establish its global convergence in certain weighted Sobolev space. The proposed numerical integration processes can also be used for systems of delay differential equations. We also developed a technique for refinement of modified Laguerre-Radau interpolations. Lastly, numerical results demonstrate the spectral accuracy of the proposed method and coincide well with analysis.

  13. Proportional reasoning as a heuristic-based process: time constraint and dual task considerations.

    Science.gov (United States)

    Gillard, Ellen; Van Dooren, Wim; Schaeken, Walter; Verschaffel, Lieven

    2009-01-01

    The present study interprets the overuse of proportional solution methods from a dual process framework. Dual process theories claim that analytic operations involve time-consuming executive processing, whereas heuristic operations are fast and automatic. In two experiments to test whether proportional reasoning is heuristic-based, the participants solved "proportional" problems, for which proportional solution methods provide correct answers, and "nonproportional" problems known to elicit incorrect answers based on the assumption of proportionality. In Experiment 1, the available solution time was restricted. In Experiment 2, the executive resources were burdened with a secondary task. Both manipulations induced an increase in proportional answers and a decrease in correct answers to nonproportional problems. These results support the hypothesis that the choice for proportional methods is heuristic-based.

  14. Web-Based Modelling and Collaborative Simulation of Declarative Processes

    DEFF Research Database (Denmark)

    Slaats, Tijs; Marquard, Morten; Shahzad, Muhammad

    2015-01-01

    -user discussions on how knowledge workers really work, by enabling collaborative simulation of processes. In earlier work we reported on the integration of DCR Graphs as a workflow execution formalism in the existing Exformatics ECM products. In this paper we report on the advances we have made over the last two......As a provider of Electronic Case Management solutions to knowledge-intensive businesses and organizations, the Danish company Exformatics has in recent years identified a need for flexible process support in the tools that we provide to our customers. We have addressed this need by adapting DCR...... Graphs, a formal declarative workflow notation developed at the IT University of Copenhagen. Through close collaboration with academia we first integrated execution support for the notation into our existing tools, by leveraging a cloud-based process engine implementing the DCR formalism. Over the last...

  15. Model-based software process improvement

    Science.gov (United States)

    Zettervall, Brenda T.

    1994-01-01

    The activities of a field test site for the Software Engineering Institute's software process definition project are discussed. Products tested included the improvement model itself, descriptive modeling techniques, the CMM level 2 framework document, and the use of process definition guidelines and templates. The software process improvement model represents a five stage cyclic approach for organizational process improvement. The cycles consist of the initiating, diagnosing, establishing, acting, and leveraging phases.

  16. The poetics of mourning and faith-based intervention in maladaptive grieving processes in Ethiopia.

    Science.gov (United States)

    Hussein, Jeylan Wolyie

    2018-08-01

    The paper is an inquiry into the poetics of mourning and faith-based intervention in maladaptive grieving processes in Ethiopia. The paper discusses the ways that loss is signified and analyzes the meanings of ethnocultural and psychospiritual practices employed to deal with maladaptive grief processes and their psychological and emotional after-effects. Hermeneutics provided the methodological framework and informed the analysis. The thesis of the paper is that the poetics of mourning and faith-based social interventions are interactionally based meaning making processes. The paper indicates the limitations of the study and their implications for further inquiry.

  17. Delusional Ideation, Cognitive Processes and Crime Based Reasoning.

    Science.gov (United States)

    Wilkinson, Dean J; Caulfield, Laura S

    2017-08-01

    Probabilistic reasoning biases have been widely associated with levels of delusional belief ideation (Galbraith, Manktelow, & Morris, 2010; Lincoln, Ziegler, Mehl, & Rief, 2010; Speechley, Whitman, & Woodward, 2010; White & Mansell, 2009), however, little research has focused on biases occurring during every day reasoning (Galbraith, Manktelow, & Morris, 2011), and moral and crime based reasoning (Wilkinson, Caulfield, & Jones, 2014; Wilkinson, Jones, & Caulfield, 2011). 235 participants were recruited across four experiments exploring crime based reasoning through different modalities and dual processing tasks. Study one explored delusional ideation when completing a visually presented crime based reasoning task. Study two explored the same task in an auditory presentation. Study three utilised a dual task paradigm to explore modality and executive functioning. Study four extended this paradigm to the auditory modality. The results indicated that modality and delusional ideation have a significant effect on individuals reasoning about violent and non-violent crime (p < .05), which could have implication for the presentation of evidence in applied setting such as the courtroom.

  18. Feed type based expert systems in mineral processing plants

    International Nuclear Information System (INIS)

    Jamsa-Jounela, S.-L.; Laine, S.; Laurila, H.

    1999-01-01

    Artificial Intelligence includes excellent tools for the control and supervision of industrial processes. Several thousand industrial applications have been reported worldwide. Recently, the designers of the AI systems have begun to hybridize the intelligent techniques, expert systems, fuzzy logic and neural networks, to enhance the capability of the AI systems. Expert systems have proved to be ideal candidates especially for the control of mineral processes. As successful case projects, expert system based on on-line classification of the feed type is described in this paper. The essential feature of this expert system is the classification of different feed types and their distinct control strategies at the plant. In addition to the classification, the expert system has a database containing information about how to handle the determined feed type. This self-learning database scans historical process data to suggest the best treatment for the ore type under processing. The system has been tested in two concentrators, the Outokumpu Finnmines Oy, Hitura mine and Outokumpu Chrome Oy, Kemi mine. (author)

  19. Phenomena Based Process Intensification of Toluene Methylation for Sustainable Para-xylene Production

    DEFF Research Database (Denmark)

    Anantasarn, Nateetorn; Babi, Deenesh Kavi; Suriyapraphadilok, Uthaiporn

    2016-01-01

    The objective of this work is to generate more sustainable intensified process designs for the production of important chemicals in the petrochemical sector. A 3-stage approach is applied. In stage 1, the base case design is generated or selected from literature. In stage 2, the base case design...... is analysed in terms of economics, sustainability and LCA factors in order to identify process hot-spots that are translated into design targets. In stage 3, intensified flowsheet alternatives are generated that match the targets and thereby eliminate and/or minimize the process hot-spots using a phenomena...... operations to generate more sustainable designs. An overview of the key concepts and framework are presented together with the results from a case study highlighting the application of the framework to the sustainable design of a production process for para-xylene, which is an important chemical utilized...

  20. Intelligent Transportation Control based on Proactive Complex Event Processing

    Directory of Open Access Journals (Sweden)

    Wang Yongheng

    2016-01-01

    Full Text Available Complex Event Processing (CEP has become the key part of Internet of Things (IoT. Proactive CEP can predict future system states and execute some actions to avoid unwanted states which brings new hope to intelligent transportation control. In this paper, we propose a proactive CEP architecture and method for intelligent transportation control. Based on basic CEP technology and predictive analytic technology, a networked distributed Markov decision processes model with predicting states is proposed as sequential decision model. A Q-learning method is proposed for this model. The experimental evaluations show that this method works well when used to control congestion in in intelligent transportation systems.

  1. Role of Knowledge Based Communities in Knowledge Process

    Directory of Open Access Journals (Sweden)

    Sebastian Ion CEPTUREANU

    2015-12-01

    Full Text Available In the new economy, knowledge is an essential component of economic and social systems. The organizational focus has to be on building knowledge-based management, development of human resource and building intellectual capital capabilities. Knowledge-based management is defined, at company level, by economic processes that emphasize creation, selling, buying, learning, storing, developing, sharing and protection of knowledge as a decisive condition for profit and long-term sustainability of the company. Hence, knowledge is, concurently, according to a majoritiy of specialists, raw material, capital, product and an essential input. Knowledge-based communities are one of the main constituent elements of a framework for knowledge based management. These are peer networks consisting of practitioners within an organization, supporting each other to perform better through the exchange and sharing of knowledge. Some large companies have contributed or supported the establishment of numerous communities of practice, some of which may have several thousand members. They operate in different ways, are of different sizes, have different areas of interest and addresses knowledge at different levels of its maturity. This article examines the role of knowledge-based communities from the perspective of knowledge based management, given that the arrangements for organizational learning, creating, sharing, use of knowledge within organizations become more heterogeneous and take forms more difficult to predict by managers and specialists.

  2. Is Dysfunctional Use of the Mobile Phone a Behavioural Addiction? Confronting Symptom-Based Versus Process-Based Approaches.

    Science.gov (United States)

    Billieux, Joël; Philippot, Pierre; Schmid, Cécile; Maurage, Pierre; De Mol, Jan; Van der Linden, Martial

    2015-01-01

    Dysfunctional use of the mobile phone has often been conceptualized as a 'behavioural addiction' that shares most features with drug addictions. In the current article, we challenge the clinical utility of the addiction model as applied to mobile phone overuse. We describe the case of a woman who overuses her mobile phone from two distinct approaches: (1) a symptom-based categorical approach inspired from the addiction model of dysfunctional mobile phone use and (2) a process-based approach resulting from an idiosyncratic clinical case conceptualization. In the case depicted here, the addiction model was shown to lead to standardized and non-relevant treatment, whereas the clinical case conceptualization allowed identification of specific psychological processes that can be targeted with specific, empirically based psychological interventions. This finding highlights that conceptualizing excessive behaviours (e.g., gambling and sex) within the addiction model can be a simplification of an individual's psychological functioning, offering only limited clinical relevance. The addiction model, applied to excessive behaviours (e.g., gambling, sex and Internet-related activities) may lead to non-relevant standardized treatments. Clinical case conceptualization allowed identification of specific psychological processes that can be targeted with specific empirically based psychological interventions. The biomedical model might lead to the simplification of an individual's psychological functioning with limited clinical relevance. Copyright © 2014 John Wiley & Sons, Ltd.

  3. Constructing large scale SCI-based processing systems by switch elements

    International Nuclear Information System (INIS)

    Wu, B.; Kristiansen, E.; Skaali, B.; Bogaerts, A.; Divia, R.; Mueller, H.

    1993-05-01

    The goal of this paper is to study some of the design criteria for the switch elements to form the interconnection of large scale SCI-based processing systems. The approved IEEE standard 1596 makes it possible to couple up to 64K nodes together. In order to connect thousands of nodes to construct large scale SCI-based processing systems, one has to interconnect these nodes by switch elements to form different topologies. A summary of the requirements and key points of interconnection networks and switches is presented. Two models of the SCI switch elements are proposed. The authors investigate several examples of systems constructed for 4-switches with simulations and the results are analyzed. Some issues and enhancements are discussed to provide the ideas behind the switch design that can improve performance and reduce latency. 29 refs., 11 figs., 3 tabs

  4. A General Accelerated Degradation Model Based on the Wiener Process.

    Science.gov (United States)

    Liu, Le; Li, Xiaoyang; Sun, Fuqiang; Wang, Ning

    2016-12-06

    Accelerated degradation testing (ADT) is an efficient tool to conduct material service reliability and safety evaluations by analyzing performance degradation data. Traditional stochastic process models are mainly for linear or linearization degradation paths. However, those methods are not applicable for the situations where the degradation processes cannot be linearized. Hence, in this paper, a general ADT model based on the Wiener process is proposed to solve the problem for accelerated degradation data analysis. The general model can consider the unit-to-unit variation and temporal variation of the degradation process, and is suitable for both linear and nonlinear ADT analyses with single or multiple acceleration variables. The statistical inference is given to estimate the unknown parameters in both constant stress and step stress ADT. The simulation example and two real applications demonstrate that the proposed method can yield reliable lifetime evaluation results compared with the existing linear and time-scale transformation Wiener processes in both linear and nonlinear ADT analyses.

  5. A General Accelerated Degradation Model Based on the Wiener Process

    Directory of Open Access Journals (Sweden)

    Le Liu

    2016-12-01

    Full Text Available Accelerated degradation testing (ADT is an efficient tool to conduct material service reliability and safety evaluations by analyzing performance degradation data. Traditional stochastic process models are mainly for linear or linearization degradation paths. However, those methods are not applicable for the situations where the degradation processes cannot be linearized. Hence, in this paper, a general ADT model based on the Wiener process is proposed to solve the problem for accelerated degradation data analysis. The general model can consider the unit-to-unit variation and temporal variation of the degradation process, and is suitable for both linear and nonlinear ADT analyses with single or multiple acceleration variables. The statistical inference is given to estimate the unknown parameters in both constant stress and step stress ADT. The simulation example and two real applications demonstrate that the proposed method can yield reliable lifetime evaluation results compared with the existing linear and time-scale transformation Wiener processes in both linear and nonlinear ADT analyses.

  6. Microfabricated microengine for use as a mechanical drive and power source in the microdomain and fabrication process

    Science.gov (United States)

    Garcia, E.J.; Sniegowski, J.J.

    1997-05-20

    A microengine uses two synchronized linear actuators as a power source and converts oscillatory motion from the actuators into rotational motion via direct linkage connection to an output gear or wheel. The microengine provides output in the form of a continuously rotating output gear that is capable of delivering drive torque to a micromechanism. The microengine can be operated at varying speeds and its motion can be reversed. Linear actuators are synchronized in order to provide linear oscillatory motion to the linkage means in the X and Y directions according to a desired position, rotational direction and speed of said mechanical output means. The output gear has gear teeth on its outer perimeter for directly contacting a micromechanism requiring mechanical power. The gear is retained by a retaining means which allows said gear to rotate freely. The microengine is microfabricated of polysilicon on one wafer using surface micromachining batch fabrication. 30 figs.

  7. Distributed processing in receivers based on tensor for cooperative communications systems

    OpenAIRE

    Igor FlÃvio SimÃes de Sousa

    2014-01-01

    In this dissertation, we present a distributed data estimation and detection approach for the uplink of a network that uses CDMA at transmitters (users). The analyzed network can be represented by an undirected and connected graph, where the nodes use a distributed estimation algorithm based on consensus averaging to perform joint channel and symbol estimation using a receiver based on tensor signal processing. The centralized receiver, developed for a central base station, and the distribute...

  8. Prodiag--a hybrid artificial intelligence based reactor diagnostic system for process faults

    International Nuclear Information System (INIS)

    Reifman, J.; Wei, T.Y.C.; Vitela, J.E.; Applequist, C. A.; Chasensky, T.M.

    1996-01-01

    Commonwealth Research Corporation (CRC) and Argonne National Laboratory (ANL) are collaborating on a DOE-sponsored Cooperative Research and Development Agreement (CRADA), project to perform feasibility studies on a novel approach to Artificial Intelligence (Al) based diagnostics for component faults in nuclear power plants. Investigations are being performed in the construction of a first-principles physics-based plant level process diagnostic expert system (ES) and the identification of component-level fault patterns through operating component characteristics using artificial neural networks (ANNs). The purpose of the proof-of-concept project is to develop a computer-based system using this Al approach to assist process plant operators during off-normal plant conditions. The proposed computer-based system will use thermal hydraulic (T-H) signals complemented by other non-T-H signals available in the data stream to provide the process operator with the component which most likely caused the observed process disturbance.To demonstrate the scale-up feasibility of the proposed diagnostic system it is being developed for use with the Chemical Volume Control System (CVCS) of a nuclear power plant. A full-scope operator training simulator representing the Commonwealth Edison Braidwood nuclear power plant is being used both as the source of development data and as the means to evaluate the advantages of the proposed diagnostic system. This is an ongoing multi-year project and this paper presents the results to date of the CRADA phase

  9. Fault Diagnosis for Rotating Machinery: A Method based on Image Processing.

    Directory of Open Access Journals (Sweden)

    Chen Lu

    Full Text Available Rotating machinery is one of the most typical types of mechanical equipment and plays a significant role in industrial applications. Condition monitoring and fault diagnosis of rotating machinery has gained wide attention for its significance in preventing catastrophic accident and guaranteeing sufficient maintenance. With the development of science and technology, fault diagnosis methods based on multi-disciplines are becoming the focus in the field of fault diagnosis of rotating machinery. This paper presents a multi-discipline method based on image-processing for fault diagnosis of rotating machinery. Different from traditional analysis method in one-dimensional space, this study employs computing method in the field of image processing to realize automatic feature extraction and fault diagnosis in a two-dimensional space. The proposed method mainly includes the following steps. First, the vibration signal is transformed into a bi-spectrum contour map utilizing bi-spectrum technology, which provides a basis for the following image-based feature extraction. Then, an emerging approach in the field of image processing for feature extraction, speeded-up robust features, is employed to automatically exact fault features from the transformed bi-spectrum contour map and finally form a high-dimensional feature vector. To reduce the dimensionality of the feature vector, thus highlighting main fault features and reducing subsequent computing resources, t-Distributed Stochastic Neighbor Embedding is adopt to reduce the dimensionality of the feature vector. At last, probabilistic neural network is introduced for fault identification. Two typical rotating machinery, axial piston hydraulic pump and self-priming centrifugal pumps, are selected to demonstrate the effectiveness of the proposed method. Results show that the proposed method based on image-processing achieves a high accuracy, thus providing a highly effective means to fault diagnosis for

  10. Fault Diagnosis for Rotating Machinery: A Method based on Image Processing.

    Science.gov (United States)

    Lu, Chen; Wang, Yang; Ragulskis, Minvydas; Cheng, Yujie

    2016-01-01

    Rotating machinery is one of the most typical types of mechanical equipment and plays a significant role in industrial applications. Condition monitoring and fault diagnosis of rotating machinery has gained wide attention for its significance in preventing catastrophic accident and guaranteeing sufficient maintenance. With the development of science and technology, fault diagnosis methods based on multi-disciplines are becoming the focus in the field of fault diagnosis of rotating machinery. This paper presents a multi-discipline method based on image-processing for fault diagnosis of rotating machinery. Different from traditional analysis method in one-dimensional space, this study employs computing method in the field of image processing to realize automatic feature extraction and fault diagnosis in a two-dimensional space. The proposed method mainly includes the following steps. First, the vibration signal is transformed into a bi-spectrum contour map utilizing bi-spectrum technology, which provides a basis for the following image-based feature extraction. Then, an emerging approach in the field of image processing for feature extraction, speeded-up robust features, is employed to automatically exact fault features from the transformed bi-spectrum contour map and finally form a high-dimensional feature vector. To reduce the dimensionality of the feature vector, thus highlighting main fault features and reducing subsequent computing resources, t-Distributed Stochastic Neighbor Embedding is adopt to reduce the dimensionality of the feature vector. At last, probabilistic neural network is introduced for fault identification. Two typical rotating machinery, axial piston hydraulic pump and self-priming centrifugal pumps, are selected to demonstrate the effectiveness of the proposed method. Results show that the proposed method based on image-processing achieves a high accuracy, thus providing a highly effective means to fault diagnosis for rotating machinery.

  11. A SystemC-Based Design Methodology for Digital Signal Processing Systems

    Directory of Open Access Journals (Sweden)

    Christian Haubelt

    2007-03-01

    Full Text Available Digital signal processing algorithms are of big importance in many embedded systems. Due to complexity reasons and due to the restrictions imposed on the implementations, new design methodologies are needed. In this paper, we present a SystemC-based solution supporting automatic design space exploration, automatic performance evaluation, as well as automatic system generation for mixed hardware/software solutions mapped onto FPGA-based platforms. Our proposed hardware/software codesign approach is based on a SystemC-based library called SysteMoC that permits the expression of different models of computation well known in the domain of digital signal processing. It combines the advantages of executability and analyzability of many important models of computation that can be expressed in SysteMoC. We will use the example of an MPEG-4 decoder throughout this paper to introduce our novel methodology. Results from a five-dimensional design space exploration and from automatically mapping parts of the MPEG-4 decoder onto a Xilinx FPGA platform will demonstrate the effectiveness of our approach.

  12. Advances in Reasoning-Based Image Processing Intelligent Systems Conventional and Intelligent Paradigms

    CERN Document Server

    Nakamatsu, Kazumi

    2012-01-01

    The book puts special stress on the contemporary techniques for reasoning-based image processing and analysis: learning based image representation and advanced video coding; intelligent image processing and analysis in medical vision systems; similarity learning models for image reconstruction; visual perception for mobile robot motion control, simulation of human brain activity in the analysis of video sequences; shape-based invariant features extraction; essential of paraconsistent neural networks, creativity and intelligent representation in computational systems. The book comprises 14 chapters. Each chapter is a small monograph, representing resent investigations of authors in the area. The topics of the chapters cover wide scientific and application areas and complement each-other very well. The chapters’ content is based on fundamental theoretical presentations, followed by experimental results and comparison with similar techniques. The size of the chapters is well-ballanced which permits a thorough ...

  13. Chemomics-based marker compounds mining and mimetic processing for exploring chemical mechanisms in traditional processing of herbal medicines, a continuous study on Rehmanniae Radix.

    Science.gov (United States)

    Zhou, Li; Xu, Jin-Di; Zhou, Shan-Shan; Shen, Hong; Mao, Qian; Kong, Ming; Zou, Ye-Ting; Xu, Ya-Yun; Xu, Jun; Li, Song-Lin

    2017-12-29

    Exploring processing chemistry, in particular the chemical transformation mechanisms involved, is a key step to elucidate the scientific basis in traditional processing of herbal medicines. Previously, taking Rehmanniae Radix (RR) as a case study, the holistic chemome (secondary metabolome and glycome) difference between raw and processed RR was revealed by integrating hyphenated chromatographic techniques-based targeted glycomics and untargeted metabolomics. Nevertheless, the complex chemical transformation mechanisms underpinning the holistic chemome variation in RR processing remain to be extensively clarified. As a continuous study, here a novel strategy by combining chemomics-based marker compounds mining and mimetic processing is proposed for further exploring the chemical mechanisms involved in herbal processing. First, the differential marker compounds between raw and processed herbs were rapidly discovered by untargeted chemomics-based mining approach through multivariate statistical analysis of the chemome data obtained by integrated metabolomics and glycomics analysis. Second, the marker compounds were mimetically processed under the simulated physicochemical conditions as in the herb processing, and the final reaction products were chemically characterized by targeted chemomics-based mining approach. Third, the main chemical transformation mechanisms involved were clarified by linking up the original marker compounds and their mimetic processing products. Using this strategy, a set of differential marker compounds including saccharides, glycosides and furfurals in raw and processed RR was rapidly found, and the major chemical mechanisms involved in RR processing were elucidated as stepwise transformations of saccharides (polysaccharides, oligosaccharides and monosaccharides) and glycosides (iridoid glycosides and phenethylalcohol glycosides) into furfurals (glycosylated/non-glycosylated hydroxymethylfurfurals) by deglycosylation and/or dehydration. The

  14. Process observation in fiber laser-based selective laser melting

    Science.gov (United States)

    Thombansen, Ulrich; Gatej, Alexander; Pereira, Milton

    2015-01-01

    addition of cognitive functions to the manufacturing system to the extent that the system could track its own process. The results are based on analyzing and redesigning the optical train, in combination with a real-time signal acquisition system which provides a solution to certain technological barriers.

  15. Development of an integrated knowledge-base and its management tool for computerized alarm processing system

    International Nuclear Information System (INIS)

    Heo, Gyun Young; Choi, Seong Soo; Kim, Han Gon; Chang, Soon Heung

    1997-01-01

    For a long time, a number of alarm processing techniques have been researched to reduce the number of actuated alarms for operators to deal with effectively during the abnormal as well as the normal conditions. However, the strategy that the only systems with a few clear technologies should be used as a part of an alarm annunciation system has been adopted considering the effectiveness and the reliability in actual alarm processing systems. Therefore, alarm processing systems have difficult knowledge-base maintenance problems and limited expansion or enhancement defects. To solve these shortcomings, the integrated knowledge-base which can express the general information related to all the alarm processing techniques is proposed and its management tool, Knowledge Input Tool for Alarm (KIT-A) which can handle the data of the knowledge-base efficiently is developed. Since the integrated knowledge-base with KIT-A can manipulate all the alarm information without the modification of alarm processing system itself, it is expected to considerably advance the overall capability of maintenance and enhancement of the alarm processing systems

  16. Building Asphalt Pavement with SBS-based Compound Added Using a Dry Process in Greenland

    DEFF Research Database (Denmark)

    Lee, Hosin; Kim, Yongjoo; Geisler, Nivi

    2009-01-01

    PMA where it is formulated to melt and blend with asphalt quickly during a batch mixing process. The main objectives of this study are to (1) build asphalt pavement using asphalt mixtures with SBS-based compound added using a “dry” process at the batch plant and (2) evaluate its performance under......-based compound seemed to affect the asphalt mix to become more flexible under the heavy loads. By adding SBS-based compound to asphalt mixtures using a “dry” process, it is expected that the pavement would become more resistant to rutting than a typical asphalt mixture used in Greenland while enduring its arctic...

  17. Transfer after process-based object-location memory training in healthy older adults.

    Science.gov (United States)

    Zimmermann, Kathrin; von Bastian, Claudia C; Röcke, Christina; Martin, Mike; Eschen, Anne

    2016-11-01

    A substantial part of age-related episodic memory decline has been attributed to the decreasing ability of older adults to encode and retrieve associations among simultaneously processed information units from long-term memory. In addition, this ability seems to share unique variance with reasoning. In this study, we therefore examined whether process-based training of the ability to learn and remember associations has the potential to induce transfer effects to untrained episodic memory and reasoning tasks in healthy older adults (60-75 years). For this purpose, the experimental group (n = 36) completed 30 sessions of process-based object-location memory training, while the active control group (n = 31) practiced visual perception on the same material. Near (spatial episodic memory), intermediate (verbal episodic memory), and far transfer effects (reasoning) were each assessed with multiple tasks at four measurements (before, midway through, immediately after, and 4 months after training). Linear mixed-effects models revealed transfer effects on spatial episodic memory and reasoning that were still observed 4 months after training. These results provide first empirical evidence that process-based training can enhance healthy older adults' associative memory performance and positively affect untrained episodic memory and reasoning abilities. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  18. Nested polynomial trends for the improvement of Gaussian process-based predictors

    Science.gov (United States)

    Perrin, G.; Soize, C.; Marque-Pucheu, S.; Garnier, J.

    2017-10-01

    The role of simulation keeps increasing for the sensitivity analysis and the uncertainty quantification of complex systems. Such numerical procedures are generally based on the processing of a huge amount of code evaluations. When the computational cost associated with one particular evaluation of the code is high, such direct approaches based on the computer code only, are not affordable. Surrogate models have therefore to be introduced to interpolate the information given by a fixed set of code evaluations to the whole input space. When confronted to deterministic mappings, the Gaussian process regression (GPR), or kriging, presents a good compromise between complexity, efficiency and error control. Such a method considers the quantity of interest of the system as a particular realization of a Gaussian stochastic process, whose mean and covariance functions have to be identified from the available code evaluations. In this context, this work proposes an innovative parametrization of this mean function, which is based on the composition of two polynomials. This approach is particularly relevant for the approximation of strongly non linear quantities of interest from very little information. After presenting the theoretical basis of this method, this work compares its efficiency to alternative approaches on a series of examples.

  19. Management by process based systems and safety focus

    International Nuclear Information System (INIS)

    Rydnert, Bo; Groenlund, Bjoern

    2005-12-01

    An initiative from The Swedish Nuclear Power Inspectorate led to this study carried out in the late autumn of 2005. The objective was to understand in more detail how an increasing use of process management affects organisations, on the one hand regarding risks and security, on the other hand regarding management by objectives and other management and operative effects. The main method was interviewing representatives of companies and independent experts. More than 20 interviews were carried out. In addition a literature study was made. All participating companies are using Management Systems based on processes. However, the methods chosen, and the results achieved, vary extensively. Thus, there are surprisingly few examples of complete and effective management by processes. Yet there is no doubt that management by processes is effective and efficient. Overall goals are reached, business results are achieved in more reliable ways and customers are more satisfied. The weaknesses found can be translated into a few comprehensive recommendations. A clear, structured and acknowledged model should be used and the processes should be described unambiguously. The changed management roles should be described and obeyed extremely legibly. New types of process objectives need to be formulated. In addition one fact needs to be observed and effectively fended off. Changes are often met by mental opposition on management level, as well as among co-workers. This fact needs attention and leadership. Safety development is closely related to the design and operation of a business management system and its continual improvement. A deep understanding of what constitutes an efficient and effective management system affects the understanding of safety. safety culture and abilities to achieve safety goals. Concerning risk, the opinions were unambiguous. Management by processes as such does not result in any further risks. On the contrary. Processes give a clear view of production and

  20. The Adjoint Method for Gradient-based Dynamic Optimization of UV Flash Processes

    DEFF Research Database (Denmark)

    Ritschel, Tobias Kasper Skovborg; Capolei, Andrea; Jørgensen, John Bagterp

    2017-01-01

    This paper presents a novel single-shooting algorithm for gradient-based solution of optimal control problems with vapor-liquid equilibrium constraints. Dynamic optimization of UV flash processes is relevant in nonlinear model predictive control of distillation columns, certain two-phase flow pro......-component flash process which demonstrate the importance of the optimization solver, the compiler, and the linear algebra software for the efficiency of dynamic optimization of UV flash processes....

  1. KPI-based activity planning for people working in flexible processes

    NARCIS (Netherlands)

    Eck, van M.L.; Sidorova, N.; Aalst, van der W.M.P.; Grabis, J.; Sandkuhl, K.

    2015-01-01

    Planning human activities within business processes often happens based on the same methods and algorithms as are used in the area of manufacturing systems. However, human resources are more complex than machines. Their performance depends on a number of factors, including stress, personal

  2. Knowledge-based inspection:modelling complex processes with the integrated Safeguards Modelling Method (iSMM)

    International Nuclear Information System (INIS)

    Abazi, F.

    2011-01-01

    Increased level of complexity in almost every discipline and operation today raises the demand for knowledge in order to successfully run an organization whether to generate profit or to attain a non-profit mission. Traditional way of transferring knowledge to information systems rich in data structures and complex algorithms continue to hinder the ability to swiftly turnover concepts into operations. Diagrammatic modelling commonly applied in engineering in order to represent concepts or reality remains to be an excellent way of converging knowledge from domain experts. The nuclear verification domain represents ever more a matter which has great importance to the World safety and security. Demand for knowledge about nuclear processes and verification activities used to offset potential misuse of nuclear technology will intensify with the growth of the subject technology. This Doctoral thesis contributes with a model-based approach for representing complex process such as nuclear inspections. The work presented contributes to other domains characterized with knowledge intensive and complex processes. Based on characteristics of a complex process a conceptual framework was established as the theoretical basis for creating a number of modelling languages to represent the domain. The integrated Safeguards Modelling Method (iSMM) is formalized through an integrated meta-model. The diagrammatic modelling languages represent the verification domain and relevant nuclear verification aspects. Such a meta-model conceptualizes the relation between practices of process management, knowledge management and domain specific verification principles. This fusion is considered as necessary in order to create quality processes. The study also extends the formalization achieved through a meta-model by contributing with a formalization language based on Pattern Theory. Through the use of graphical and mathematical constructs of the theory, process structures are formalized enhancing

  3. [Monitoring method of extraction process for Schisandrae Chinensis Fructus based on near infrared spectroscopy and multivariate statistical process control].

    Science.gov (United States)

    Xu, Min; Zhang, Lei; Yue, Hong-Shui; Pang, Hong-Wei; Ye, Zheng-Liang; Ding, Li

    2017-10-01

    To establish an on-line monitoring method for extraction process of Schisandrae Chinensis Fructus, the formula medicinal material of Yiqi Fumai lyophilized injection by combining near infrared spectroscopy with multi-variable data analysis technology. The multivariate statistical process control (MSPC) model was established based on 5 normal batches in production and 2 test batches were monitored by PC scores, DModX and Hotelling T2 control charts. The results showed that MSPC model had a good monitoring ability for the extraction process. The application of the MSPC model to actual production process could effectively achieve on-line monitoring for extraction process of Schisandrae Chinensis Fructus, and can reflect the change of material properties in the production process in real time. This established process monitoring method could provide reference for the application of process analysis technology in the process quality control of traditional Chinese medicine injections. Copyright© by the Chinese Pharmaceutical Association.

  4. Advanced Image Processing Package for FPGA-Based Re-Programmable Miniature Electronics

    National Research Council Canada - National Science Library

    Ovod, Vladimir I; Baxter, Christopher R; Massie, Mark A; McCarley, Paul L

    2005-01-01

    .... An advanced image-processing package has been designed at Nova Sensors to re-configure the FPGA-based co-processor board for numerous applications including motion detection, optical background...

  5. Kinect Posture Reconstruction Based on a Local Mixture of Gaussian Process Models.

    Science.gov (United States)

    Liu, Zhiguang; Zhou, Liuyang; Leung, Howard; Shum, Hubert P H

    2016-11-01

    Depth sensor based 3D human motion estimation hardware such as Kinect has made interactive applications more popular recently. However, it is still challenging to accurately recognize postures from a single depth camera due to the inherently noisy data derived from depth images and self-occluding action performed by the user. In this paper, we propose a new real-time probabilistic framework to enhance the accuracy of live captured postures that belong to one of the action classes in the database. We adopt the Gaussian Process model as a prior to leverage the position data obtained from Kinect and marker-based motion capture system. We also incorporate a temporal consistency term into the optimization framework to constrain the velocity variations between successive frames. To ensure that the reconstructed posture resembles the accurate parts of the observed posture, we embed a set of joint reliability measurements into the optimization framework. A major drawback of Gaussian Process is its cubic learning complexity when dealing with a large database due to the inverse of a covariance matrix. To solve the problem, we propose a new method based on a local mixture of Gaussian Processes, in which Gaussian Processes are defined in local regions of the state space. Due to the significantly decreased sample size in each local Gaussian Process, the learning time is greatly reduced. At the same time, the prediction speed is enhanced as the weighted mean prediction for a given sample is determined by the nearby local models only. Our system also allows incrementally updating a specific local Gaussian Process in real time, which enhances the likelihood of adapting to run-time postures that are different from those in the database. Experimental results demonstrate that our system can generate high quality postures even under severe self-occlusion situations, which is beneficial for real-time applications such as motion-based gaming and sport training.

  6. DIDATTICA PROCESS DRAMA: PRINCIPI DI BASE, ESTETICA E COINVOLGIMENTO

    Directory of Open Access Journals (Sweden)

    Erika Piazzoli

    2011-07-01

    Full Text Available Questo articolo descrive un progetto di ricerca sull’estetica del process drama per l’insegnamento delle lingue straniere. La ricerca si basa sulla metodologia reflective practitioner, rivolta a tre casi di studio analizzati con metodi sia qualitativi che quantitativi. L’articolo costituisce un’introduzione alla ricerca, nonché alla didattica process drama, ai suoi principi di base, l’estetica e i vari tipi di coinvolgimento che può suscitare. In particolare, prende in considerazione il primo caso di studio del progetto, condotto presso l’Università degli Studi di Milano, con sedici apprendenti di Italiano L2 e tre tirocinanti in qualità di osservatrici. I risultati preliminari dell’analisi puntano a un’aumentata motivazione comunicativa e consapevolezza interculturale degli apprendenti. I risultati puntano anche a una complessa relazione multilaterale fra vari tipi di coinvolgimento nel laboratorio process drama L2.     Process drama didactics: basic principles, esthetics and involvement   This paper outlines a doctoral research project on the aesthetics of process drama for intercultural language learning. The project was framed by a reflective practitioner paradigm, using multiple case studies with a mixed methods approach. This paper introduces process drama as an approach, discussing its principles, aesthetics and underpinning theory; it focuses on process drama for additional language learning and the kinds of engagement it can generate. It illustrates the first case study, conducted at the University of Milan with sixteen learners of L2 Italian and three observing teachers. Preliminary findings suggest that process drama was beneficial to support motivation to communicate and to foster intercultural awareness in the learners. Findings also suggest a complex, intertwined relationship between types of engagement in the L2 process drama classroom. 

  7. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  8. Learning and Motivational Processes When Students Design Curriculum-Based Digital Learning Games

    DEFF Research Database (Denmark)

    Weitze, Charlotte Lærke

    2015-01-01

    This design-based research (DBR) project has developed an overall gamified learning design (big Game) to facilitate the learning process for adult students by inviting them to be their own learning designers through designing digital learning games (small games) in cross-disciplinary subject...... matters. The DBR project has investigated and experimented with which elements, methods, and processes are important when aiming at creating a cognitive complex (Anderson and Krathwohl, 2001) and motivating learning process within a reusable game-based learning design. This project took place in a co......, or programming provide a rich context for learning, since the construction of artefacts, in this case learning games, enables reflection and new ways of thinking. The students learned from reflection and interaction with the tools alone as well as in collaboration with peers. After analysing the students...

  9. Pattern centric design based sensitive patterns and process monitor in manufacturing

    Science.gov (United States)

    Hsiang, Chingyun; Cheng, Guojie; Wu, Kechih

    2017-03-01

    When design rule is mitigating to smaller dimension, process variation requirement is tighter than ever and challenges the limits of device yield. Masks, lithography, etching and other processes have to meet very tight specifications in order to keep defect and CD within the margins of the process window. Conventionally, Inspection and metrology equipments are utilized to monitor and control wafer quality in-line. In high throughput optical inspection, nuisance and review-classification become a tedious labor intensive job in manufacturing. Certain high-resolution SEM images are taken to validate defects after optical inspection. These high resolution SEM images catch not only optical inspection highlighted point, also its surrounding patterns. However, this pattern information is not well utilized in conventional quality control method. Using this complementary design based pattern monitor not only monitors and analyzes the variation of patterns sensitivity but also reduce nuisance and highlight defective patterns or killer defects. After grouping in either single or multiple layers, systematic defects can be identified quickly in this flow. In this paper, we applied design based pattern monitor in different layers to monitor process variation impacts on all kinds of patterns. First, the contour of high resolutions SEM image is extracted and aligned to design with offset adjustment and fine alignment [1]. Second, specified pattern rules can be applied on design clip area, the same size as SEM image, and form POI (pattern of interest) areas. Third, the discrepancy of contour and design measurement at different pattern types in measurement blocks. Fourth, defective patterns are reported by discrepancy detection criteria and pattern grouping [4]. Meanwhile, reported pattern defects are ranked by number and severity by discrepancy. In this step, process sensitive high repeatable systematic defects can be identified quickly Through this design based process pattern

  10. Social Workers' Orientation toward the Evidence-Based Practice Process: A Dutch Survey

    Science.gov (United States)

    van der Zwet, Renske J. M.; Kolmer, Deirdre M. Beneken genaamd; Schalk, René

    2016-01-01

    Objectives: This study assesses social workers' orientation toward the evidence-based practice (EBP) process and explores which specific variables (e.g. age) are associated. Methods: Data were collected from 341 Dutch social workers through an online survey which included a Dutch translation of the EBP Process Assessment Scale (EBPPAS), along with…

  11. Auto-Scaling of Geo-Based Image Processing in an OpenStack Cloud Computing Environment

    OpenAIRE

    Sanggoo Kang; Kiwon Lee

    2016-01-01

    Cloud computing is a base platform for the distribution of large volumes of data and high-performance image processing on the Web. Despite wide applications in Web-based services and their many benefits, geo-spatial applications based on cloud computing technology are still developing. Auto-scaling realizes automatic scalability, i.e., the scale-out and scale-in processing of virtual servers in a cloud computing environment. This study investigates the applicability of auto-scaling to geo-bas...

  12. Rheo-processing of an alloy specifically designed for semi-solid metal processing based on the Al-Mg-Si system

    International Nuclear Information System (INIS)

    Patel, J.B.; Liu, Y.Q.; Shao, G.; Fan, Z.

    2008-01-01

    Semi-solid metal (SSM) processing is a promising technology for forming alloys and composites to near-net shaped products. Alloys currently used for SSM processing are mainly conventional aluminium cast alloys. This is an obstacle to the realisation of full potential of SSM processing, since these alloys were originally designed for liquid state processing and not for semi-solid state processing. Therefore, there is a significant need for designing new alloys specifically for semi-solid state processing to fulfil its potential. In this study, thermodynamic calculations have been carried out to design alloys based on the Al-Mg-Si system for SSM processing via the 'rheo-route'. The suitability of a selected alloy composition has been assessed in terms of the criteria considered by the thermodynamic design process, mechanical properties and heat treatability. The newly designed alloy showed good processability with rheo-processing in terms of good control of solid fraction during processing and a reasonably large processing window. The mechanical property variation was very small and the alloy showed good potential for age hardening by T5 temper heat treatment after rheo-processing

  13. System-Platforms-Based SystemC TLM Design of Image Processing Chains for Embedded Applications

    Directory of Open Access Journals (Sweden)

    Omar Hammami

    2007-08-01

    Full Text Available Intelligent vehicle design is a complex task which requires multidomains modeling and abstraction. Transaction-level modeling (TLM and component-based software development approaches accelerate the process of an embedded system design and simulation and hence improve the overall productivity. On the other hand, system-level design languages facilitate the fast hardware synthesis at behavioral level of abstraction. In this paper, we introduce an approach for hardware/software codesign of image processing applications targeted towards intelligent vehicle that uses platform-based SystemC TLM and component-based software design approaches along with HW synthesis using SystemC to accelerate system design and verification process. Our experiments show the effectiveness of our methodology.

  14. System-Platforms-Based SystemC TLM Design of Image Processing Chains for Embedded Applications

    Directory of Open Access Journals (Sweden)

    Lacassagne Lionel

    2007-01-01

    Full Text Available Intelligent vehicle design is a complex task which requires multidomains modeling and abstraction. Transaction-level modeling (TLM and component-based software development approaches accelerate the process of an embedded system design and simulation and hence improve the overall productivity. On the other hand, system-level design languages facilitate the fast hardware synthesis at behavioral level of abstraction. In this paper, we introduce an approach for hardware/software codesign of image processing applications targeted towards intelligent vehicle that uses platform-based SystemC TLM and component-based software design approaches along with HW synthesis using SystemC to accelerate system design and verification process. Our experiments show the effectiveness of our methodology.

  15. Synthesis of Optimal Processing Pathway for Microalgae-based Biorefinery under Uncertainty

    DEFF Research Database (Denmark)

    Rizwan, Muhammad; Lee, Jay H.; Gani, Rafiqul

    2015-01-01

    decision making, we propose a systematic framework for the synthesis and optimal design of microalgae-based processing network under uncertainty. By incorporating major uncertainties into the biorefinery superstructure model we developed previously, a stochastic mixed integer nonlinear programming (s......The research in the field of microalgae-based biofuels and chemicals is in early phase of the development, and therefore a wide range of uncertainties exist due to inconsistencies among and shortage of technical information. In order to handle and address these uncertainties to ensure robust......MINLP) problem is formulated for determining the optimal biorefinery structure under given parameter uncertainties modelled as sampled scenarios. The solution to the sMINLP problem determines the optimal decisions with respect to processing technologies, material flows, and product portfolio in the presence...

  16. A model-based combinatorial optimisation approach for energy-efficient processing of microalgae

    NARCIS (Netherlands)

    Slegers, P.M.; Koetzier, B.J.; Fasaei, F.; Wijffels, R.H.; Straten, van G.; Boxtel, van A.J.B.

    2014-01-01

    The analyses of algae biorefinery performance are commonly based on fixed performance data for each processing step. In this work, we demonstrate a model-based combinatorial approach to derive the design-specific upstream energy consumption and biodiesel yield in the production of biodiesel from

  17. The complementariness of the business process reengineering and activity-based management

    Directory of Open Access Journals (Sweden)

    Violeta DOMANOVIC

    2010-05-01

    Full Text Available In order to sustain long term growth and development, an enterprise has toenvisage and implement contemporary management innovations altogether. Intransition economies, like Serbia is, it is of great importance to redesign businessprocesses and activities, to analyse activity profitability in order to select value-addedactivities and reduce non-value added ones. This paper considers the possibility forcomplementary implementation of the business process reengineering and activitybased management in the process of long term efficiency improvement. Namely, thebasic postulate of business process reengineering concept might be established in theprocess of activity based management implementation and conversely.

  18. Increased Reliance on Value-based Decision Processes Following Motor Cortex Disruption.

    Science.gov (United States)

    Zénon, Alexandre; Klein, Pierre-Alexandre; Alamia, Andrea; Boursoit, François; Wilhelm, Emmanuelle; Duque, Julie

    2015-01-01

    During motor decision making, the neural activity in primary motor cortex (M1) encodes dynamically the competition occurring between potential action plans. A common view is that M1 represents the unfolding of the outcome of a decision process taking place upstream. Yet, M1 could also be directly involved in the decision process. Here we tested this hypothesis by assessing the effect of M1 disruption on a motor decision-making task. We applied continuous theta burst stimulation (cTBS) to inhibit either left or right M1 in different groups of subjects and included a third control group with no stimulation. Following cTBS, participants performed a task that required them to choose between two finger key-presses with the right hand according to both perceptual and value-based information. Effects were assessed by means of generalized linear mixed models and computational simulations. In all three groups, subjects relied both on perceptual (P < 0.0001) and value-based information (P = 0.003) to reach a decision. Yet, left M1 disruption led to an increased reliance on value-based information (P = 0.03). This result was confirmed by a computational model showing an increased weight of the valued-based process on the right hand finger choices following left M1 cTBS (P < 0.01). These results indicate that M1 is involved in motor decision making, possibly by weighting the final integration of multiple sources of evidence driving motor behaviors. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Nuclear spectrometry signal acquisition and processing system based on LabVIEW and C

    International Nuclear Information System (INIS)

    Chen Xiaojun; Fang Fang; Chen Mingchi; Jiang Zancheng; Wang Min

    2008-01-01

    The process of designing nuclear spectrometry signal acquisition and processing system based on virtual instrument technology is showed in this article. For the deficiency of LabVIEW in big data analyzing and processing, a method is presented in which C programmer is inserted and applied in signal smoothing, peak searching and area of the peak calculating. A complete nuclear spectrometry signal acquisition, processing and document management system is implemented. (authors)

  20. The Transfer of Core-Based Hazardous Production Processes to the Export Processing Zones of the Periphery: The Maquiladora Centers of Northern Mexico

    Directory of Open Access Journals (Sweden)

    R. Scott Frey

    2015-08-01

    Full Text Available Transnational corporations appropriate 'carrying capacity" for the core by transferring the core's hazardous products, production processes, and wastes to the peripheral countries of the world-system. An increasingly important form of this reproduction process is the transfer of core-based hazardous industries to export processing zones (EPZs locatedin a number of peripheral countries in Africa, Asia, and Latin America and the Caribbean. A specific case is examined in this paper: the transfer of hazardous industries to the maquiladora centers located on the Mexican side of the Mexico-U.S. border. Maquiladoras provide an excellent case for examining what is known about the causes, adverse consequences, and political responses associated with the transfer of core-based hazardous production processes to the EPZs of the periphery.