WorldWideScience

Sample records for polishing cmp processes

  1. Models of nanoparticles movement, collision, and friction in chemical mechanical polishing (CMP)

    Energy Technology Data Exchange (ETDEWEB)

    Ilie, Filip, E-mail: filip@meca.omtr.pub.ro [Polytechnic University of Bucharest, Department of Machine Elements and Tribology (Romania)

    2012-03-15

    Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10-100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO{sub 2}, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.

  2. Development of clean chemical mechanical polishing systems; Clean CMP system

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Hosokawa, M. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are clean chemical mechanical polishing (CMP) systems developed by Ebara. A CMP system needs advanced peripheral techniques, in addition to those for grinding adopted by the conventional system, in order to fully exhibit its inherent functions. An integrated design concept is essential for the CMP steps, including slurry supplying, polishing, washing, process controlling and waste fluid treatment. The Ebara has adopted a standard concept `Clean CMP, dry-in and dry-out of wafers,` and provided world`s highest grades of techniques for inter-layer insulating film, shallow trench isolation, plug and wiring. The head for the polishing module is specially designed by FEM, to improve homogeneity of wafers from the center to edges. The dresser is also specially designed, to improve pad surface topolody after dressing. A slurry dipsersing method is developed to reduce slurry consumption. Various washing modules, designed to have the same external shape, can be allocated to various functions. 10 figs.

  3. Evaluation of environmental impacts during chemical mechanical polishing (CMP) for sustainable manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyun Seop; Park, Sun Joon; Jeong, Hae Do [Pusan National University, Busan (Korea, Republic of)

    2013-02-15

    Reducing energy consumption has become a critical issue in manufacturing. The semiconductor industry in particular is confronted with environmental regulations on pollution associated with electric energy, chemical, and ultrapure water (UPW) consumptions. This paper presents the results of an evaluation of the environmental impacts during chemical mechanical polishing (CMP), a key process for planarization of dielectrics and metal films in ultra-large-scale integrated circuits. The steps in the CMP process are idling, conditioning, wetting, wafer loading/unloading, head dropping, polishing, and rinsing. The electric energy, CMP slurry, and UPW consumptions associated with the process and their impacts on global warming are evaluated from an environmental standpoint. The estimates of electric energy, slurry, and UPW consumptions as well as the associated greenhouse gas emissions presented in this paper will provide a technical aid for reducing the environmental burden associated with electricity consumption during the CMP process.

  4. Model analysis and experimental investigation of the friction torque during the CMP process

    International Nuclear Information System (INIS)

    Guo Dongming; Xu Chi; Kang Renke; Jin Zhuji

    2011-01-01

    A model for calculating friction torque during the chemical mechanical polishing (CMP) process is presented, and the friction force and torque detection experiments during the CMP process are carried out to verify the model. The results show that the model can well describe the feature of friction torque during CMP processing. The research results provide a theoretical foundation for the CMP endpoint detection method based on the change of the torque of the polishing head rotational spindle. (semiconductor technology)

  5. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper

    Science.gov (United States)

    Lee, Seung-Mahn

    2003-10-01

    Chemical mechanical polishing (CMP) has received much attention as an unique technique to provide a wafer level planarization in semiconductor manufacturing. However, despite the extensive use of CMP, it still remains one of the least understood areas in semiconductor processing. The lack of the fundamental understanding is a significant barrier to further advancements in CMP technology. One critical aspect of metal CMP is the formation of a thin surface layer on the metal surface. The formation and removal of this layer controls all the aspects of the CMP process, including removal rate, surface finish, etc. In this dissertation, we focus on the characterization of the formation and removal of the thin surface layer on the copper surface. The formation dynamics was investigated using static and dynamic electrochemical techniques, including potentiodynamic scans and chronoamperometry. The results were validated using XPS measurements. The mechanical properties of the surface layer were investigated using nanoindentation measurements. The electrochemical investigation showed that the thickness of the surface layer is controlled by the chemicals such as an oxidizer (hydrogen peroxide), a corrosion inhibitor (benzotriazole), a complexing agent (citric acid), and their concentrations. The dynamic electrochemical measurements indicated that the initial layer formation kinetics is unaffected by the corrosion inhibitors. The passivation due to the corrosion inhibitor becomes important only on large time scales (>200 millisecond). The porosity and the density of the chemically modified surface layer can be affected by additives of other chemicals such as citric acid. An optimum density of the surface layer is required for high polishing rate while at the same time maintaining a high degree of surface finish. Nanoindentation measurements indicated that the mechanical properties of the surface layer are strongly dependent on the chemical additives in the slurry. The CMP

  6. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  7. Mechanistic, kinetic, and processing aspects of tungsten chemical mechanical polishing

    Science.gov (United States)

    Stein, David

    This dissertation presents an investigation into tungsten chemical mechanical polishing (CMP). CMP is the industrially predominant unit operation that removes excess tungsten after non-selective chemical vapor deposition (CVD) during sub-micron integrated circuit (IC) manufacture. This work explores the CMP process from process engineering and fundamental mechanistic perspectives. The process engineering study optimized an existing CMP process to address issues of polish pad and wafer carrier life. Polish rates, post-CMP metrology of patterned wafers, electrical test data, and synergy with a thermal endpoint technique were used to determine the optimal process. The oxidation rate of tungsten during CMP is significantly lower than the removal rate under identical conditions. Tungsten polished without inhibition during cathodic potentiostatic control. Hertzian indenter model calculations preclude colloids of the size used in tungsten CMP slurries from indenting the tungsten surface. AFM surface topography maps and TEM images of post-CMP tungsten do not show evidence of plow marks or intergranular fracture. Polish rate is dependent on potassium iodate concentration; process temperature is not. The colloid species significantly affects the polish rate and process temperature. Process temperature is not a predictor of polish rate. A process energy balance indicates that the process temperature is predominantly due to shaft work, and that any heat of reaction evolved during the CMP process is negligible. Friction and adhesion between alumina and tungsten were studied using modified AFM techniques. Friction was constant with potassium iodate concentration, but varied with applied pressure. This corroborates the results from the energy balance. Adhesion between the alumina and the tungsten was proportional to the potassium iodate concentration. A heuristic mechanism, which captures the relationship between polish rate, pressure, velocity, and slurry chemistry, is presented

  8. Characterization of shallow trench isolation CMP process and its application

    Science.gov (United States)

    Li, Helen; Zhang, ChunLei; Liu, JinBing; Liu, ZhengFang; Chen, Kuang Han; Gbondo-Tugbawa, Tamba; Ding, Hua; Li, Flora; Lee, Brian; Gower-Hall, Aaron; Chiu, Yang-Chih

    2016-03-01

    Chemical mechanical polishing (CMP) has been a critical enabling technology in shallow trench isolation (STI), which is used in current integrated circuit fabrication process to accomplish device isolation. Excessive dishing and erosion in STI CMP processes, however, create device yield concerns. This paper proposes characterization and modeling techniques to address a variety of concerns in STI CMP. In the past, majority of CMP publications have been addressed on interconnect layers in backend- of-line (BEOL) process. However, the number of CMP steps in front-end-of-line (FEOL) has been increasing in more advanced process techniques like 3D-FinFET and replacement metal gate, as a results incoming topography induced by FEOL CMP steps can no longer be ignored as the topography accumulates and stacks up across multiple CMP steps and eventually propagating to BEOL layers. In this paper, we first discuss how to characterize and model STI CMP process. Once STI CMP model is developed, it can be used for screening design and detect possible manufacturing weak spots. We also work with process engineering team to establish hotspot criteria in terms of oxide dishing and nitride loss. As process technologies move from planar transistor to 3D transistor like FinFet and multi-gate, it is important to accurately predict topography in FEOL CMP processes. These incoming topographies when stacked up can have huge impact in BEOL copper processes, where copper pooling becomes catastrophic yield loss. A calibration methodology to characterize STI CMP step is developed as shown in Figure 1; moreover, this STI CMP model is validated from silicon data collected from product chips not used in calibration stage. Additionally, wafer experimental setup and metrology plan are instrumental to an accurate model with high predictive power. After a model is generated, spec limits and threshold to establish hotspots criteria can be defined. Such definition requires working closely with foundry

  9. Study on the CMP characteristics of a copper passivity layer formed by dipping in an oxidizer

    International Nuclear Information System (INIS)

    Choi, Youn-Ok; Lee, Woo-Sun; Choi, Gwon-Woo; Lee, Kang-Yeon; Kim, Nam-Oh

    2011-01-01

    Copper has been the material for ultra-large-scale integrated circuits owing to its excellent electromigration resistance and low electrical resistance. The polishing mechanism of metal chemical mechanical polishing (CMP) has been reported to be a repeated process of passive oxide layer formation through the use of on oxidizer and then the abrasion action of the slurry. However, because copper is softer and more sensitive to corrosion than tungsten, the slurry composition and the polishing mechanism during the copper CMP process may be more complicated. In a general Cu-CMP process, a mixture of an alumina-based slurry and an oxidizer in proper proportion is used in order to form a passive oxide layer such as CuO and CuO 2 . However, a conventional CMP process consumes an unnecessary amount of slurry to formed the passive layer. Therefore, in this paper, we propose a new method. The copper samples were oxidized by dipping in an oxidizer for an appropriate time to minimize the consumption of slurry before the CMP process. Then, we performed the CMP process. In order to compare the polishing characteristics of the copper thin film, we discuss the CMP removal rate and non-uniformity, as well as the microstructure of the surface and a layer cross-section based on a scanning.

  10. Optimization of a Cu CMP process modeling parameters of nanometer integrated circuits

    International Nuclear Information System (INIS)

    Ruan Wenbiao; Chen Lan; Ma Tianyu; Fang Jingjing; Zhang He; Ye Tianchun

    2012-01-01

    A copper chemical mechanical polishing (Cu CMP) process is reviewed and analyzed from the view of chemical physics. Three steps Cu CMP process modeling is set up based on the actual process of manufacturing and pattern-density-step-height (PDSH) modeling from MIT. To catch the pattern dependency, a 65 nm testing chip is designed and processed in the foundry. Following the model parameter extraction procedure, the model parameters are extracted and verified by testing data from the 65 nm testing chip. A comparison of results between the model predictions and test data show that the former has the same trend as the latter and the largest deviation is less than 5 nm. Third party testing data gives further evidence to support the great performance of model parameter optimization. Since precise CMP process modeling is used for the design of manufacturability (DFM) checks, critical hotspots are displayed and eliminated, which will assure good yield and production capacity of IC. (semiconductor technology)

  11. Chemical mechanical polisher technology for 300mm/0.18-0.13{mu}m semiconductor devices; 300mm/0.18-0.-0.13{mu}m sedai no CMP gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Kobayashi, F. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are problems involved in, and development points and measures for chemical mechanical polisher (CMP) technology for the generation of 300mm/0.18 to 0.13{mu}m semiconductor devices. Ebara has developed a CMP system for 300mm devices for I300I and Selete (semiconductor high-technologies). The polishing process conditions are set for the time being based on those for the 200mm devices, and the driver and machine structures are set at 2.25 times larger than those for the 200mm devices. Its space requirement is compacter at 1.3 times increase. The company has adopted a concept of `dry-in and dry-out,` which is not common for a CMP. This needs integration of the washer with the polisher, and aerodynamic designs for dust-free conditions. These are already developed for the 200mm devices, and applicable to the 300mm devices without causing any problem. The special chamber for the conventional CMP can be dispensed with, reducing cost. Expendables, such as slurry pad, are being developed to double their service lives and halve their consumption. 8 figs.

  12. Investigation of aluminum gate CMP in a novel alkaline solution

    International Nuclear Information System (INIS)

    Feng Cuiyue; Liu Yuling; Sun Ming; Zhang Wenqian; Zhang Jin; Wang Shuai

    2016-01-01

    Beyond 45 nm, due to the superior CMP performance requirements with the metal gate of aluminum in the advanced CMOS process, a novel alkaline slurry for an aluminum gate CMP with poly-amine alkali slurry is investigated. The aluminum gate CMP under alkaline conditions has two steps: stock polishing and fine polishing. A controllable removal rate, the uniformity of aluminum gate and low corrosion are the key challenges for the alkaline polishing slurry of the aluminum gate CMP. This work utilizes the complexation-soluble function of FA/O II and the preference adsorption mechanism of FA/O I nonionic surfactant to improve the uniformity of the surface chemistry function with the electrochemical corrosion research, such as OCP-TIME curves, Tafel curves and AC impedance. The result is that the stock polishing slurry (with SiO 2 abrasive) contains 1 wt.% H 2 O 2 ,0.5 wt.% FA/O II and 1.0 wt.% FA/O I nonionic surfactant. For a fine polishing process, 1.5 wt.% H 2 O 2 , 0.4 wt.% FA/O II and 2.0 wt.% FA/O I nonionic surfactant are added. The polishing experiments show that the removal rates are 3000 ± 50 Å/min and 1600 ± 60 Å/min, respectively. The surface roughnesses are 2.05 ± 0.128 nm and 1.59 ± 0.081 nm, respectively. A combination of the functions of FA/O II and FA/O I nonionic surfactant obtains a controllable removal rate and a better surface roughness in alkaline solution. (paper)

  13. Atomistic scale nanoscratching behavior of monocrystalline Cu influenced by water film in CMP process

    Science.gov (United States)

    Shi, Junqin; Chen, Juan; Fang, Liang; Sun, Kun; Sun, Jiapeng; Han, Jing

    2018-03-01

    The effect of water film on the nanoscratching behavior of monocrystalline Cu was studied by molecular dynamics (MD) simulation. The results indicate that the friction force acting on abrasive particle increases due to the resistance of water film accumulating ahead of particle, but the water film with lubrication decreases friction force acting on Cu surface. The accumulation of water molecules around particle causes the anisotropy of ridge and the surface damage around the groove, and the water molecules remaining in the groove lead to the non-regular groove structure. The dislocation evolution displays the re-organization of the dislocation network in the nanoscratching process. The evaluation of removal efficiency shows the number of removed Cu atoms decreases with water film thickness. It is considered that an appropriate rather than a high removal efficiency should be adopted to evaluate the polishing process in real (chemical mechanical polishing) CMP. These results are helpful to reveal the polishing mechanism under the effect of water film from physical perspective, which benefits the development of ultra-precision manufacture and miniaturized components, as well as the innovation of CMP technology.

  14. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  15. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  16. Material removal mechanisms in electrochemical-mechanical polishing of tantalum

    International Nuclear Information System (INIS)

    Gao, F.; Liang, H.

    2009-01-01

    Material removal mechanisms in tantalum chemical-mechanical polishing (CMP) and electrochemical-mechanical polishing (ECMP) were investigated using the single frequency electrochemical impedance spectroscopy (EIS). Through measuring the impedance of the tantalum surface, the single frequency EIS scan made it possible to observe the CMP and ECMP processes in situ. The impedance results presented competing mechanisms of removal and formation of a surface oxide layer of tantalum. Analysis indicated that the thickness of the oxide layer formed during polishing was related to the mechanical power correlated to the friction force and the rotating speed. Furthermore, the rate of growth and removal of the oxide film was a function of the mechanical power. This understanding is beneficial for optimization of CMP and ECMP processes.

  17. Studies on Slurry Design Fundamentals for Advanced CMP Applications

    KAUST Repository

    Basim, G. B.; Karagoz, A.; Ozdemir, Z.; Vakarelski, Ivan Uriev; Chen, Long

    2013-01-01

    New developments and device performance requirements in microelectronics industry add to the challenges in chemical mechanical planarization (CMP) process. One of the recently introduced materials is germanium which enables improved performance through better channel mobility in shallow trench isolation (STI) applications. This paper reports on the slurry design alternatives for Ge CMP with surfactant mediation to improve on the silica/germanium selectivity using colloidal silica slurry. In addition to the standard CMP tests to evaluate the material removal rates, atomic force microscopy (AFM) based wear tests were also conducted to evaluate single particle-surface interaction of the polishing system. Furthermore, nature of the surface oxide film of germanium was studied through contact angle measurements and surface roughness tested by AFM. It was observed that the CMP selectivity of the silica/germanium system and defectivity control were possible with a reasonable material removal rate value by using self-assembled structures of cationic surfactants.

  18. Studies on Slurry Design Fundamentals for Advanced CMP Applications

    KAUST Repository

    Basim, G. B.

    2013-06-14

    New developments and device performance requirements in microelectronics industry add to the challenges in chemical mechanical planarization (CMP) process. One of the recently introduced materials is germanium which enables improved performance through better channel mobility in shallow trench isolation (STI) applications. This paper reports on the slurry design alternatives for Ge CMP with surfactant mediation to improve on the silica/germanium selectivity using colloidal silica slurry. In addition to the standard CMP tests to evaluate the material removal rates, atomic force microscopy (AFM) based wear tests were also conducted to evaluate single particle-surface interaction of the polishing system. Furthermore, nature of the surface oxide film of germanium was studied through contact angle measurements and surface roughness tested by AFM. It was observed that the CMP selectivity of the silica/germanium system and defectivity control were possible with a reasonable material removal rate value by using self-assembled structures of cationic surfactants.

  19. Development of Formulations for a-SiC and Manganese CMP and Post-CMP Cleaning of Cobalt

    Science.gov (United States)

    Lagudu, Uma Rames Krishna

    We have investigated the chemical mechanical polishing (CMP) of amorphous SiC (a-SiC) and Mn and Post CMP cleaning of cobalt for various device applications. During the manufacture of copper interconnects using the damascene process the polishing of copper is followed by the polishing of the barrier material (Co, Mn, Ru and their alloys) and its post CMP cleaning. This is followed by the a-SiC hard mask CMP. Silicon carbide thin films, though of widespread use in microelectronic engineering, are difficult to process by CMP because of their hardness and chemical inertness. The earlier part of the SiC work discusses the development of slurries based on silica abrasives that resulted in high a-SiC removal rates (RRs). The ionic strength of the silica dispersion was found to play a significant role in enhancing material removal rate, while also providing very good post-polish surface-smoothness. For example, the addition of 50 mM potassium nitrate to a pH 8 aqueous slurry consisting of 10 wt % of silica abrasives and 1.47 M hydrogen peroxide increased the RR from about 150 nm/h to about 2100 nm/h. The role of ionic strength in obtaining such high RRs was investigated using surface zeta-potentials measurements and X-ray photoelectron spectroscopy (XPS). Evidently, hydrogen peroxide promoted the oxidation of Si and C to form weakly adhered species that were subsequently removed by the abrasive action of the silica particles. The effect of potassium nitrate in increasing material removal is attributed to the reduction in the electrostatic repulsion between the abrasive particles and the SiC surface because of screening of surface charges by the added electrolyte. We also show that transition metal compounds when used as additives to silica dispersions enhance a-SiC removal rates (RRs). Silica slurries containing potassium permanganate gave RRs as high as 2000 nm/h at pH 4. Addition of copper sulfate to this slurry further enhanced the RRs to ˜3500 nm/h at pH 6

  20. Post-CMP cleaning for metallic contaminant removal by using a remote plasma and UV/ozone

    International Nuclear Information System (INIS)

    Lim, Jong Min; Jeon, Bu Yong; Lee, Chong Mu

    2000-01-01

    For the chemical mechanical polishing (CMP) process to be successful, it is important to establish a good post-CMP cleaning process that will remove not only slurry and particles but also metallic impurities from the polished surface. The common metallic contaminants found after oxide CMP and Cu CMP include Cu, K, and Fe. Scrubbing, a popular method for post-CMP cleaning, is effective in removing particles, but removal of metallic contaminants using this method is not so effective. In this study, the removal of Fe metallic contaminants like Fe, which are commonly found on the wafer surface after CMP processes, was investigated using remote-hydrogen-plasma and UV/O 3 cleaning techniques. Our results show that metal contaminants, including Fe, can be effectively removed by using a hydrogen-plasma or UV/O 3 cleaning technique performed under optimal process conditions. In remote plasma H 2 cleaning, contaminant removal is enhanced with decreasing plasma exposure time and increasing rf-power. The optimal process condition for the removal of the Fe impurities existing on the wafer surface is an rf-power of 100 W. Plasma cleaning for 5 min or less is effective in removing Fe contaminants, but a plasma exposure time of 1 min is more appropriate than 5 min in view of the process time, The surface roughness decreased by 30∼50 % after remote-H 2 -plasma cleaning. On the other hand, the highest efficiency of Fe-impurity removal was achieved for an UV exposure time of 30 s. The removal mechanism for the Fe contaminants in the remote-H 2 -plasma and the UV/O 3 cleaning processes is considered to be the liftoff of Fe atoms when the SiO is removed by evaporation after the chemical or native SiO 2 formed underneath the metal atoms reacts with H + and e - to form SiO

  1. Influence of the Molecular Adhesion Force on the Indentation Depth of a Particle into the Wafer Surface in the CMP Process

    Directory of Open Access Journals (Sweden)

    Zhou Jianhua

    2014-01-01

    Full Text Available By theoretical calculation, the external force on the particle conveyed by pad asperities and the molecular adhesion force between particle and wafer are compared and analyzed quantitatively. It is confirmed that the molecular adhesion force between particle and wafer has a great influence on the chemical mechanical polishing (CMP material removal process. Considering the molecular adhesion force between particle and wafer, a more precise model for the indentation of a particle into the wafer surface is developed in this paper, and the new model is compared with the former model which neglected the molecular adhesion force. Through theoretical analyses, an approach and corresponding critical values are applied to estimate whether the molecular adhesion force in CMP can be neglected. These methods can improve the precision of the material removal model of CMP.

  2. Surface qualities after chemical-mechanical polishing on thin films

    International Nuclear Information System (INIS)

    Fu, Wei-En; Lin, Tzeng-Yow; Chen, Meng-Ke; Chen, Chao-Chang A.

    2009-01-01

    Demands for substrate and film surface planarizations significantly increase as the feature sizes of Integrated Circuit (IC) components continue to shrink. Chemical Mechanical Polishing (CMP), incorporating chemical and mechanical interactions to planarize chemically modified surface layers, has been one of the major manufacturing processes to provide global and local surface planarizations in IC fabrications. Not only is the material removal rate a concern, the qualities of the CMP produced surface are critical as well, such as surface finish, defects and surface stresses. This paper is to examine the CMP produced surface roughness on tungsten or W thin films based on the CMP process conditions. The W thin films with thickness below 1000 nm on silicon wafer were chemical-mechanical polished at different down pressures and platen speeds to produce different surface roughness. The surface roughness measurements were performed by an atomic force microscope (DI D3100). Results show that the quality of surface finish (R a value) is determined by the combined effects of down pressures and platen speeds. An optimal polishing condition is, then, possible for selecting the down pressures and platen speeds.

  3. Effect of conditioner load on the polishing pad surface during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Cheol Min; Qin, Hong Yi; Hong, Seok Jun; Jeon, Sang Hyuk; Kulkarni, Atul; Kim, Tae Sun [Sungkyunkwan University, Suwon (Korea, Republic of)

    2016-12-15

    During the Chemical mechanical planarization (CMP), the pad conditioning process can affect the pad surface characteristics. Among many CMP process parameters, the improper applied load on the conditioner arm may have adverse effects on the polyurethane pad. In this work, we evaluated the pad surface properties under the various conditioner arm applied during pad conditioning process. The conditioning pads were evaluated for surface topography, surface roughness parameters such as Rt and Rvk and Material removal rate (MRR) and within-wafer non-uniformity after wafer polishing. We observed that, the pad asperities were collapsed in the direction of conditioner rotation and blocks the pad pores applied conditioner load. The Rvk value and MRR were founded to be in relation with 4 > 1 > 7 kgF conditioner load. Hence, this study shows that, 4 kgF applied load by conditioner is most suitable for the pad conditioning during CMP.

  4. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    The demand for smaller, faster devices has led the integrated circuit (IC) industry to continually increase the device density on a chip while simultaneously reducing feature dimensions. Copper interconnects and multilevel metallization (MLM) schemes were introduced to meet some of these challenges. With the employment of MLM in the ultra-large-scale-integrated (ULSI) circuit fabrication technology, repeated planarization of different surface layers with tolerance of a few nanometers is required. Presently, chemical-mechanical planarization (CMP) is the only technique that can meet this requirement. Damascene and shallow trench isolation processes are currently used in conjunction with CMP in the fabrication of multilevel copper interconnects and isolation of devices, respectively, for advanced logic and memory devices. These processes, at some stage, require simultaneous polishing of two different materials using a single slurry that offers high polish rates, high polish selectivity to one material over the other and good post-polish surface finish. Slurries containing one kind of abrasive particles do not meet most of these demands due mainly to the unique physical and chemical properties of each abrasive. However, if a composite particle is formed that takes the advantages of different abrasives while mitigating their disadvantages, the CMP performance of resulting abrasives would be compelling. It is demonstrated that electrostatic interactions between ceria and silica particles at pH 4 can be used to produce composite particles with enhanced functionality. Zeta potential measurement and TEM images used for particle characterization show the presence of such composite particles with smaller shell particles attached onto larger core particles. Slurries containing ceria (core)/silica (shell) and silica (core)/ceria (shell) composite particles when used to polish metal and dielectric films, respectively, yield both enhanced metal and dielectric film removal rates

  5. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    International Nuclear Information System (INIS)

    Chou, Yi-Sin; Yen, Shi-Chern; Jeng, King-Tsai

    2015-01-01

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface

  6. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yi-Sin [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Yen, Shi-Chern, E-mail: scyen@ntu.edu.tw [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Jeng, King-Tsai [Research Division I, TIER, 7F, No. 16-8, Dehuei St., Taipei 10461, Taiwan (China)

    2015-07-15

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface.

  7. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  8. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  9. Role of crystal orientation on chemical mechanical polishing of single crystal copper

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Aibin, E-mail: abzhu@mail.xjtu.edu.cn; He, Dayong; Luo, Wencheng; Liu, Yangyang

    2016-11-15

    Highlights: • The role of crystal orientation in cooper CMP by quasi-continuum was studied. • The atom displacement diagrams were obtained and analyzed. • The stress distribution diagrams and load-displacement curves were analyzed. • This research is helpful to revealing the material removal mechanism of CMP. - Abstract: The material removal mechanism of single crystal copper in chemical mechanical polishing (CMP) has not been intensively investigated. And the role of crystal orientation in CMP of single crystal cooper is not quite clear yet. Quasi-continuum method was adopted in this paper to simulate the process of nano-particles grinding on single crystal copper in CMP process. Three different crystal orientations, i.e. x[100]y[001], x[001]y[110] and x[–211]y[111], were chosen for analysis. The atom displacement diagrams, stress distribution diagrams and load-displacement curves were obtained. After analyzing the deformation mechanism, residual stress of the work piece material and cutting force, results showed that, the crystal orientation of work piece has great influence on the deformation characteristics and surface quality of work piece during polishing. In the A(001)[100] orientation, the residual stress distribution after polishing is deeper, and the stress is larger than that in the B(110)[001] and C(111)[–211] orientations. And the average tangential cutting force in the A(001)[100] orientation is much larger than those in the other two crystal orientation. This research is helpful to revealing the material removal mechanism of CMP process.

  10. Design of an ultraprecision computerized numerical control chemical mechanical polishing machine and its implementation

    Science.gov (United States)

    Zhang, Chupeng; Zhao, Huiying; Zhu, Xueliang; Zhao, Shijie; Jiang, Chunye

    2018-01-01

    The chemical mechanical polishing (CMP) is a key process during the machining route of plane optics. To improve the polishing efficiency and accuracy, a CMP model and machine tool were developed. Based on the Preston equation and the axial run-out error measurement results of the m circles on the tin plate, a CMP model that could simulate the material removal at any point on the workpiece was presented. An analysis of the model indicated that lower axial run-out error led to lower material removal but better polishing efficiency and accuracy. Based on this conclusion, the CMP machine was designed, and the ultraprecision gas hydrostatic guideway and rotary table as well as the Siemens 840Dsl numerical control system were incorporated in the CMP machine. To verify the design principles of machine, a series of detection and machining experiments were conducted. The LK-G5000 laser sensor was employed for detecting the straightness error of the gas hydrostatic guideway and the axial run-out error of the gas hydrostatic rotary table. A 300-mm-diameter optic was chosen for the surface profile machining experiments performed to determine the CMP efficiency and accuracy.

  11. Combined Ultrasonic Elliptical Vibration and Chemical Mechanical Polishing of Monocrystalline Silicon

    Directory of Open Access Journals (Sweden)

    Liu Defu

    2016-01-01

    Full Text Available An ultrasonic elliptical vibration assisted chemical mechanical polishing(UEV-CMP is employed to achieve high material removal rate and high surface quality in the finishing of hard and brittle materials such as monocrystalline silicon, which combines the functions of conventional CMP and ultrasonic machining. In theultrasonic elliptical vibration aided chemical mechanical polishingexperimental setup developed by ourselves, the workpiece attached at the end of horn can vibrate simultaneously in both horizontal and vertical directions. Polishing experiments are carried out involving monocrystalline silicon to confirm the performance of the proposed UEV-CMP. The experimental results reveal that the ultrasonic elliptical vibration can increase significantly the material removal rate and reduce dramatically the surface roughness of monocrystalline silicon. It is found that the removal rate of monocrystalline silicon polished by UEV-CMP is increased by approximately 110% relative to that of conventional CMP because a passive layer on the monocrystalline silicon surface, formed by the chemical action of the polishing slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic vibration action. It indicates that the high efficiency and high quality CMP of monocrystalline silicon can be performed with the proposed UEV-CMP technique.

  12. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Abrasive Particle Trajectories and Material Removal Non-Uniformity during CMP and Filtration Characteristics of CMP Slurries - A Simulation and Experimental Study

    Science.gov (United States)

    Rastegar, Vahid

    Nanoscale finishing and planarization are integral process steps in multilevel metallization designs for integrated circuit (IC) manufacturing since it is necessary to ensure local and global surface planarization at each metal layer before depositing the next layer. Chemical mechanical planarization (CMP) has been widely recognized as the most promising technology to eliminate topographic variation and has allowed the construction of multilevel interconnection structures with a more regularly stacked sequence, resulting in better device performance [1]. Understanding fundamental of the CMP mechanisms can offer guidance to the control and optimization of the polishing processes. CMP kinematics based on slurry distribution and particle trajectories have a significant impact on MRR profiles. In this work a mathematical model to describe particle trajectories during chemical mechanical polishing was developed and extended to account for the effect of larger particles, particle location changes due to slurry dispensing and in-situ conditioning. Material removal rate (MRR) and within wafer non-uniformity (WIWNU) were determined based on the calculated particle trajectory densities. Rotary dynamics and reciprocating motion were optimized to obtain best MRR uniformity. Edge-fast MRR profile was discussed based on mechanical aspect of CMP. Using the model, we also investigated the effect of variable rotational speeds of wafer and pad, and of large particles on WIWNU and scratch growth. It was shown that the presence of even a small portion of large particles can deteriorate the WIWNU significantly and also lead to more scratches. Furthermore, it was shown that the in-situ conditioning improves the uniformity of the polished wafers. Furthermore, a combined experimental and computational study of fibrous filters for removal of larger abrasive particles from aqueous dispersions, essential to minimize defects during chemical mechanical polishing, was performed. Dilute aqueous

  14. Prediction of Tungsten CMP Pad Life Using Blanket Removal Rate Data and Endpoint Data Obtained from Process Temperature and Carrier Motor Current Measurments

    International Nuclear Information System (INIS)

    Hetherington, Dale L.; Stein, David J.

    1999-01-01

    Several techniques to predict pad failure during tungsten CMP were investigated for a specific consumable set. These techniques include blanket polish rate measurements and metrics derived from two endpoint detection schemes. Blanket polish rate decreased significantly near pad failure. Metrics from the thermal endpoint technique included change in peak temperature, change in the time to reach peak temperature, and the change in the slope of the temperature trace just prior to peak temperature all as a function of pad life. Average carrier motor current before endpoint was also investigated. Changes in these metrics were observed however these changes, excluding time to peak process temperature, were either not consistent between pads or too noisy to be reliable predictors of pad failure

  15. Chemical Mechanical Polishing Optimization for 4H-SiC

    National Research Council Canada - National Science Library

    Neslen, Craig

    2000-01-01

    .... Preliminary chemical mechanical polishing (CMP) studies of 1 3/8" 4H-SiC wafers were performed in an attempt to identify the polishing parameter values that result in a maximum material removal rate and thus reduce substrate polishing time...

  16. Novel ceria-polymer microcomposites for chemical mechanical polishing

    International Nuclear Information System (INIS)

    Coutinho, Cecil A.; Mudhivarthi, Subrahmanya R.; Kumar, Ashok; Gupta, Vinay K.

    2008-01-01

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of ∼100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP

  17. Novel ceria-polymer microcomposites for chemical mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Coutinho, Cecil A. [Department of Chemical and Biomedical Engineering, University of South Florida (United States); Mudhivarthi, Subrahmanya R.; Kumar, Ashok [Nanomaterials and Nanomanufacturing Research Center, University of South Florida (United States); Department of Mechanical Engineering, University of South Florida (United States); Gupta, Vinay K. [Department of Chemical and Biomedical Engineering, University of South Florida (United States)], E-mail: vkgupta@eng.usf.edu

    2008-12-30

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of {approx}100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP.

  18. Consumable Process Development for Chemical Mechanical Planarization of Bit Patterned Media for Magnetic Storage Fabrication

    Science.gov (United States)

    Bonivel, Joseph T., Jr.

    2010-09-01

    As the superparamagnetic limit is reached, the magnetic storage industry looks to circumvent the barrier by implementing patterned media (PM) as a viable means to store and access data. Chemical mechanical polishing (CMP) is a semiconductor fabrication technique used to planarize surfaces and is investigated as a method to ensure that the PM is polished to surface roughness parameters that allow the magnetic read/write head to move seamlessly across the PM. Results from this research have implications in feasibility studies of utilizing CMP as the main planarization technique for PM fabrication. Benchmark data on the output parameters of the CMP process, for bit patterned media (BPM), based on the machine process parameters, pad properties, and slurry characteristics are optimized. The research was conducted in a systematic manner in which the optimized parameters for each phase are utilized in future phases. The optimum results from each of the phases provide an overall optimum characterization for BPM CMP. Results on the CMP machine input parameters indicate that for optimal surface roughness and material removal, low polish pressures and high velocities should be used on the BPM. Pad characteristics were monitored by non destructive technique and results indicate much faster deterioration of all padcharacteristics versus polish time of BPM when compared to IC CMP. The optimum pad for PM polishing was the IC 1400 dual layer Suba V pad with a shore hardness of 57, and a k-groove pattern. The final phase of polishing evaluated the slurry polishing properties and novel nanodiamond (ND) slurry was created and benchmarked on BPM. The resulting CMP output parameters were monitored and neither the ND slurry nor the thermally responsive polymer slurry performed better than the commercially available Cabot iCue slurry for MRR or surface roughness. Research results indicate CMP is a feasible planarization technique for PM fabrication, but successful implementation of CMP

  19. The study on the effect of pattern density distribution on the STI CMP process

    Science.gov (United States)

    Sub, Yoon Myung; Hian, Bernard Yap Tzen; Fong, Lee It; Anak, Philip Menit; Minhar, Ariffin Bin; Wui, Tan Kim; Kim, Melvin Phua Twang; Jin, Looi Hui; Min, Foo Thai

    2017-08-01

    The effects of pattern density on CMP characteristics were investigated using specially designed wafer for the characterization of pattern-dependencies in STI CMP [1]. The purpose of this study is to investigate the planarization behavior based on a direct STI CMP used in cerium (CeO2) based slurry system in terms of pattern density variation. The minimal design rule (DR) of 180nm generation technology node was adopted for the mask layout. The mask was successfully applied for evaluation of a cerium (CeO2) abrasive based direct STI CMP process. In this study, we described a planarization behavior of the loading-effects of pattern density variation which were characterized with layout pattern density and pitch variations using masks mentioned above. Furthermore, the characterizing pattern dependent on the variations of the dimensions and spacing features, in thickness remaining after CMP, were analyzed and evaluated. The goal was to establish a concept of library method which will be used to generate design rules reducing the probability of CMP-related failures. Details of the characterization were measured in various layouts showing different pattern density ranges and the effects of pattern density on STI CMP has been discussed in this paper.

  20. SEM analysis of particle size during conventional treatment of CMP process wastewater

    International Nuclear Information System (INIS)

    Roth, Gary A.; Neu-Baker, Nicole M.; Brenner, Sara A.

    2015-01-01

    Engineered nanomaterials (ENMs) are currently employed by many industries and have different physical and chemical properties from their bulk counterparts that may confer different toxicity. Nanoparticles used or generated in semiconductor manufacturing have the potential to enter the municipal waste stream via wastewater and their ultimate fate in the ecosystem is currently unknown. This study investigates the fate of ENMs used in chemical mechanical planarization (CMP), a polishing process repeatedly utilized in semiconductor manufacturing. Wastewater sampling was conducted throughout the wastewater treatment (WWT) process at the fabrication plant's on-site wastewater treatment facility. The goal of this study was to assess whether the WWT processes resulted in size-dependent filtration of particles in the nanoscale regime by analyzing samples using scanning electron microscopy (SEM). Statistical analysis demonstrated no significant differences in particle size between sampling points, indicating low or no selectivity of WWT methods for nanoparticles based on size. All nanoparticles appeared to be of similar morphology (near-spherical), with a high variability in particle size. EDX verified nanoparticles composition of silicon- and/or aluminum-oxide. Nanoparticle sizing data compared between sampling points, including the final sampling point before discharge from the facility, suggested that nanoparticles could be released to the municipal waste stream from industrial sources. - Highlights: • The discrete treatments of a semiconductor wastewater treatment system were examined. • A sampling scheme and method for analyzing nanoparticles in wastewater was devised. • The wastewater treatment process studied is not size-selective for nanoparticles

  1. Chemical mechanical polishing of hard disk substrate with {alpha}-alumina-g-polystyrene sulfonic acid composite abrasive

    Energy Technology Data Exchange (ETDEWEB)

    Lei Hong, E-mail: hong_lei2005@yahoo.com.c [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Bu Naijing; Chen Ruling; Hao Ping [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Neng Sima; Tu Xifu; Yuen Kwok [Shenzhen Kaifa Magnetic Recording Co., LTD, Shenzhen, 518035 (China)

    2010-05-03

    {alpha}-Alumina-g-polystyrene sulfonic acid ({alpha}-Al{sub 2}O{sub 3}-g-PSS) composite abrasive was prepared by surface activation, graft polymerization and sulfonation, successively. The composition, dispersibility and morphology of the product were characterized by Fourier transformed infrared spectroscopy, laser particle size analysis and scanning electron microscopy, respectively. The chemical mechanical polishing (CMP) performances of the composite abrasive on hard disk substrate with nickel-phosphorous plating were investigated. The microscopy images of the polished surfaces show that {alpha}-Al{sub 2}O{sub 3}-g-PSS composite abrasive results in improved CMP and post-CMP cleaning performances than pure {alpha}-alumina abrasive under the same testing conditions.

  2. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  3. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  4. Development and Analysis of Double-Faced Radial and Cluster-Arranged CMP Diamond Disk

    Directory of Open Access Journals (Sweden)

    M. Y. Tsai

    2014-01-01

    Full Text Available In semiconductor manufacturing, diamond disks are indispensable for dressing chemical mechanical polishing (CMP pads. Recently, 450 mm (18 inch diameter wafers have been used to improve output and reduce wafer production cost. To polish 450 mm diameter wafers, the diameter of polishing pads must be increased to 1050 mm. In particular, because diamond disks are limited to 100 mm diameters, a much greater number of working crystals will be required for dressing a 1050 mm diameter pad. Consequently, new diamond disks must be developed. In this study, novel arrangements are made using a braze in diamond patterns, which are radial with a cluster arrangement of 3-4 grits per cluster. Furthermore, a double-faced combined diamond disk is developed. The polishing pad surface was characterized, and the effect of different diamond conditioners on wafer removal rate was studied. This research aims to develop a more suitable diamond disk for dressing 1050 mm diameter polishing pads.

  5. The way to zeros: The future of semiconductor device and chemical mechanical polishing technologies

    Science.gov (United States)

    Tsujimura, Manabu

    2016-06-01

    For the last 60 years, the development of cutting-edge semiconductor devices has strongly emphasized scaling; the effort to scale down current CMOS devices may well achieve the target of 5 nm nodes by 2020. Planarization by chemical mechanical polishing (CMP), is one technology essential for supporting scaling. This paper summarizes the history of CMP transitions in the planarization process as well as the changing degree of planarity required, and, finally, introduces innovative technologies to meet the requirements. The use of CMP was triggered by the replacement of local oxidation of silicon (LOCOS) as the element isolation technology by shallow trench isolation (STI) in the 1980s. Then, CMP’s use expanded to improving embedability of aluminum wiring, tungsten (W) contacts, Cu wiring, and, more recently, to its adoption in high-k metal gate (HKMG) and FinFET (FF) processes. Initially, the required degree of planarity was 50 nm, but now 0 nm is required. Further, zero defects on a post-CMP wafer is now the goal, and it is possible that zero psi CMP loading pressure will be required going forward. Soon, it seems, everything will have to be “zero” and perfect. Although the process is also chemical in nature, the CMP process is actually mechanical with a load added using slurry particles several tens of nm in diameter. Zero load in the loading process, zero nm planarity with no trace of processing, and zero residual foreign material, including the very slurry particles used in the process, are all required. This article will provide an overview of how to achieve these new requirements and what technologies should be employed.

  6. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  7. Modeling the effects of cohesive energy for single particle on the material removal in chemical mechanical polishing at atomic scale

    International Nuclear Information System (INIS)

    Wang Yongguang; Zhao Yongwu; An Wei; Wang Jun

    2007-01-01

    This paper proposes a novel mathematical model for chemical mechanical polishing (CMP) based on interface solid physical and chemical theory in addition to energy equilibrium knowledge. And the effects of oxidation concentration and particle size on the material removal in CMP are investigated. It is shown that the mechanical energy and removal cohesive energy couple with the particle size, and being a cause of the non-linear size-removal rate relation. Furthermore, it also shows a nonlinear dependence of removal rate on removal cohesive energy. The model predictions are in good qualitative agreement with the published experimental data. The current study provides an important starting point for delineating the micro-removal mechanism in the CMP process at atomic scale

  8. Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang Won; Kim, Jae Jeong [Institute of Chemical Process, Seoul National University, Seoul (Korea, Republic of)

    2015-08-15

    As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

  9. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    Science.gov (United States)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  10. Chemical mechanical polishing characteristics of ITO thin film prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Kang-Yeon; Choi, Gwon-Woo; Kim, Yong-Jae; Choi, Youn-Ok; Kim, Nam-Oh

    2012-01-01

    Indium-tin-oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. ITO thin films have found many applications in anti-static coatings, thermal heaters, solar cells, flat panel displays (FPDs), liquid crystal displays (LCDs), electroluminescent devices, sensors and organic light-emitting diodes (OLEDs). ITO thin films are generally fabricated by using various methods, such as spraying, chemical vapor deposition (CVD), evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this research, ITO films were grown on glass substrates by using a radio-frequency (RF) magnetron sputtering method. In order to achieve a high transmittance and a low resistivity, we examined the various film deposition conditions, such as substrate temperature, working pressure, annealing temperature, and deposition time. Next, in order to improve the surface quality of the ITO thin films, we performed a chemical mechanical polishing (CMP) with different process parameters and compared the electrical and the optical properties of the polished ITO thin films. The best CMP conditions with a high removal rate, low nonuniformity, low resistivity and high transmittance were as follows: platen speed, head speed, polishing time, and slurry flow rate of 30 rpm, 30 rpm, 60 sec, and 60 ml/min, respectively.

  11. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  12. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  13. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  14. KDP Aqueous Solution-in-Oil Microemulsion for Ultra-Precision Chemical-Mechanical Polishing of KDP Crystal

    Directory of Open Access Journals (Sweden)

    Hui Dong

    2017-03-01

    Full Text Available A novel functional KH2PO4 (KDP aqueous solution-in-oil (KDP aq/O microemulsion system for KDP crystal ultra-precision chemical-mechanical polishing (CMP was prepared. The system, which consisted of decanol, Triton X-100, and KH2PO4 aqueous solution, was available at room temperature. The functional KDP aq/O microemulsion system was systematically studied and applied as polishing solution to KDP CMP technology. In this study, a controlled deliquescent mechanism was proposed for KDP polishing with the KDP aq/O microemulsion. KDP aqueous solution, the chemical etchant in the polishing process, was caged into the micelles in the microemulsion, leading to a limitation of the reaction between the KDP crystal and KDP aqueous solution only if the microemulsion was deformed under the effect of the external force. Based on the interface reaction dynamics, KDP aqueous solutions with different concentrations (cKDP were applied to replace water in the traditional water-in-oil (W/O microemulsion. The practicability of the controlled deliquescent mechanism was proved by the decreasing material removal rate (MRR with the increasing of the cKDP. As a result, the corrosion pits on the KDP surface were avoided to some degree. Moreover, the roughnesses of KDP with KDP aq/O microemulsion (cKDP was changed from 10 mM to 100 mM as polishing solutions were smaller than that with the W/O microemulsion. The smallest surface root-mean-square roughness of 1.5 nm was obtained at a 30 mmol/L KDP aq solution, because of the most appropriate deliquescent rate and MRR.

  15. Convergent Polishing: A Simple, Rapid, Full Aperture Polishing Process of High Quality Optical Flats & Spheres

    Science.gov (United States)

    Suratwala, Tayyab; Steele, Rusty; Feit, Michael; Dylla-Spears, Rebecca; Desjardin, Richard; Mason, Dan; Wong, Lana; Geraghty, Paul; Miller, Phil; Shen, Nan

    2014-01-01

    Convergent Polishing is a novel polishing system and method for finishing flat and spherical glass optics in which a workpiece, independent of its initial shape (i.e., surface figure), will converge to final surface figure with excellent surface quality under a fixed, unchanging set of polishing parameters in a single polishing iteration. In contrast, conventional full aperture polishing methods require multiple, often long, iterative cycles involving polishing, metrology and process changes to achieve the desired surface figure. The Convergent Polishing process is based on the concept of workpiece-lap height mismatch resulting in pressure differential that decreases with removal and results in the workpiece converging to the shape of the lap. The successful implementation of the Convergent Polishing process is a result of the combination of a number of technologies to remove all sources of non-uniform spatial material removal (except for workpiece-lap mismatch) for surface figure convergence and to reduce the number of rogue particles in the system for low scratch densities and low roughness. The Convergent Polishing process has been demonstrated for the fabrication of both flats and spheres of various shapes, sizes, and aspect ratios on various glass materials. The practical impact is that high quality optical components can be fabricated more rapidly, more repeatedly, with less metrology, and with less labor, resulting in lower unit costs. In this study, the Convergent Polishing protocol is specifically described for fabricating 26.5 cm square fused silica flats from a fine ground surface to a polished ~λ/2 surface figure after polishing 4 hr per surface on a 81 cm diameter polisher. PMID:25489745

  16. cmpXLatt: Westinghouse automated testing tool for nodal cross section models

    International Nuclear Information System (INIS)

    Guimaraes, Petri Forslund; Rönnberg, Kristian

    2011-01-01

    The procedure for evaluating the merits of different nodal cross section representation models is normally both cumbersome and time consuming, and includes many manual steps when preparing appropriate benchmark problems. Therefore, a computer tool called cmpXLatt has been developed at Westinghouse in order to facilitate the process of performing comparisons between nodal diffusion theory results and corresponding transport theory results on a single node basis. Due to the large number of state points that can be evaluated by cmpXLatt, a systematic and comprehensive way of performing verification and validation of nodal cross section models is provided. This paper presents the main features of cmpXLatt and demonstrates the benefits of using cmpXLatt in a real life application. (author)

  17. Chemical Mechanical Polishing of Ruthenium, Cobalt, and Black Diamond Films

    Science.gov (United States)

    Peethala, Brown Cornelius

    Ta/TaN bilayer serves as the diffusion barrier as well as the adhesion promoter between Cu and the dielectric in 32 nm technology devices. A key concern of future technology devices (layer (vs. a bilayer of Ta/TaN) to act as a barrier. During patterning, they need to be planarized using conventional chemical mechanical polishing (CMP) to achieve a planar surface. However, CMP of these new barrier materials requires novel slurry compositions that provide adequate selectivity towards Cu and dielectric films, and minimize galvanic corrosion. Apart from the application as a barrier, Ru also has been proposed as a lower electrode material in metal-insulator-metal capacitors where high (> 50 nm/min) Ru removal rates (RRs) are required and as a stop layer in magnetic recording head fabrication where low (hydroxide (KOH). It was also determined that increased the ionic strength is not responsible for the observed increase in Ru removal rate. Benzotirazole (BTA) and ascorbic acid were added to the slurry to reduce the open circuit potential (Eoc) difference between Cu and Ru to ˜20 mV from about 550 mV in the absence of additives. A removal mechanism with KIO4 as the oxidizing agent is proposed based on the formation of several ruthenium oxides, some of which formed residues on the polishing pad below a pH of ˜7. Next, a colloidal silica-based slurry with hydrogen peroxide (H 2O2) as the oxidizer (1 wt%), and arginine (0.5 wt%) as the complexing agent was developed to polish Co at pH 10. The Eoc between Cu and Co at the above conditions was reduced to ˜20 mV compared to ˜250 mV in the absence of additives, suggestive of reduced galvanic corrosion during the Co polishing. The slurry also has the advantages of good post-polish surface quality at pH 10, and no dissolution rate. BTA at a concentration of 5mM in this slurry inhibited Cu dissolution rates and yielded a Cu/Co RR ratio of ˜0.8:1 while the open potential difference between Cu and Co was further reduced to ˜10

  18. Dynamic NMR Study of Model CMP Slurry Containing Silica Particles as Abrasives

    Science.gov (United States)

    Odeh, F.; Al-Bawab, A.; Li, Y.

    2018-02-01

    Chemical mechanical planarization (CMP) should provide a good surface planarity with minimal surface defectivity. Since CMP slurries are multi-component systems, it is very important to understand the various processes and interactions taking place in such slurries. Several techniques have been employed for such task, however, most of them lack the molecular recognition to investigate molecular interactions without adding probes which in turn increase complexity and might alter the microenvironment of the slurry. Nuclear magnetic resonance (NMR) is a powerful technique that can be employed in such study. The longitudinal relaxation times (T1) of the different components of CMP slurries were measured using Spin Echo-NMR (SE-NMR) at a constant temperature. The fact that NMR is non-invasive and gives information on the molecular level gives more advantage to the technique. The model CMP slurry was prepared in D2O to enable monitoring of T1 for the various components' protons. SE-NMR provide a very powerful tool to study the various interactions and adsorption processes that take place in a model CMP silica based slurry which contains BTA and/or glycine and/or Cu+2 ions. It was found that BTA is very competitive towards complexation with Cu+2 ions and BTA-Cu complex adsorbs on silica surface.

  19. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  20. Development of hybrid fluid jet/float polishing process

    Science.gov (United States)

    Beaucamp, Anthony T. H.; Namba, Yoshiharu; Freeman, Richard R.

    2013-09-01

    On one hand, the "float polishing" process consists of a tin lap having many concentric grooves, cut from a flat by single point diamond turning. This lap is rotated above a hydrostatic bearing spindle of high rigidity, damping and rotational accuracy. The optical surface thus floats above a thin layer of abrasive particles. But whilst surface texture can be smoothed to ~0.1nm rms (as measured by atomic force microscopy), this process can only be used on flat surfaces. On the other hand, the CNC "fluid jet polishing" process consists of pumping a mixture of water and abrasive particles to a converging nozzle, thus generating a polishing spot that can be moved along a tool path with tight track spacing. But whilst tool path feed can be moderated to ultra-precisely correct form error on freeform optical surfaces, surface finish improvement is generally limited to ~1.5nm rms (with fine abrasives). This paper reports on the development of a novel finishing method, that combines the advantages of "fluid jet polishing" (i.e. freeform corrective capability) with "float polishing" (i.e. super-smooth surface finish of 0.1nm rms or less). To come up with this new "hybrid" method, computational fluid dynamic modeling of both processes in COMSOL is being used to characterize abrasion conditions and adapt the process parameters of experimental fluid jet polishing equipment, including: (1) geometrical shape of nozzle, (2) position relative to the surface, (3) control of inlet pressure. This new process is aimed at finishing of next generation X-Ray / Gamma Ray focusing optics.

  1. Colloidal and electrochemical aspects of copper-CMP

    Science.gov (United States)

    Sun, Yuxia

    Copper based interconnects with low dielectric constant layers are currently used to increase interconnect densities and reduce interconnect time delays in integrated circuits. The technology used to develop copper interconnects involves Chemical Mechanical Planarization (CMP) of copper films deposited on low-k layers (silica or silica based films), which is carried out using slurries containing abrasive particles. One issue using such a structure is copper contamination over dielectric layers (SiO2 film), if not reduced, this contamination will cause current leakage. In this study, the conditions conducive to copper contamination onto SiO2 films during Cu-CMP process were studied, and a post-CMP cleaning technique was discussed based on experimental results. It was found that the adsorption of copper onto a silica surface is kinetically fast (electrocoagulation was investigated to remove both copper and abrasive slurry particles simultaneously. For effluent containing ˜40 ppm dissolved copper, it was found that ˜90% dissolved copper was removed from the waste streams through electroplating and in-situ chemical precipitation. The amount of copper removed through plating is impacted by membrane surface charge, type/amount of complexing agents, and solid content in the slurry suspension. The slurry particles can be removed ˜90% within 2 hours of EC through multiple mechanisms.

  2. Computer-Controlled Cylindrical Polishing Process for Large X-Ray Mirror Mandrels

    Science.gov (United States)

    Khan, Gufran S.; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    We are developing high-energy grazing incidence shell optics for hard-x-ray telescopes. The resolution of a mirror shells depends on the quality of cylindrical mandrel from which they are being replicated. Mid-spatial-frequency axial figure error is a dominant contributor in the error budget of the mandrel. This paper presents our efforts to develop a deterministic cylindrical polishing process in order to keep the mid-spatial-frequency axial figure errors to a minimum. Simulation software is developed to model the residual surface figure errors of a mandrel due to the polishing process parameters and the tools used, as well as to compute the optical performance of the optics. The study carried out using the developed software was focused on establishing a relationship between the polishing process parameters and the mid-spatial-frequency error generation. The process parameters modeled are the speeds of the lap and the mandrel, the tool s influence function, the contour path (dwell) of the tools, their shape and the distribution of the tools on the polishing lap. Using the inputs from the mathematical model, a mandrel having conical approximated Wolter-1 geometry, has been polished on a newly developed computer-controlled cylindrical polishing machine. The preliminary results of a series of polishing experiments demonstrate a qualitative agreement with the developed model. We report our first experimental results and discuss plans for further improvements in the polishing process. The ability to simulate the polishing process is critical to optimize the polishing process, improve the mandrel quality and significantly reduce the cost of mandrel production

  3. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  4. The Structure of a Cyanobacterial Bicarbonate Transport Protein, CmpA

    Energy Technology Data Exchange (ETDEWEB)

    Koropatkin, Nicole M.; Koppenaal, David W.; Pakrasi, Himadri B.; Smith, Thomas J.

    2007-01-26

    Cyanobacteria, blue-green algae, are the most abundant autotrophs in aquatic environments and form the base of the food chain by fixing carbon and nitrogen into cellular biomass. To compensate for the low selectivity of Rubisco for CO₂ over O₂, Cyanobacteria have developed highly efficient CO₂concentrating machinery of which the ABC transport system CmpABCD from Synechocystis PCC 6803 is one component. Here we describe the structure of the bicarbonate binding protein, CmpA, in the absence and presence of bicarbonate and carbonic acid. CmpA is highly homologous to the nitrate transport protein, NrtA. CmpA binds carbonic acid at the entrance to the ligand-binding pocket whereas bicarbonate binds in nearly an identical location compared to nitrate binding to NrtA. Unexpectedly, bicarbonate binding is accompanied by a metal ion, identified as Ca²⁺ via inductively coupled plasma optical emission spectrometry. The binding of bicarbonate and metal is highly cooperative and suggests that CmpA co-transports bicarbonate and calcium.

  5. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  6. Acoustic Emission Based In-process Monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    The applicability of acoustic emission (AE) measurements for in-process monitoring in the Robot Assisted Polishing (RAP) process was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate removal...... improving the efficiency of the process. It also allows for intelligent process control and generally enhances the robustness and reliability of the automated RAP system in industrial applications....... of the part from the machine tool. In this study, development of surface roughness during polishing rotational symmetric surfaces by the RAP process was inferred from AE measurements. An AE sensor was placed on a polishing tool, and a cylindrical rod of Vanadis 4E steel having an initial turned surface...

  7. ITCA: Inter-Task Conflict-Aware CPU accounting for CMP

    OpenAIRE

    Luque, Carlos; Moreto Planas, Miquel; Cazorla Almeida, Francisco Javier; Gioiosa, Roberto; Valero Cortés, Mateo

    2010-01-01

    Chip-MultiProcessors (CMP) introduce complexities when accounting CPU utilization to processes because the progress done by a process during an interval of time highly depends on the activity of the other processes it is coscheduled with. We propose a new hardware CPU accounting mechanism to improve the accuracy when measuring the CPU utilization in CMPs and compare it with previous accounting mechanisms. Our results show that currently known mechanisms lead to a 16% average error when it com...

  8. Acoustic emission-based in-process monitoring of surface generation in robot-assisted polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2016-01-01

    The applicability of acoustic emission (AE) measurements for in-process monitoring of surface generation in the robot-assisted polishing (RAP) was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate...... automatic detection of optimal process endpoint allow intelligent process control, creating fundamental elements in development of robust fully automated RAP process for its widespread industrial application....... removal of the part from the machine tool. In this study, stabilisation of surface roughness during polishing rotational symmetric surfaces by the RAP process was monitored by AE measurements. An AE sensor was placed on a polishing arm in direct contact with a bonded abrasive polishing tool...

  9. The study of optimization on process parameters of high-accuracy computerized numerical control polishing

    Science.gov (United States)

    Huang, Wei-Ren; Huang, Shih-Pu; Tsai, Tsung-Yueh; Lin, Yi-Jyun; Yu, Zong-Ru; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Young, Hong-Tsu

    2017-09-01

    Spherical lenses lead to forming spherical aberration and reduced optical performance. Consequently, in practice optical system shall apply a combination of spherical lenses for aberration correction. Thus, the volume of the optical system increased. In modern optical systems, aspherical lenses have been widely used because of their high optical performance with less optical components. However, aspherical surfaces cannot be fabricated by traditional full aperture polishing process due to their varying curvature. Sub-aperture computer numerical control (CNC) polishing is adopted for aspherical surface fabrication in recent years. By using CNC polishing process, mid-spatial frequency (MSF) error is normally accompanied during this process. And the MSF surface texture of optics decreases the optical performance for high precision optical system, especially for short-wavelength applications. Based on a bonnet polishing CNC machine, this study focuses on the relationship between MSF surface texture and CNC polishing parameters, which include feed rate, head speed, track spacing and path direction. The power spectral density (PSD) analysis is used to judge the MSF level caused by those polishing parameters. The test results show that controlling the removal depth of single polishing path, through the feed rate, and without same direction polishing path for higher total removal depth can efficiently reduce the MSF error. To verify the optical polishing parameters, we divided a correction polishing process to several polishing runs with different direction polishing paths. Compare to one shot polishing run, multi-direction path polishing plan could produce better surface quality on the optics.

  10. Interaction, transformation and toxicity assessment of particles and additives used in the semiconducting industry.

    Science.gov (United States)

    Dumitrescu, Eduard; Karunaratne, Dinusha P; Babu, S V; Wallace, Kenneth N; Andreescu, Silvana

    2018-02-01

    Chemical mechanical planarization (CMP) is a widely used technique for the manufacturing of integrated circuit chips in the semiconductor industry. The process generates large amounts of waste containing engineered particles, chemical additives, and chemo-mechanically removed compounds. The environmental and health effects associated with the release of CMP materials are largely unknown and have recently become of significant concern. Using a zebrafish embryo assay, we established toxicity profiles of individual CMP particle abrasives (SiO 2 and CeO 2 ), chemical additives (hydrogen peroxide, proline, glycine, nicotinic acid, and benzotriazole), as well as three model representative slurries and their resulting waste. These materials were characterized before and after use in a typical CMP process in order to assess changes that may affect their toxicological profile and alter their surface chemistry due to polishing. Toxicity outcome in zebrafish is discussed in relation with the physicochemical characteristics of the abrasive particles and with the type and concentration profile of the slurry components pre and post-polishing, as well as the interactions between particle abrasives and additives. This work provides toxicological information of realistic CMP slurries and their polishing waste, and can be used as a guideline to predict the impact of these materials in the environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  11. Novel cavitation fluid jet polishing process based on negative pressure effects.

    Science.gov (United States)

    Chen, Fengjun; Wang, Hui; Tang, Yu; Yin, Shaohui; Huang, Shuai; Zhang, Guanghua

    2018-04-01

    Traditional abrasive fluid jet polishing (FJP) is limited by its high-pressure equipment, unstable material removal rate, and applicability to ultra-smooth surfaces because of the evident air turbulence, fluid expansion, and a large polishing spot in high-pressure FJP. This paper presents a novel cavitation fluid jet polishing (CFJP) method and process based on FJP technology. It can implement high-efficiency polishing on small-scale surfaces in a low-pressure environment. CFJP uses the purposely designed polishing equipment with a sealed chamber, which can generate a cavitation effect in negative pressure environment. Moreover, the collapse of cavitation bubbles can spray out a high-energy microjet and shock wave to enhance the material removal. Its feasibility is verified through researching the flow behavior and the cavitation results of the negative pressure cavitation machining of pure water in reversing suction flow. The mechanism is analyzed through a computational fluid dynamics simulation. Thus, its cavitation and surface removal mechanisms in the vertical CFJP and inclined CFJP are studied. A series of polishing experiments on different materials and polishing parameters are conducted to validate its polishing performance compared with FJP. The maximum removal depth increases, and surface roughness gradually decreases with increasing negative outlet pressures. The surface becomes smooth with the increase of polishing time. The experimental results confirm that the CFJP process can realize a high material removal rate and smooth surface with low energy consumption in the low-pressure environment, together with compatible surface roughness to FJP. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Savannah River Site chemical, metal, and pesticide (CMP) waste vitrification treatability studies

    International Nuclear Information System (INIS)

    Cicero, C.A.

    1997-01-01

    Numerous Department of Energy (DOE) facilities, as well as Department of Defense (DOD) and commercial facilities, have used earthen pits for disposal of chemicals, organic contaminants, and other waste materials. Although this was an acceptable means of disposal in the past, direct disposal into earthen pits without liners or barriers is no longer a standard practice. At the Savannah River Site (SRS), approximately three million pounds of such material was removed from seven chemical, metal, and pesticide disposal pits. This material is known as the Chemical, Metal, and Pesticide (CMP) Pit waste and carries several different listed waste codes depending on the contaminants in the respective storage container. The waste is not classified as a mixed waste because it is believed to be non-radioactive; however, in order to treat the material in a non-radioactive facility, the waste would first have to be screened for radioactivity. The Defense Waste Processing Technology (DWPT) Section of the Savannah River Technology Center (SRTC) was requested by the DOE-Savannah River (SR) office to determine the viability of vitrification of the CMP Pit wastes. Radioactive vitrification facilities exist which would be able to process this waste, so the material would not have to be analyzed for radioactive content. Bench-scale treatability studies were performed by the DWPT to determine whether a homogeneous and durable glass could be produced from the CMP Pit wastes. Homogeneous and durable glasses were produced from the six pits sampled. The optimum composition was determined to be 68.5 wt% CMP waste, 7.2 wt% Na 2 O, 9 wt% CaO, 7.2 wt% Li 2 O and 8.1 wt% Fe 2 O 3 . This glass melted at 1,150 C and represented a two fold volume reduction

  13. Computer-Controlled Cylindrical Polishing Process for Development of Grazing Incidence Optics for Hard X-Ray Region

    Science.gov (United States)

    Khan, Gufran Sayeed; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    The presentation includes grazing incidence X-ray optics, motivation and challenges, mid spatial frequency generation in cylindrical polishing, design considerations for polishing lap, simulation studies and experimental results, future scope, and summary. Topics include current status of replication optics technology, cylindrical polishing process using large size polishing lap, non-conformance of polishin lap to the optics, development of software and polishing machine, deterministic prediction of polishing, polishing experiment under optimum conditions, and polishing experiment based on known error profile. Future plans include determination of non-uniformity in the polishing lap compliance, development of a polishing sequence based on a known error profile of the specimen, software for generating a mandrel polishing sequence, design an development of a flexible polishing lap, and computer controlled localized polishing process.

  14. CMP(O) tripodands: synthesis, potentiometric studies and extractions

    Energy Technology Data Exchange (ETDEWEB)

    Reinoso-Garcia, M.M.; Jaczewski, D.; Reinhoudt, D.N.; Verboom, W. [Twente Univ., Lab. of Supramolecular Chemistry and Technology, Mesa Research Institute for Nanotechnology, Enschede (Netherlands); Malinowska, E.; Pietrzak, M. [University of Technology, Dept. of Analytical Chemistry, Faculty of Chemistry, Warsaw (Poland); Hill, C. [CEA Valrho Site de Marcoule, Dept. Radiochimie et Procedes, 30 (France); Baa, J.; Gruner, B. [Institut of Organic Chemistry, Academy of Sciences of the Czech Republic, Prague (Czech Republic); Selucky, P. [Nuclear Research Institute REZ, CZ (Czech Republic); Gruttner, C. [Micromod Partikeltechnologie GmbH, Rostock (Germany)

    2006-10-15

    Ligand systems containing three carbamoyl-methyl-phosphonate (CMP) or -phosphine oxide (CMPO) moieties attached to a tripodal platform have been synthesized for metal complexation and subsequent extraction from HNO{sub 3} solutions. The incorporation into ion selective electrodes (ISE) and picrate extractions with Na{sup +}, K{sup +}, Ag{sup +}, Ca{sup 2+}, Cd{sup 2+}, Hg{sup 2+}, Pb{sup 2+}, Cu{sup 2+}, Eu{sup 3+} and Fe{sup 3+} shows that CMPO tripodand 3 is very selective for Eu{sup 3+} and forms a very stable complex (log{beta}{sub ML} = 28.3). Liquid-liquid extractions performed with Eu{sup 3+} and Am{sup 3+} show reasonable extraction properties of the CMP(O) tripodands 3, 11 and 13 in 1,1,2,2-tetra-chloro-ethane, while in 1-octanol for all tripodands studied the distribution coefficients are low. Upon addition of the synergistic agent hexa-brominated cobalt bis(dicarbollide) anion (bromo-COSAN) the distribution coefficients for Am{sup 3+} and Eu{sup 3+} extraction increase considerably for CMP(O) tripodands 3 and 4. Covalently linked COSAN only enhances the extraction of Am{sup 3+} and Eu{sup 3+} at 0.001-0.01 M HNO{sub 3}. The functionalization of dendrimer coated magnetic silica particles with CMP(O) tripodands led to very effective particles (31 and 32) for Am{sup 3+} and Eu{sup 3+} removal from 0.01 M HNO{sub 3} solutions. (authors)

  15. Improvements in the diagnosis of chrondromalacia patellae (CMP) by MRI

    International Nuclear Information System (INIS)

    Koenig, H.; Dinkelaker, F.; Wolf, K.J.

    1991-01-01

    The aim of this study was to improve the MRI diagnosis of CMP, with special reference to the early stages and accurate staging. For this purpose, the retropatellar cartilage was examined by MRI while compression was carried out, using 21 patients and five normal controls. Changes in cartilage thickness and signal intensity were evaluated quantitatively during FLASH and FISP sequences. CMP stage I could be distinguished from normal cartilage by reduction in cartilage thickness and signal increase from the oedematous cartilage during compression. In CMP stages II/III, abnormal protein deposition of collagen type I could be demonstrated by its compressibility. In stages III and IV, the method does not add any significant additional information. (orig./GDG) [de

  16. Development of a multisensory arm for process monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Dalla Costa, Giuseppe; Bissacco, Giuliano

    2015-01-01

    A multisensory polishing arm with integrated three component force sensor, a miniature acoustic emission (AE) sensor and an accelerometer was developed for process monitoring in Robot Assisted Polishing (RAP) process. The arm design was optimized for integration of a force and an AE sensor. The f...

  17. Fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soil: Route-specific by-product in the clandestine manufacture of methamphetamine

    International Nuclear Information System (INIS)

    Pal, Raktim; Megharaj, Mallavarapu; Kirkbride, K. Paul; Naidu, Ravi

    2012-01-01

    We investigated the fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soil. CMP is the major route-specific byproduct in the clandestine manufacture of methamphetamine (MAP) by the use of excess alkali metal (e.g., lithium) in liquid ammonia, which is commonly referred to as the “Nazi method”. This is one of the most common methods used in many countries for the illicit production of MAP. Knowledge on the fate of CMP in the terrestrial environment is essential to combat potential threats arising from illegal dumping of clandestine laboratory wastes. We report on the sorption–desorption, degradation, and metabolism patterns of CMP in three South Australian soils investigated in laboratory scale. CMP sorption in the test soils followed a Freundlich isotherm in the concentration range of 5 to 100 μg mL −1 . Degradation studies showed that CMP was fairly unstable in both non-sterile and sterile soils, with half-life values typically less than one week. The role of biotic and abiotic soil processes in the degradation of CMP also varied significantly between the different soils, and with the length of the incubation period. Interestingly, but not surprisingly, the results showed that the CMP was not actually degraded to any simpler compounds but transformed to more persistent MAP. Thus, the main concern with Nazi method is the potential hazard from MAP rather than CMP if wastes are disposed of into the environment. - Highlights: ► This study investigated the fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soils. ► CMP was fairly unstable in both non-sterile and sterile soils, with half-life values less than a week. ► CMP transforms to more persistent methylamphetamine (MAP) in soils which is a major environmental concern.

  18. Fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soil: Route-specific by-product in the clandestine manufacture of methamphetamine

    Energy Technology Data Exchange (ETDEWEB)

    Pal, Raktim [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia); Megharaj, Mallavarapu, E-mail: Megharaj.Mallavarapu@unisa.edu.au [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia); Kirkbride, K. Paul [Australian Federal Police Forensic and Data Centres, Canberra (Australia); Naidu, Ravi [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia)

    2012-02-01

    We investigated the fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soil. CMP is the major route-specific byproduct in the clandestine manufacture of methamphetamine (MAP) by the use of excess alkali metal (e.g., lithium) in liquid ammonia, which is commonly referred to as the 'Nazi method'. This is one of the most common methods used in many countries for the illicit production of MAP. Knowledge on the fate of CMP in the terrestrial environment is essential to combat potential threats arising from illegal dumping of clandestine laboratory wastes. We report on the sorption-desorption, degradation, and metabolism patterns of CMP in three South Australian soils investigated in laboratory scale. CMP sorption in the test soils followed a Freundlich isotherm in the concentration range of 5 to 100 {mu}g mL{sup -1}. Degradation studies showed that CMP was fairly unstable in both non-sterile and sterile soils, with half-life values typically less than one week. The role of biotic and abiotic soil processes in the degradation of CMP also varied significantly between the different soils, and with the length of the incubation period. Interestingly, but not surprisingly, the results showed that the CMP was not actually degraded to any simpler compounds but transformed to more persistent MAP. Thus, the main concern with Nazi method is the potential hazard from MAP rather than CMP if wastes are disposed of into the environment. - Highlights: Black-Right-Pointing-Pointer This study investigated the fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soils. Black-Right-Pointing-Pointer CMP was fairly unstable in both non-sterile and sterile soils, with half-life values less than a week. Black-Right-Pointing-Pointer CMP transforms to more persistent methylamphetamine (MAP) in soils which is a major environmental concern.

  19. Blood Test: Comprehensive Metabolic Panel (CMP)

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español Blood Test: Comprehensive Metabolic Panel (CMP) KidsHealth / For Parents / Blood ... de sangre: panel metabólico ampliado What Is a Blood Test? A blood test is when a sample of ...

  20. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  1. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  2. Sensor-based monitoring and inspection of surface morphology in ultraprecision manufacturing processes

    Science.gov (United States)

    Rao, Prahalad Krishna

    This research proposes approaches for monitoring and inspection of surface morphology with respect to two ultraprecision/nanomanufacturing processes, namely, ultraprecision machining (UPM) and chemical mechanical planarization (CMP). The methods illustrated in this dissertation are motivated from the compelling need for in situ process monitoring in nanomanufacturing and invoke concepts from diverse scientific backgrounds, such as artificial neural networks, Bayesian learning, and algebraic graph theory. From an engineering perspective, this work has the following contributions: 1. A combined neural network and Bayesian learning approach for early detection of UPM process anomalies by integrating data from multiple heterogeneous in situ sensors (force, vibration, and acoustic emission) is developed. The approach captures process drifts in UPM of aluminum 6061 discs within 15 milliseconds of their inception and is therefore valuable for minimizing yield losses. 2. CMP process dynamics are mathematically represented using a deterministic multi-scale hierarchical nonlinear differential equation model. This process-machine inter-action (PMI) model is evocative of the various physio-mechanical aspects in CMP and closely emulates experimentally acquired vibration signal patterns, including complex nonlinear dynamics manifest in the process. By combining the PMI model predictions with features gathered from wirelessly acquired CMP vibration signal patterns, CMP process anomalies, such as pad wear, and drifts in polishing were identified in their nascent stage with high fidelity (R2 ~ 75%). 3. An algebraic graph theoretic approach for quantifying nano-surface morphology from optical micrograph images is developed. The approach enables a parsimonious representation of the topological relationships between heterogeneous nano-surface fea-tures, which are enshrined in graph theoretic entities, namely, the similarity, degree, and Laplacian matrices. Topological invariant

  3. HYDROGEN PEROXIDE BLEACHING OF CMP PULP USING MAGNESIUM HYDROXIDE

    Directory of Open Access Journals (Sweden)

    Farhad Zeinaly

    2009-11-01

    Full Text Available Conventional bleaching of hardwood CMP pulp with magnesium hydroxide (Mg(OH2 show significant benefits over bleaching with sodium hydroxide (NaOH under various conditions. Magnesium hydroxide bleaching generate higher optical properties, higher pulp yield and lower effluent COD at the same chemical charge, but the physical properties were found to be similar for both processes. The initial freeness of the bleached pulps and refining value to reach a target freeness (about 350 ml. CSF were more for the Mg(OH2-based process. The residual peroxide of filtrate from the Mg(OH2-based process was very high as compared to conventional bleaching.

  4. Consolidation Processes: Raiders & Targets in Polish Financial Institutions

    OpenAIRE

    Poteraj, Jarosław

    2004-01-01

    The work consists of five chapters, an annex and an appendix. In the first chapter the author describes the point of consolidation processes, presents: the meaning of consolidation processes, active and institutional aspects of merger execution, gives a classification of the consolidation processes and considers conditions of execution of consolidations processes in the Polish law, The next chapter concerns reasons of consolidation processes. The author describes there in turn: motives of the...

  5. Distinct Signaling Roles of cIMP, cCMP, and cUMP.

    Science.gov (United States)

    Seifert, Roland

    2016-10-04

    The cyclic purine nucleotide cIMP and the cyclic pyrimidine nucleotides cCMP and cUMP are emerging second messengers. These cNMPs show different biological effects, but the molecular mechanisms remain elusive. In this issue of Structure, Ng et al. (2016) provide structural evidence for distinct interactions of cIMP, cCMP, and cUMP with ion channels. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Benzotriazole removal on post-Cu CMP cleaning

    International Nuclear Information System (INIS)

    Tang Jiying; Liu Yuling; Sun Ming; Fan Shiyan; Li Yan

    2015-01-01

    This work investigates systematically the effect of FA/O II chelating agent and FA/O I surfactant in alkaline cleaning solutions on benzotriazole (BTA) removal during post-Cu CMP cleaning in GLSI under the condition of static etching. The best detergent formulation for BTA removal can be determined by optimization of the experiments of single factor and compound cleaning solution, which has been further confirmed experimentally by contact angle (CA) measurements. The resulting solution with the best formulation has been measured for the actual production line, and the results demonstrate that the obtained cleaning solution can effectively and efficiently remove BTA, CuO and abrasive SiO 2 without basically causing interfacial corrosion. This work demonstrates the possibility of developing a simple, low-cost and environmentally-friendly cleaning solution to effectively solve the issues of BTA removal on post-Cu CMP cleaning in a multi-layered copper wafer. (paper)

  7. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  8. Resistance to the nucleotide analogue cidofovir in HPV(+) cells: a multifactorial process involving UMP/CMP kinase 1

    Czech Academy of Sciences Publication Activity Database

    Topalis, D.; Nogueira, T. C.; De Schutter, T.; El Amri, C.; Krečmerová, Marcela; Naesens, L.; Balzarini, J.; Andrei, G.; Snoeck, R.

    2016-01-01

    Roč. 7, č. 9 (2016), s. 10386-10401 ISSN 1949-2553 R&D Projects: GA ČR(CZ) GA14-00522S Institutional support: RVO:61388963 Keywords : human papillomavirus * cervical carcinoma * UMP-CMP kinase * cidofovir * NTP metabolism Subject RIV: CC - Organic Chemistry Impact factor: 5.168, year: 2016

  9. Benzotriazole removal on post-Cu CMP cleaning

    Science.gov (United States)

    Jiying, Tang; Yuling, Liu; Ming, Sun; Shiyan, Fan; Yan, Li

    2015-06-01

    This work investigates systematically the effect of FA/O II chelating agent and FA/O I surfactant in alkaline cleaning solutions on benzotriazole (BTA) removal during post-Cu CMP cleaning in GLSI under the condition of static etching. The best detergent formulation for BTA removal can be determined by optimization of the experiments of single factor and compound cleaning solution, which has been further confirmed experimentally by contact angle (CA) measurements. The resulting solution with the best formulation has been measured for the actual production line, and the results demonstrate that the obtained cleaning solution can effectively and efficiently remove BTA, CuO and abrasive SiO2 without basically causing interfacial corrosion. This work demonstrates the possibility of developing a simple, low-cost and environmentally-friendly cleaning solution to effectively solve the issues of BTA removal on post-Cu CMP cleaning in a multi-layered copper wafer. Project supported by the Major National Science and Technology Special Projects (No. 2009ZX02308).

  10. Interim Action Proposed Plan for the Chemicals, Metals, and Pesticides (CMP) Pits Operable Unit; FINAL

    International Nuclear Information System (INIS)

    Bradley, J.

    2002-01-01

    The purpose of this Interim Action Proposed Plan (IAPP) is to describe the preferred interim remedial action for addressing the Chemicals, Metals, and Pesticides (CMP) Pits Operable Unit and to provide an opportunity for public input into the remedial action selection process

  11. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  12. Development of on the machine process monitoring and control strategy in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano

    2015-01-01

    Robot Assisted Polishing (RAP) can be used to polish rotational symmetric and free form components achieving surface roughness down to Sa 10 nm. With the aim to enable unmanned robust and cost efficient application of RAP, this paper presents the development of a monitoring and control strategy....... The multisensory approach was experimentally validated in polishing with bonded abrasives demonstrating its suitability for process control in RAP....

  13. Study on combined polishing process of aspherical aluminum mirrors

    Science.gov (United States)

    Deng, Jinqiu; Peng, Xiaoqiang; Hu, Hao; Ge, Kunpeng

    2017-10-01

    The aluminum mirrors are widely used as important optical components in some vital fields such as astronomical instruments or military installations due to the unique advantages of aluminum alloy. In order to simplify the structure of optical system and improve the performance at the same time, it's a tendency that the optics will be designed to aspherical or other freeform shapes. However, the traditional techniques are falling to have adequate abilities to deal with the increasing demands of aluminum optics. For example, the tool marks leaved on the surface from single point diamond turning (SPDT) has obvious adverse effects to optical system. The deterministic and sub-aperture polishing process has showed the potential to fabricate complex shapes over the few years. But it's still recognized as a problem to polish bare aluminum directly because of its soft surface and active chemical characteristics. Therefore, a combination of magnetorheological finishing (MRF) and small tool polishing (STP) is applied to obtain high performance aluminum optics in this paper. A paraboloid aluminum mirror was polished with this proposed method, and the results showed that the surface texture of the sample is restrained from rms 0.409λ (λ=632.8nm) to rms 0.025λ, and the surface roughness is improved from average Ra 6 7nm to Ra 3 4nm.

  14. Effect of 1,2,4-triazole on galvanic corrosion between cobalt and copper in CMP based alkaline slurry

    Science.gov (United States)

    Fu, Lei; Liu, Yuling; Wang, Chenwei; Han, Linan

    2018-04-01

    Cobalt has become a new type of barrier material with its unique advantages since the copper-interconnects in the great-large scale integrated circuits (GLSI) into 10 nm and below technical nodes, but cobalt and copper have severe galvanic corrosion during chemical–mechanical flattening. The effect of 1,2,4-triazole on Co/Cu galvanic corrosion in alkaline slurry and the control of rate selectivity of copper and cobalt were investigated in this work. The results of electrochemical experiments and polishing experiments had indicated that a certain concentration of 1,2,4-triazole could form a layer of insoluble and dense passive film on the surface of cobalt and copper, which reduced the corrosion potential difference between cobalt and copper. Meantime, the removal rate of cobalt and copper could be effectively controlled according to demand during the CMP process. When the study optimized slurry was composed of 0.5 wt% colloidal silica, 0.1 %vol. hydrogen peroxide, 0.05 wt% FA/O, 345 ppm 1,2,4-triazole, cobalt had higher corrosion potential than copper and the galvanic corrosion could be reduced effectively when the corrosion potential difference between them decreased to 1 mV and the galvanic corrosion current density reached 0.02 nA/cm2. Meanwhile, the removal rate of Co was 62.396 nm/min, the removal rate of Cu was 47.328 nm/min, so that the removal rate ratio of cobalt and copper was 1.32 : 1, which was a good amendment to the dishing pits. The contact potential corrosion of Co/Cu was very weak, which could be better for meeting the requirements of the barrier CMP. Project supported by the Major National Science and Technology Special Projects (No. 2016ZX02301003-004-007), the Natural Science Foundation of Hebei Province, China (No. F2015202267), and the Outstanding Young Science and Technology Innovation Fund of Hebei University of Technology (No. 2015007).

  15. Process optimization for ultrasonic vibration assisted polishing of micro-structured surfaces on super hard material

    Science.gov (United States)

    Sun, Zhiyuan; Guo, Bing; Rao, Zhimin; Zhao, Qingliang

    2014-08-01

    In consideration of the excellent property of SiC, the ground micro-structured surface quality is hard to meet the requirement - consequently the ultrasonic vibration assisted polishing (UVAP) of micro-structures of molds is proposed in this paper. Through the orthogonal experiment, the parameters of UVAP of micro-structures were optimized. The experimental results show that, abrasive polishing process, the effect of the workpiece feed rate on the surface roughness (Ra), groove tip radius (R) and material removal rate (MRR) of micro-structures is significant. While, the UVAP, the most significant effect factor for Ra, R and MRR is the ultrasonic amplitude of the ultrasonic vibration. In addition, within the scope of the polishing process parameters selected by preliminary experiments, ultrasonic amplitude of 2.5μm, polishing force of 0.5N, workpiece feed rate of 5 mm·min-1, polishing wheel rotational speed of 50rpm, polishing time of 35min, abrasive size of 100nm and the polishing liquid concentration of 15% is the best technology of UVAP of micro-structures. Under the optimal parameters, the ground traces on the micro-structured surface were removed efficiently and the integrity of the edges of the micro-structure after grinding was maintained efficiently.

  16. A novel compound cleaning solution for benzotriazole removal after copper CMP

    International Nuclear Information System (INIS)

    Gu Zhangbing; Liu Yuling; Gao Baohong; Wang Chenwei; Deng Haiwen

    2015-01-01

    After the chemical mechanical planarization (CMP) process, the copper surface is contaminated by a mass of particles (e.g. silica) and organic residues (e.g. benzotriazole), which could do great harm to the integrated circuit, so post-CMP cleaning is essential. In particular, benzotriazole (BTA) forms a layer of Cu-BTA film with copper on the surface, which leads to a hydrophobic surface of copper. So an effective cleaning solution is needed to remove BTA from the copper surface. In this work, a new compound cleaning solution is designed to solve two major problems caused by BTA: one is removing BTA and the other is copper surface corrosion that is caused by the cleaning solution. The cleaning solution is formed of alkaline chelating agent (FA/O II type), which is used to remove BTA, and a surfactant (FA/O I type), which is used as a corrosion inhibitor. BTA removal is characterized by contact angle measurements and electrochemical techniques. The inhibiting corrosion ability of the surfactant is also characterized by electrochemical techniques. The proposed compound cleaning solution shows advantages in removing BTA without corroding the copper surface. (paper)

  17. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom); Dixon, Simon [Biomer Technology LTD, 10 Seymour Court, Tudor Road, Manor Park, Runcorn, Cheshire, WA7 1SY (United Kingdom); Sharp, Martin C [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom)

    2017-02-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  18. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    International Nuclear Information System (INIS)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R; Dixon, Simon; Sharp, Martin C

    2017-01-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  19. 5-Aza-2'-deoxycytidine synergistic action with thymidine on leukemic cells and interaction of 5-aza-dCMP with dCMP deaminase

    International Nuclear Information System (INIS)

    Momparler, R.L.; Bartolucci, S.; Bouchard, J.; Momparler, L.F.; Raia, C.A.; Rossi, M.

    1986-01-01

    The authors observe a synergistic antineoplastic effect between 5-AZA-dCR and dTR on leukemia cells in culture. In order to understand the mechanism behind this interaction the authors investigate the effects of dTTP on the deamination of 5-aza-2'-deoxycytidine-5'-monophosphate (5-AZA-dCMP) by dCMP deaminase. The effects of 5-AZA-dCTP on this enzyme is also studied. The incorporation of tritium-5-AZA-Cdr into DNA of leukemic cells was performed. The amount of radioactivity incorproated into DNA was determined by trapping the cells on GF/C glass fiber filters and washing with cold TCA. It is shown that the modulation of the atieoplastic activity of deoxycytidine analogs by allosteric effectors such as dTTP may have the potential to increase the effectiveness of the chemotherapy for acute leukemia

  20. Surfactant mediated slurry formulations for Ge CMP applications

    KAUST Repository

    Basim, G. Bahar

    2013-01-01

    In this study, slurry formulations in the presence of self-assembled surfactant structures were investigated for Ge/SiO2 CMP applications in the absence and presence of oxidizers. Both anionic (sodium dodecyl sulfate-SDS) and cationic (cetyl trimethyl ammonium bromide-C12TAB) micelles were used in the slurry formulations as a function of pH and oxidizer concentration. CMP performances of Ge and SiO2 wafers were evaluated in terms of material removal rates, selectivity and surface quality. The material removal rate responses were also assessed through AFM wear rate tests to obtain a faster response for preliminary analyses. The surfactant adsorption characteristics were studied through surface wettability responses of the Ge and SiO2 wafers through contact angle measurements. It was observed that the self-assembled surfactant structures can help obtain selectivity on the silica/germanium system at low concentrations of the oxidizer in the slurry. © 2013 Materials Research Society.

  1. Effect of alkaline slurry on the electric character of the pattern Cu wafer

    International Nuclear Information System (INIS)

    Hu Yi; Liu Yuling; Liu Xiaoyan; He Yangang; Wang Liran; Zhang Baoguo

    2011-01-01

    For process integration considerations, we will investigate the impact of chemical mechanical polishing (CMP) on the electrical characteristics of the pattern Cu wafer. In this paper, we investigate the impacts of the CMP process with two kinds of slurry, one of which is acid slurry of SVTC and the other is FA/O alkaline slurry purchased from Tianjin Jingling Microelectronic Material Limited. Three aspects were investigated: resistance, capacitance and leakage current. The result shows that after polishing by the slurry of FA/O, the resistance is lower than the SVTC. After polishing by the acid slurry and FA/O alkaline slurry, the difference in capacitance is not very large. The values are 0.1 nF and 0.12 nF, respectively. The leakage current of the film polished by the slurry of FA/O is 0.01 nA, which is lower than the slurry of SVTC. The results show that the slurry of FA/O produced less dishing and oxide loss than the slurry of SVTC. (semiconductor technology)

  2. Improving co-running program’s performance on CMP

    Directory of Open Access Journals (Sweden)

    Tian Dawei

    2017-01-01

    Full Text Available Chip multi-processor (CMP has become the most common processor in the current cluster and desktop computer, and it is also the current development direction. On CMP, programs usually co-running with each other. However, programs commonly interfere with each other. Some time the interference takes big effect, which cause serious drop down of performance. In order to avoid the serious performance interference, programs should be scheduled reasonably to different socket to improve the program’s performance and system’s utilization. In this paper we propose a new scheduling method to realize a more reasonable scheduling. We do not only consider the LLC miss rate, but also consider the LLC reference of the programs. By the information of LLC reference and LLC miss rate, we schedule programs to different sockets, which realize a reasonable scheduling. The experiment result show that making use of the scheduling method proposed by our paper, program’s performance can improve 4%, because the performance improve is realized by on-chip resource, which is a big contribution.

  3. Digital Signal Processing Applied to the Modernization Of Polish Navy Sonars

    Directory of Open Access Journals (Sweden)

    Marszal Jacek

    2014-04-01

    Full Text Available The article presents the equipment and digital signal processing methods used for modernizing the Polish Navy’s sonars. With the rapid advancement of electronic technologies and digital signal processing methods, electronic systems, including sonars, become obsolete very quickly. In the late 1990s a team of researchers of the Department of Marine Electronics Systems, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, began work on modernizing existing sonar systems for the Polish Navy. As part of the effort, a methodology of sonar modernization was implemented involving a complete replacement of existing electronic components with newly designed ones by using bespoke systems and methods of digital signal processing. Large and expensive systems of ultrasound transducers and their dipping and stabilisation systems underwent necessary repairs but were otherwise left unchanged. As a result, between 2001 and 2014 the Gdansk University of Technology helped to modernize 30 sonars of different types.

  4. A new cleaning process for the metallic contaminants on a post-CMP wafer's surface

    International Nuclear Information System (INIS)

    Gao Baohong; Liu Yuling; Wang Chenwei; Wang Shengli; Zhou Qiang; Tan Baimei; Zhu Yadong

    2010-01-01

    This paper presents a new cleaning process using boron-doped diamond (BDD) film anode electrochemical oxidation for metallic contaminants on polished silicon wafer surfaces. The BDD film anode electrochemical oxidation can efficiently prepare pyrophosphate peroxide, pyrophosphate peroxide can oxidize organic contaminants, and pyrophosphate peroxide is deoxidized into pyrophosphate. Pyrophosphate, a good complexing agent, can form a metal complex, which is a structure consisting of a copper ion, bonded to a surrounding array of two pyrophosphate anions. Three polished wafers were immersed in the 0.01 mol/L CuSO 4 solution for 2 h in order to make comparative experiments. The first one was cleaned by pyrophosphate peroxide, the second by RCA (Radio Corporation of America) cleaning, and the third by deionized (DI) water. The XPS measurement result shows that the metallic contaminants on wafers cleaned by the RCA method and by pyrophosphate peroxide is less than the XPS detection limits of 1 ppm. And the wafer's surface cleaned by pyrophosphate peroxide is more efficient in removing organic carbon residues than RCA cleaning. Therefore, BDD film anode electrochemical oxidation can be used for microelectronics cleaning, and it can effectively remove organic contaminants and metallic contaminants in one step. It also achieves energy saving and environmental protection. (semiconductor technology)

  5. APS 3D: a new benchmark in aspherical polishing

    Science.gov (United States)

    Gauch, Daniel; Mikulic, Dalibor; Veit, Christian

    2017-10-01

    The APS 3D system performs polishing and form correction in one step in order to reduce overall process time, reduce the number of polishing steps required and eliminate the need for highly skilled operators while providing a repeatable polishing process. This new 3D Polishing system yields better surface quality, and a better slope error, automatically determining the optimum speeds, feed rates and polish pressures to achieve a deterministic process based on the required quality parameters input by the operator. The process flow is always the same to ensure consistent quality and target quality values are defined before polishing begins.

  6. Understanding the creation of & reducing surface microroughness during polishing & post-processing of glass optics

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, Tayyab [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-22

    In the follow study, we have developed a detailed understanding of the chemical and mechanical microscopic interactions that occur during polishing affecting the resulting surface microroughness of the workpiece. Through targeted experiments and modeling, the quantitative relationships of many important polishing parameters & characteristics affecting surface microroughness have been determined. These behaviors and phenomena have been described by a number of models including: (a) the Ensemble Hertzian Multi Gap (EHMG) model used to predict the removal rate and roughness at atomic force microscope (AFM) scale lengths as a function of various polishing parameters, (b) the Island Distribution Gap (IDG) model used to predict the roughness at larger scale lengths, (c) the Deraguin-Verwey-Landau-Overbeek (DLVO) 3-body electrostatic colloidal model used to predict the interaction of slurry particles at the interface and roughness behavior as a function of pH, and (d) a diffusion/chemical reaction rate model of the incorporation of impurities species into the polishing surface layer (called the Bielby layer). Based on this improved understanding, novel strategies to polish the workpiece have been developed simultaneously leading to both ultrasmooth surfaces and high material removal rates. Some of these strategies include: (a) use of narrow PSD slurries, (b) a novel diamond conditioning recipe of the lap to increase the active contact area between the workpiece and lap without destroying its surface figure, (c) proper control of pH for a given glass type to allow for a uniform distribution of slurry particles at the interface, and (d) increase in applied load just up to the transition between molecular to plastic removal regime for a single slurry particle. These techniques have been incorporated into a previously developed finishing process called Convergent Polishing leading to not just economical finishing process with improved surface figure control, but also

  7. Radiosynthesis and in vivo evaluation of [{sup 11}C]MP-10 as a positron emission tomography radioligand for phosphodiesterase 10A

    Energy Technology Data Exchange (ETDEWEB)

    Plisson, Christophe, E-mail: Christophe.2.plisson@gsk.com [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Salinas, Cristian [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Weinzimmer, David; Labaree, David; Lin, Shu-Fei; Ding, Yu-Shin [Yale University PET Center, Yale University School of Medicine, PO Box 208048 New Haven, CT (United States); Jakobsen, Steen [Aarhus PET Centre, Aarhus Sygehus, Norrebrogade 44, DK-8000 Aarhus C (Denmark); Smith, Paul W. [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Eiji, Kawanishi [Medicinal Chemistry Research Laboratories II, Research Division, Mitsubishi Tanabe Pharma Corporation, Saitama 335-8505 (Japan); Carson, Richard E. [Yale University PET Center, Yale University School of Medicine, PO Box 208048 New Haven, CT (United States); Gunn, Roger N.; Rabiner, Eugenii A. [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom)

    2011-08-15

    Introduction: The aim of this study was to evaluate a newly reported positron emission tomography (PET) radioligand [{sup 11}C]MP-10, a potent and selective inhibitor of the central phosphodiesterase 10A enzyme (PDE10A) in vivo, using PET. Methods: A procedure was developed for labeling MP-10 with carbon-11. [{sup 11}C]MP-10 was evaluated in vivo both in the pig and baboon brain. Results: Alkylation of the corresponding desmethyl compound with [{sup 11}C]methyl iodide produced [{sup 11}C]MP-10 with good radiochemical yield and specific activity. PET studies in the pig showed that [{sup 11}C]MP-10 rapidly entered the brain reaching peak tissue concentration at 1-2 min postadministration, followed by washout from the tissue. Administration of a selective PDE10A inhibitor reduced the binding in all brain regions to the levels of the cerebellum, demonstrating the saturability and selectivity of [{sup 11}C]MP-10 binding. In the nonhuman primate, the brain tissue kinetics of [{sup 11}C]MP-10 were slower, reaching peak tissue concentrations at 30-60 min postadministration. In both species, the observed rank order of regional brain signal was striatum>diencephalon>cortical regions=cerebellum, consistent with the known distribution and concentration of PDE10A. [{sup 11}C]MP-10 brain kinetics were well described by a two-tissue compartment model, and estimates of total volume of distribution (V{sub T}) were obtained. Blocking studies with unlabeled MP-10 revealed the suitability of the cerebellum as a reference tissue and enabled the estimation of regional binding potential (BP{sub ND}) as the outcome measure of specific binding. Quantification of [{sup 11}C]MP-10 binding using the simplified reference tissue model with cerebellar input function produced BP{sub ND} estimates consistent with those obtained by the two-tissue compartment model. Conclusion: We demonstrated that [{sup 11}C]MP-10 possesses good characteristics for the in vivo quantification of the PDE10A in the

  8. Determination of the anomeric specificity of the Escherichia coli CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyltransferase by 13C NMR spectroscopy

    International Nuclear Information System (INIS)

    Kohlbrenner, W.E.; Fesik, S.W.

    1985-01-01

    [99%, 1- 13 C]- and [90%, 2- 13 C]3-deoxy-D-manno-octulosonic acid (KDO) were prepared enzymatically and used to determine the anomeric specificity of the CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyl transferase (CMP-KDO synthetase) by 13 C NMR spectroscopy. Addition of CMP-KDO synthetase to reaction mixtures containing either 1- 13 C- or 2- 13 C-labeled KDO resulted in rapid CMP-KDO formation which was accompanied by a substantial decrease in the 13 C-enriched resonances of the beta-pyranose form of KDO relative to the resonances of other KDO species in solution, demonstrating that the beta-pyranose is the preferred substrate. Concomitant with the production of CMP-KDO was the appearance of peaks at 174.3 and 101.4 ppm when [1- 13 C]- and [2- 13 C]KDO, respectively, were used as substrates. The correspondence of these resonances to the enriched carbons in CMP-KDO was confirmed by the expected 3-bond (3JP,C-1 = 6.9 Hz) and 2-bond coupling (2JP,C-2 = 8.3 Hz) between the labeled carbons and the ketosidically linked phosphoryl group. A large coupling (3J = 5.7 Hz) was observed in proton-coupled spectra of CMP-[1- 13 C]KDO between carbon 1 and the axial proton at carbon 3 of KDO. The magnitude of this coupling constant supports a diaxial relationship between these two groups and, along with chemical shift data, indicates that KDO retains the beta-configuration when linked in CMP-KDO

  9. Conformal polishing approach: Tool footprint analysis

    Directory of Open Access Journals (Sweden)

    José A Dieste

    2016-02-01

    Full Text Available Polishing process is one of the most critical manufacturing processes during a metal part production because it determines the final quality of the product. Free-form surface polishing is a handmade process with lots of rejected parts, scrap generation and time and energy consumption. Two different research lines are being developed: prediction models of the final surface quality parameters and an analysis of the amount of material removed depending on the polishing parameters to predict the tool footprint during the polishing task. This research lays the foundations for a future automatic conformal polishing system. It is based on rotational and translational tool with dry abrasive in the front mounted at the end of a robot. A tool to part concept is used, useful for large or heavy workpieces. Results are applied on different curved parts typically used in tooling industry, aeronautics or automotive. A mathematical model has been developed to predict the amount of material removed in function of polishing parameters. Model has been fitted for different abrasives and raw materials. Results have shown deviations under 20% that implies a reliable and controllable process. Smaller amount of material can be removed in controlled areas of a three-dimensional workpiece.

  10. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  11. The effects of a spray slurry nozzle on copper CMP for reduction in slurry consumption

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Da Sol; Jeong, Hae Do [Pusan National University, Busan (Korea, Republic of); Lee, Hyun Seop [Tongmyong University, Busan (Korea, Republic of)

    2015-12-15

    The environmental impact of semiconductor manufacturing has been a big social problem, like greenhouse gas emission. Chemical mechanical planarization (CMP), a wet process which consumes chemical slurries, seriously impacts environmental sustain ability and cost-effectiveness. This paper demonstrates the superiority of a full-cone spray slurry nozzle to the conventional tube-type slurry nozzle in Cu CMP. It was observed that the spray nozzle made a weak slurry wave at the retaining ring unlike a conventional nozzle, because the slurry was supplied uniformly in broader areas. Experiments were implemented with different slurry flow rates and spray nozzle heights. Spray nozzle performance is controlled by the spray angle and spray height. The process temperature was obtained with an infrared (IR) sensor and an IR thermal imaging camera to investigate the cooling effect of the spray. The results show that the spray nozzle provides a higher Material removal rate (MRR), lower non-uniformity (NU), and lower temperature than the conventional nozzle. Computational fluid dynamics techniques show that the turbulence kinetic energy and slurry velocity of the spray nozzle are much higher than those of the conventional nozzle. Finally, it can be summarized that the spray nozzle plays a significant role in slurry efficiency by theory of Minimum quantity lubrication (MQL).

  12. The effects of a spray slurry nozzle on copper CMP for reduction in slurry consumption

    International Nuclear Information System (INIS)

    Lee, Da Sol; Jeong, Hae Do; Lee, Hyun Seop

    2015-01-01

    The environmental impact of semiconductor manufacturing has been a big social problem, like greenhouse gas emission. Chemical mechanical planarization (CMP), a wet process which consumes chemical slurries, seriously impacts environmental sustain ability and cost-effectiveness. This paper demonstrates the superiority of a full-cone spray slurry nozzle to the conventional tube-type slurry nozzle in Cu CMP. It was observed that the spray nozzle made a weak slurry wave at the retaining ring unlike a conventional nozzle, because the slurry was supplied uniformly in broader areas. Experiments were implemented with different slurry flow rates and spray nozzle heights. Spray nozzle performance is controlled by the spray angle and spray height. The process temperature was obtained with an infrared (IR) sensor and an IR thermal imaging camera to investigate the cooling effect of the spray. The results show that the spray nozzle provides a higher Material removal rate (MRR), lower non-uniformity (NU), and lower temperature than the conventional nozzle. Computational fluid dynamics techniques show that the turbulence kinetic energy and slurry velocity of the spray nozzle are much higher than those of the conventional nozzle. Finally, it can be summarized that the spray nozzle plays a significant role in slurry efficiency by theory of Minimum quantity lubrication (MQL).

  13. Utilizing CMP-Sialic Acid Analogs to Unravel Neisseria gonorrhoeae Lipooligosaccharide-Mediated Complement Resistance and Design Novel Therapeutics.

    Directory of Open Access Journals (Sweden)

    Sunita Gulati

    2015-12-01

    Full Text Available Neisseria gonorrhoeae deploys a novel immune evasion strategy wherein the lacto-N-neotetraose (LNnT structure of lipooligosaccharide (LOS is capped by the bacterial sialyltransferase, using host cytidine-5'-monophosphate (CMP-activated forms of the nine-carbon nonulosonate (NulO sugar N-acetyl-neuraminic acid (Neu5Ac, a sialic acid (Sia abundant in humans. This allows evasion of complement-mediated killing by recruiting factor H (FH, an inhibitor of the alternative complement pathway, and by limiting classical pathway activation ("serum-resistance". We utilized CMP salts of six additional natural or synthetic NulOs, Neu5Gc, Neu5Gc8Me, Neu5Ac9Ac, Neu5Ac9Az, legionaminic acid (Leg5Ac7Ac and pseudaminic acid (Pse5Ac7Ac, to define structural requirements of Sia-mediated serum-resistance. While all NulOs except Pse5Ac7Ac were incorporated into the LNnT-LOS, only Neu5Gc incorporation yielded high-level serum-resistance and FH binding that was comparable to Neu5Ac, whereas Neu5Ac9Az and Leg5Ac7Ac incorporation left bacteria fully serum-sensitive and did not enhance FH binding. Neu5Ac9Ac and Neu5Gc8Me rendered bacteria resistant only to low serum concentrations. While serum-resistance mediated by Neu5Ac was associated with classical pathway inhibition (decreased IgG binding and C4 deposition, Leg5Ac7Ac and Neu5Ac9Az incorporation did not inhibit the classical pathway. Remarkably, CMP-Neu5Ac9Az and CMP-Leg5Ac7Ac each prevented serum-resistance despite a 100-fold molar excess of CMP-Neu5Ac in growth media. The concomitant presence of Leg5Ac7Ac and Neu5Ac on LOS resulted in uninhibited classical pathway activation. Surprisingly, despite near-maximal FH binding in this instance, the alternative pathway was not regulated and factor Bb remained associated with bacteria. Intravaginal administration of CMP-Leg5Ac7Ac to BALB/c mice infected with gonorrhea (including a multidrug-resistant isolate reduced clearance times and infection burden. Bacteria recovered

  14. STS-112 final main engine is installed after welding/polishing process

    Science.gov (United States)

    2002-01-01

    KENNEDY SPACE CENTER, FLA. -- The last engine is installed in orbiter Atlantis after a welding and polishing process was undertaken on flow liners where cracks were detected. All engines were removed for inspection of flow liners. Atlantis will next fly on mission STS-112, scheduled for launch no earlier than Oct. 2.

  15. Food additives used in meat processing according to the Polish and European Union legislation.

    Science.gov (United States)

    Uradziński, J; Weiner, M

    2003-01-01

    This paper presents the legal regulations related to the use of food additives in meat production in Poland and the European Union. The Polish legal definition of food additives is given as well as the classification of permitted food additives added to food and stimulants by their technological function. In addition, a definition of processing aids in the food industry is included. It shows that Polish legislation includes food additives used to ensure or improve food nutritional value, whereas in the EU legislation, these substances are not included in the list of food additives. Moreover, the Council Directives include food additive specific purity criteria, whereas the Polish regulations do not mention the legal regulations of this issue in practice. The European Union use mechanisms and procedures for the introduction of new food additives into internal markets as well as controlling the circulation of additives. The Polish legislation in practice, however, does not determine approval or methods for the introduction of new food additives to the market. Legal regulations on the monitoring of food additives no exist.

  16. Characterization of the waste from porcelain polish submitted to the hydrocycloning process

    International Nuclear Information System (INIS)

    Caetano, A.L.A.; Barbosa Neto, M.C.; Fulgencio, E.B.Gr.; Macedo, D.A.; Ferreira, H.S.; Dutra, R.P.S.

    2016-01-01

    The residue generated in porcelain tile polishing has caused great social and environmental worry, due to the release of its solid effluents in inadequate places. This study aims to characterize the residue came from porcelain tile polishing, in order to reuse it in own ceramic industry. For this, was used a laboratory hydrocyclone, equipment used for separation of particles by size. The use of this type of process in the treatment of natural materials can represent quite an efficient tool and cost affordable for the purification of these, since allows to there are separation between the fractions. The different samples were characterized by X-ray diffraction, X-ray fluorescence, particle size analysis and thermal analysis. The results indicate that the resulting material from this process showed different characteristics of the material that was not hydrocycloned, especially as the intensity of the mineralogical phases and the particle size distribution. (author)

  17. The stability of a novel weakly alkaline slurry of copper interconnection CMPfor GLSI

    Science.gov (United States)

    Yao, Caihong; Wang, Chenwei; Niu, Xinhuan; Wang, Yan; Tian, Shengjun; Jiang, Zichao; Liu, Yuling

    2018-02-01

    Chemical mechanical polishing (CMP) is one of the important machining procedures of multilayered copper interconnection for GLSI, meanwhile polishing slurry is a critical factor for realizing the high polishing performance such as high planarization efficiency, low surface roughness. The effect of slurry components such as abrasive (colloidal silica), complexing agent (glycine), inhibitor (BTA) and oxidizing agent (H2O2) on the stability of the novel weakly alkaline slurry of copper interconnection CMP for GLSI was investigated in this paper. First, the synergistic and competitive relationship of them in a peroxide-based weakly alkaline slurry during the copper CMP process was studied and the stability mechanism was put forward. Then 1 wt% colloidal silica, 2.5 wt% glycine, 200 ppm BTA, 20 mL/L H2O2 had been selected as the appropriate concentration to prepare copper slurry, and using such slurry the copper blanket wafer was polished. From the variations of copper removal rate, root-mean square roughness (Sq) value with the setting time, it indicates that the working-life of the novel weakly alkaline slurry can reach more than 7 days, which satisfies the requirement of microelectronics further development. Project supported by the Major National Science and Technology Special Projects (No. 2016ZX02301003-004-007), the Professional Degree Teaching Case Foundation of Hebei Province, China (No. KCJSZ2017008), the Natural Science Foundation of Hebei Province, China (No. F2015202267), and the Natural Science Foundation of Tianjin, China (No. 16JCYBJC16100).

  18. STUDY OF POLISHING AISI 316L WITH STRUCTURED ABRASIVE

    Directory of Open Access Journals (Sweden)

    François GOOSSENS

    2015-05-01

    Full Text Available Finishing process like polishing is usually used to obtain high quality mechanical surface characteristics such as texture and roughness. These operations are mainly handmade and need highly trained operators thus limiting their repeatability and profitability. To optimize the industrialization of the polishing process, it is therefore necessary to modelize the process to built efficient parameter database. The aim of this study is to characterise the polishing of 316L stainless steel with structured abrasive belts. The geometric data of the belts are given, and we then propose a model to determine material removal. An experimental test bench is set up to test this model and characterise the polishing process in terms of forces. It produces samples for different polishing conditions. The different polished surfaces are then analyzed thanks to the roughness and the wettability. Using experimental designs, we are able to validate the proposed model and identify the parameters that influence a polishing operation.

  19. Contact pressure distribution during the polishing process of ceramic tiles: A laboratory investigation

    International Nuclear Information System (INIS)

    Sani, A S A; Hamedon, Z; Azhari, A; Sousa, F J P

    2016-01-01

    During the polishing process of porcelain tiles the difference in scratching speed between innermost and peripheral abrasives leads to pressure gradients linearly distributed along the radial direction of the abrasive tool. The aim of this paper is to investigate such pressure gradient in laboratory scale. For this purpose polishing tests were performed on ceramic tiles according to the industrial practices using a custom-made CNC tribometer. Gradual wear on both abrasives and machined surface of the floor tile were measured. The experimental results suggested that the pressure gradient tends to cause an inclination of the abraded surfaces, which becomes stable after a given polishing period. In addition to the wear depth of the machined surface, the highest value of gloss and finest surface finish were observed at the lowest point of the worn out surface of the ceramic floor tile corresponding to the point of highest pressure and lowest scratching speed. (paper)

  20. Polish-German bilingualism at school. A Polish perspective

    Directory of Open Access Journals (Sweden)

    Pulaczewska, Hanna

    2014-03-01

    Full Text Available This article presents the institutional frames for the acquisition of Polish literacy skills in Germany and the maintenance of Polish-German bilingualism after the repatriation of bilingual children to Poland. These processes are examined in the context of recent developments in the European domestic job market. While the European Union has placed proficiency in several languages among its educational objectives, and foreign languages have been made obligatory school subjects in all member countries, the potential advantages of internal European migrations for producing high-proficiency bilinguals are being ignored. Bilingualism resulting from migration and biculturalism enjoys little social prestige in the host countries. In Germany, there is significant regional variation in how school authorities react to challenges posed by the presence of minority languages. In many cases, the linguistic potential of many second-generation migrants and re-emigrants gets largely wasted because of lacking interest and incentives from German and Polish institutions alike.

  1. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  2. Laser polishing of additive manufactured Ti alloys

    Science.gov (United States)

    Ma, C. P.; Guan, Y. C.; Zhou, W.

    2017-06-01

    Laser-based additive manufacturing has attracted much attention as a promising 3D printing method for metallic components in recent years. However, surface roughness of additive manufactured components has been considered as a challenge to achieve high performance. In this work, we demonstrate the capability of fiber laser in polishing rough surface of additive manufactured Ti-based alloys as Ti-6Al-4V and TC11. Both as-received surface and laser-polished surfaces as well as cross-section subsurfaces were analyzed carefully by White-Light Interference, Confocal Microscope, Focus Ion Beam, Scanning Electron Microscopy, Energy Dispersive Spectrometer, and X-ray Diffraction. Results revealed that as-received Ti-based alloys with surface roughness more than 5 μm could be reduce to less than 1 μm through laser polishing process. Moreover, microstructure, microhardness and wear resistance of laser-polished zone was investigated in order to examine the thermal effect of laser polishing processing on the substrate of additive manufactured Ti alloys. This proof-of-concept process has the potential to effectively improve the surface roughness of additive manufactured metallic alloy by local polishing method without damage to the substrate.

  3. Advisory Functions of Selected Polish Business Institutions in the Innovation Process in Enterprises – Research Conclusions

    Directory of Open Access Journals (Sweden)

    Joanna KUROWSKA-PYSZ

    2014-03-01

    Full Text Available This paper contains an analysis of innovation processes in enterprises, from the perspective of demand for knowledge, which companies increasingly obtain from business environment. This requires a discernment of valuable partners in the environment, who will provide professional transfer of knowledge to the company. Therefore, knowledge has become a product, and Polish universities and specialized, commercial knowledge providers are competing for the customer on the knowledge market. While knowledge transfer to companies is a secondary activity for Polish universities, specialized, commercial knowledge suppliers are making an effort of acquiring as much orders as possible. Therefore a natural competition between these two types of entities arises. In this paper the Author examines possibilities of supporting innovation-oriented enterprises by Polish universities and commercial providers of knowledge and formulates terms and conditions which will make cooperation between these two groups of entities possible, so as to transform the competition into cooperation, beneficial for both sides, and support innovative processes in enterprises.

  4. Technological Advances of Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Grønbæk, Jens

    The efficient polishing of surfaces is very important in mould and die industry. Fine abrasive processes are widely used in industry for the first steps for the production of tools of high quality in terms of finishing accuracy, form and surface integrity. While manufacturing of most components....... In this study, the influence of polishing parameters and type of polishing media on fine abrasive surface finishing is investigated. Experimental study is covering 2D rotational surfaces that is widespread used in mould and dies industry. Application of it is essential for process intelligent control, condition...... monitoring and quality inspection....

  5. Study of Profile Changes during Mechanical Polishing using Relocation Profilometry

    Science.gov (United States)

    Kumaran, S. Chidambara; Shunmugam, M. S.

    2017-10-01

    Mechanical polishing is a finishing process practiced conventionally to enhance quality of surface. Surface finish is improved by mechanical cutting action of abrasive particles on work surface. Polishing is complex in nature and research efforts have been focused on understanding the polishing mechanism. Study of changes in profile is a useful method of understanding behavior of the polishing process. Such a study requires tracing same profile at regular process intervals, which is a tedious job. An innovative relocation technique is followed in the present work to study profile changes during mechanical polishing of austenitic stainless steel specimen. Using special locating fixture, micro-indentation mark and cross-correlation technique, the same profile is traced at certain process intervals. Comparison of different parameters of profiles shows the manner in which metal removal takes place in the polishing process. Mass removal during process estimated by the same relocation technique is checked with that obtained using weight measurement. The proposed approach can be extended to other micro/nano finishing processes and favorable process conditions can be identified.

  6. Eddy current measurement of the thickness of top Cu film of the multilayer interconnects in the integrated circuit (IC) manufacturing process

    Science.gov (United States)

    Qu, Zilian; Meng, Yonggang; Zhao, Qian

    2015-03-01

    This paper proposes a new eddy current method, named equivalent unit method (EUM), for the thickness measurement of the top copper film of multilayer interconnects in the chemical mechanical polishing (CMP) process, which is an important step in the integrated circuit (IC) manufacturing. The influence of the underneath circuit layers on the eddy current is modeled and treated as an equivalent film thickness. By subtracting this equivalent film component, the accuracy of the thickness measurement of the top copper layer with an eddy current sensor is improved and the absolute error is 3 nm for sampler measurement.

  7. Sensing roughness and polish direction

    DEFF Research Database (Denmark)

    Jakobsen, Michael Linde; Olesen, Anders Sig; Larsen, Henning Engelbrecht

    2016-01-01

    As a part of the work carried out in a project supported by the Danish Council for Technology and Innovation, we have investigated the option of smoothing standard CNC-machined surfaces. In the process of constructing optical prototypes, involving custom-designed optics, the development cost...... and time consumption can become prohibitive in a research budget. Machining the optical surfaces directly is expensive and time consuming. Alternatively, a more standardized and cheaper machining method can be used, calling for the object to be manually polished. During the polishing process, the operator...... needs information about the RMS-value of the surface roughness and the current direction of the scratches introduced by the polishing process. The RMS-value indicates to the operator how far he is from the final finish, and the scratch orientation is often specified by the customer in order to avoid...

  8. Benzotriazole as a passivating agent during chemical mechanical planarization of Ni–P alloy substrates

    International Nuclear Information System (INIS)

    Mu, Yan; Zhong, Mingjie; Rushing, Kenneth J.; Li, Yuzhuo; Shipp, Devon A.

    2014-01-01

    Highlights: • Benzotriazole (BTA) is used to passivate the Chemical Mechanical Planarization of Ni-P alloys. • BTA significantly decreases the average R a of the polished surfaces at low concentrations. • XPS, AFM and electrochemical studies are used to probe passivation effects of BTA on Ni–P surfaces. • Findings potentially impact hard disk drive manufacturing processes. - Abstract: With the rapid increase of data storage density on computer hard disk drives (HDDs), the operation distance between read/write head and disk surface has fallen to just a few nanometers. Chemical mechanical planarization (CMP) has been selected as the best process to produce high quality surface finish during the manufacturing of Ni–P alloy substrates for HDD applications. Herein we report, for the first time, the use of benzotriazole (BTA) as a passivating agent in CMP slurries to decrease the surface roughness (R a ). Results show that the average R a of the polished surfaces is decreased to 0.2 nm in a 5 μm × 5 μm scan area with the adding of 2 mM BTA. X-ray photoelectron spectroscopy (XPS) and electrochemical studies results further prove the interaction between BTA and Ni–P surface and the formation of an effective passivating layer on Cu in CMP slurries containing BTA

  9. Manufacture of functional surfaces through combined application of tool manufacturing processes and Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Eriksen, Rasmus Solmer; Arentoft, Mogens; Grønbæk, J.

    2012-01-01

    The tool surface topography is often a key parameter in the tribological performance of modern metal forming tools. A new generation of multifunctional surfaces is achieved by combination of conventional tool manufacturing processes with a novel Robot Assisted Polishing process. This novel surface...

  10. Three-Dimensional Vibration Isolator for Suppressing High-Frequency Responses for Sage III Contamination Monitoring Package (CMP)

    Science.gov (United States)

    Li, Y.; Cutright, S.; Dyke, R.; Templeton, J.; Gasbarre, J.; Novak, F.

    2015-01-01

    The Stratospheric Aerosol and Gas Experiment (SAGE) III - International Space Station (ISS) instrument will be used to study ozone, providing global, long-term measurements of key components of the Earth's atmosphere for the continued health of Earth and its inhabitants. SAGE III is launched into orbit in an inverted configuration on SpaceX;s Falcon 9 launch vehicle. As one of its four supporting elements, a Contamination Monitoring Package (CMP) mounted to the top panel of the Interface Adapter Module (IAM) box experiences high-frequency response due to structural coupling between the two structures during the SpaceX launch. These vibrations, which were initially observed in the IAM Engineering Development Unit (EDU) test and later verified through finite element analysis (FEA) for the SpaceX launch loads, may damage the internal electronic cards and the Thermoelectric Quartz Crystal Microbalance (TQCM) sensors mounted on the CMP. Three-dimensional (3D) vibration isolators were required to be inserted between the CMP and IAM interface in order to attenuate the high frequency vibrations without resulting in any major changes to the existing system. Wire rope isolators were proposed as the isolation system between the CMP and IAM due to the low impact to design. Most 3D isolation systems are designed for compression and roll, therefore little dynamic data was available for using wire rope isolators in an inverted or tension configuration. From the isolator FEA and test results, it is shown that by using the 3D wire rope isolators, the CMP high-frequency responses have been suppressed by several orders of magnitude over a wide excitation frequency range. Consequently, the TQCM sensor responses are well below their qualification environments. It is indicated that these high-frequency responses due to the typical instrument structural coupling can be significantly suppressed by a vibration passive control using the 3D vibration isolator. Thermal and contamination

  11. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  12. Tribochemical investigation of microelectronic materials

    Science.gov (United States)

    Kulkarni, Milind Sudhakar

    To achieve efficient planarization with reduced device dimensions in integrated circuits, a better understanding of the physics, chemistry, and the complex interplay involved in chemical mechanical planarization (CMP) is needed. The CMP process takes place at the interface of the pad and wafer in the presence of the fluid slurry medium. The hardness of Cu is significantly less than the slurry abrasive particles which are usually alumina or silica. It has been accepted that a surface layer can protect the Cu surface from scratching during CMP. Four competing mechanisms in materials removal have been reported: the chemical dissolution of Cu, the mechanical removal through slurry abrasives, the formation of thin layer of Cu oxide and the sweeping surface material by slurry flow. Despite the previous investigation of Cu removal, the electrochemical properties of Cu surface layer is yet to be understood. The motivation of this research was to understand the fundamental aspects of removal mechanisms in terms of electrochemical interactions, chemical dissolution, mechanical wear, and factors affecting planarization. Since one of the major requirements in CMP is to have a high surface finish, i.e., low surface roughness, optimization of the surface finish in reference to various parameters was emphasized. Three approaches were used in this research: in situ measurement of material removal, exploration of the electropotential activation and passivation at the copper surface and modeling of the synergistic electrochemical-mechanical interactions on the copper surface. In this research, copper polishing experiments were conducted using a table top tribometer. A potentiostat was coupled with this tribometer. This combination enabled the evaluation of important variables such as applied pressure, polishing speed, slurry chemistry, pH, materials, and applied DC potential. Experiments were designed to understand the combined and individual effect of electrochemical interactions

  13. Tripodal (N-alkylated) CMP(O) and malonamide ligands: synthesis, extraction of metal ions, and potentiometric studies

    International Nuclear Information System (INIS)

    Janczewski, D.; Reinhoudt, D.N.; Verboom, W.; Malinowska, E.; Pietrzak, M.; Hill, C.; Allignol, C.

    2007-01-01

    Tripodal ligands build on the C-pivot (9b-e, 13b-d, and 17a-d) and tri-alkyl-benzene platforms (10a,b, 11, 12, 14a,b, and 18a,b) bearing (N-alkylated) carbamoyl-methyl-phosphine oxide (CMPO), carbamoyl-methyl-phosphonate (CMP), and malonamide moieties were synthesized. Extraction studies with Am 3+ and Eu 3+ show that in general there is a positive influence of the N-alkyl substituents in C-pivot CMP(O) ligands on the D(distribution) coefficients. The tri-alkyl-benzene CMPO ligands 10a,b, 11, and 12 have considerably larger D coefficients than the corresponding C-pivot analogues 9a-e, although hardly having any selectivity, while N-alkylation gives rise to smaller D coefficients. Although less effective the extraction behavior of the C-pivot CMP analogues 13b-d shows more or less the same trend as the corresponding CMPO ligands 9b-e upon substitution of the carboxamide N-atom with different alkyl chains. The different malonamide ligands 17a-d and 18a,b are bad extractants, while N-alkylation makes them even worse. Potentiometric studies of CMP(O) and malonamide ligands in polymeric membranes on Pb 2+ , Cu 2+ , Ca 2+ , Mg 2+ , Na + , and K + salts revealed that N-alkyl substituents increase the stability constants of ion-ionophore complexes compared to unsubstituted ligands. In polymeric membrane electrodes the ligands induce a selectivity pattern that differs significantly from the so-called Hofmeister series, giving the highest selectivity coefficients for UO 2 2+ among all examined cations (Pb 2+ , Cu 2+ , Ca 2+ , Mg 2+ , Na + , K + ). (authors)

  14. Identification of the nuclear export signals that regulate the intracellular localization of the mouse CMP-sialic acid synthetase

    International Nuclear Information System (INIS)

    Fujita, Akiko; Sato, Chihiro; Kitajima, Ken.

    2007-01-01

    The CMP-sialic acid synthetase (CSS) catalyzes the activation of sialic acid (Sia) to CMP-Sia which is a donor substrate of sialyltransferases. The vertebrate CSSs are usually localized in nucleus due to the nuclear localization signal (NLS) on the molecule. In this study, we first point out that a small, but significant population of the mouse CMP-sialic acid synthetase (mCSS) is also present in cytoplasm, though mostly in nucleus. As a mechanism for the localization in cytoplasm, we first identified two nuclear export signals (NESs) in mCSS, based on the localization studies of the potential NES-deleted mCSS mutants as well as the potential NES-tagged eGFP proteins. These two NESs are conserved among mammalian and fish CSSs, but not present in the bacterial or insect CSS. These results suggest that the intracellular localization of vertebrate CSSs is regulated by not only the NLS, but also the NES sequences

  15. Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary?

    Directory of Open Access Journals (Sweden)

    Violetta Koseska-Toszewa

    2015-11-01

    Full Text Available Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary? The trilingual dictionary (M. Duszkin, V. Koseska, J. Satoła and A. Tzoneva is being elaborated based on a working Polish-Bulgarian-Russian electronic parallel corpus authored by Maksim Duszkin, Violetta Koseska-Toszewa and Joanna Satoła-Staśkowiak, and works by A. Tzoneva. It is the first corpus comparing languages belonging to three different Slavic language groups: western, southern and eastern. Works on the dictionary are based on Gramatyka konfrontatywna bułgarsko-polska (Bulgarian-Polish confrontative grammar and the proposed there semantic-oriented interlanguage. Two types of classifiers have been introduced into the dictionary: classic and semantic. The trilingual dictionary will present a consistent and homogeneous set of facts of grammar and semantics. The Authors point out that in a traditional dictionary it is not clear for example whether aspect should be understood as imperfective / perfective form of a verb or as its meaning. Therefore in the dictionary forms and meaning are separated in a regular way. Imperfective verb form has two meanings: state and configuration of states and events culminating in state. Also perfective verb form has two meanings: event and configuration of states and events culminating in event. These meanings are described by the semantic classifiers, respectively, state and event, state1 and event1. The way of describing language units, mentioned in the article, gives a possibility to present language material (Polish, Bulgarian, Russian in any required order, hence the article’s title.

  16. Tooth polishing: The current status

    Directory of Open Access Journals (Sweden)

    Madhuri Alankar Sawai

    2015-01-01

    Full Text Available Healthy teeth and gums make a person feel confident and fit. As people go about their daily routines and with different eating and drinking habits, the tooth enamel turns yellowish or gets stained. Polishing traditionally has been associated with the prophylaxis procedure in most dental practices, which patients know and expect. However, with overzealous use of polishing procedure, there is wearing of the superficial tooth structure. This would lead to more accumulation of local deposits. Also, it takes a long time for the formation of the fluoride-rich layer of the tooth again. Hence, now-a-days, polishing is not advised as a part of routine oral prophylaxis procedure but is done selectively based on the patients′ need. The article here, gives an insight on the different aspects of the polishing process along with the different methods and agents used for the same.

  17. New perspectives in hydrodynamic radial polishing techniques for optical surfaces

    Science.gov (United States)

    Ruiz, Elfego; Sohn, Erika; Luna, Esteban; Salas, Luis; Cordero, Alberto; González, Jorge; Núñez, Manuel; Salinas, Javier; Cruz-González, Irene; Valdés, Jorge; Cabrera, Victor; Martínez, Benjamín

    2004-09-01

    In order to overcome classic polishing techniques, a novel hydrodynamic radial polishing tool (HyDRa) is presented; it is useful for the corrective lapping and fine polishing of diverse materials by means of a low-cost abrasive flux and a hydrostatic suspension system that avoids contact of the tool with the working surface. This tool enables the work on flat or curved surfaces of currently up to two and a half meters in diameter. It has the advantage of avoiding fallen edges during the polishing process as well as reducing tool wear out and deformation. The functioning principle is based on the generation of a high-velocity, high-pressure, abrasive emulsion flux with radial geometry. The polishing process is repeatable by means of the control of the tool operational parameters, achieving high degrees of precision and accuracy on optical and semiconductor surfaces, with removal rates of up to 9 mm3/hour and promising excellent surface polishing qualities. An additional advantage of this new tool is the possibility to perform interferometric measurements during the polishing process without the need of dismounting the working surface. A series of advantages of this method, numerical simulations and experimental results are described.

  18. Tripodal (N-alkylated) CMP(O) and malonamide ligands: synthesis, extraction of metal ions, and potentiometric studies

    Energy Technology Data Exchange (ETDEWEB)

    Janczewski, D.; Reinhoudt, D.N.; Verboom, W. [Twente Univ., Lab. of Supramolecular Chemistry and Technology, Mesa Research Institute for Nanotechnology, Enschede (Netherlands); Malinowska, E.; Pietrzak, M. [Warsaw Univ. of Technology, Dept. of Analytical Chemistry, Faculty of Chemistry (Poland); Hill, C.; Allignol, C. [CEA Valrho, 30 - Marcoule (France)

    2007-01-15

    Tripodal ligands build on the C-pivot (9b-e, 13b-d, and 17a-d) and tri-alkyl-benzene platforms (10a,b, 11, 12, 14a,b, and 18a,b) bearing (N-alkylated) carbamoyl-methyl-phosphine oxide (CMPO), carbamoyl-methyl-phosphonate (CMP), and malonamide moieties were synthesized. Extraction studies with Am{sup 3+} and Eu{sup 3+} show that in general there is a positive influence of the N-alkyl substituents in C-pivot CMP(O) ligands on the D(distribution) coefficients. The tri-alkyl-benzene CMPO ligands 10a,b, 11, and 12 have considerably larger D coefficients than the corresponding C-pivot analogues 9a-e, although hardly having any selectivity, while N-alkylation gives rise to smaller D coefficients. Although less effective the extraction behavior of the C-pivot CMP analogues 13b-d shows more or less the same trend as the corresponding CMPO ligands 9b-e upon substitution of the carboxamide N-atom with different alkyl chains. The different malonamide ligands 17a-d and 18a,b are bad extractants, while N-alkylation makes them even worse. Potentiometric studies of CMP(O) and malonamide ligands in polymeric membranes on Pb{sup 2+}, Cu{sup 2+}, Ca{sup 2+}, Mg{sup 2+}, Na{sup +}, and K{sup +} salts revealed that N-alkyl substituents increase the stability constants of ion-ionophore complexes compared to unsubstituted ligands. In polymeric membrane electrodes the ligands induce a selectivity pattern that differs significantly from the so-called Hofmeister series, giving the highest selectivity coefficients for UO{sub 2}{sup 2+} among all examined cations (Pb{sup 2+}, Cu{sup 2+}, Ca{sup 2+}, Mg{sup 2+}, Na{sup +}, K{sup +}). (authors)

  19. Polishing of silicon based advanced ceramics

    Science.gov (United States)

    Klocke, Fritz; Dambon, Olaf; Zunke, Richard; Waechter, D.

    2009-05-01

    Silicon based advanced ceramics show advantages in comparison to other materials due to their extreme hardness, wear and creep resistance, low density and low coefficient of thermal expansion. As a matter of course, machining requires high efforts. In order to reach demanded low roughness for optical or tribological applications a defect free surface is indispensable. In this paper, polishing of silicon nitride and silicon carbide is investigated. The objective is to elaborate scientific understanding of the process interactions. Based on this knowledge, the optimization of removal rate, surface quality and form accuracy can be realized. For this purpose, fundamental investigations of polishing silicon based ceramics are undertaken and evaluated. Former scientific publications discuss removal mechanisms and wear behavior, but the scientific insight is mainly based on investigations in grinding and lapping. The removal mechanisms in polishing are not fully understood due to complexity of interactions. The role of, e.g., process parameters, slurry and abrasives, and their influence on the output parameters is still uncertain. Extensive technological investigations demonstrate the influence of the polishing system and the machining parameters on the stability and the reproducibility. It is shown that the interactions between the advanced ceramics and the polishing systems is of great relevance. Depending on the kind of slurry and polishing agent the material removal mechanisms differ. The observed effects can be explained by dominating mechanical or chemo-mechanical removal mechanisms. Therefore, hypotheses to state adequate explanations are presented and validated by advanced metrology devices, such as SEM, AFM and TEM.

  20. Rapid ILs-polishing Processes Toward Flexible Nanostructured Paper with Dually High Transparency and Haze.

    Science.gov (United States)

    Ou, Yanghao; Chen, Jinbo; Lu, Pengbo; Cheng, Fan; Lin, Meiyan; Su, Lingfeng; Li, Jun; Liu, Detao

    2017-07-31

    Biodegradable highly nanostructured paper has received great interest in past years due to its excellent optical properties which facilitate its wide applications in green flexible electronics and devices. However, energy and/or time-consuming procedure during the process of fabricating most nanostructured transparent paper are presently the main obstacle to their scalable production. In this work, we demonstrated a novel nanostructured paper with dually high transparency (∼91%) and high haze (∼89%) that was directly fabricated from original paper with rapid ILs-polishing processes. The whole fabricating time only requires 10 min. Compared to the previously reported nanopaper made of the isolated cellulose nanofibers by pure mechanical and/or chemical approaches, this work presented herein is devoted to use green ILs to polish directly the micrometer-sized fibrous paper into the nanostructured paper. This new method brings a rapid fabrication of transparent nanostructured paper while also retaining dual intriguing properties both in optical transmittance and haze. This work is capable of fabricating next-generation flexible and highly transparent and haze paper by a high-speed roll-to-roll manufacturing process with a much lower cost.

  1. Monitoring of Robot Assisted Polishing through parameters of acoustic emission

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Bilberg, Arne

    The polishing process is essential for the surface generation of machine tooling components in advanced manufacturing. While robot assisted polishing is faster and more consistent than manual polishing, it can still consume a significant part of ma- chining time and operator presence time...

  2. Laser polishing of 3D printed mesoscale components

    International Nuclear Information System (INIS)

    Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan

    2017-01-01

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps

  3. Laser polishing of 3D printed mesoscale components

    Energy Technology Data Exchange (ETDEWEB)

    Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)

    2017-05-31

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes

  4. Graphite Composite Panel Polishing Fixture

    Science.gov (United States)

    Hagopian, John; Strojny, Carl; Budinoff, Jason

    2011-01-01

    The use of high-strength, lightweight composites for the fixture is the novel feature of this innovation. The main advantage is the light weight and high stiffness-to-mass ratio relative to aluminum. Meter-class optics require support during the grinding/polishing process with large tools. The use of aluminum as a polishing fixture is standard, with pitch providing a compliant layer to allow support without deformation. Unfortunately, with meter-scale optics, a meter-scale fixture weighs over 120 lb (.55 kg) and may distort the optics being fabricated by loading the mirror and/or tool used in fabrication. The use of composite structures that are lightweight yet stiff allows standard techniques to be used while providing for a decrease in fixture weight by almost 70 percent. Mounts classically used to support large mirrors during fabrication are especially heavy and difficult to handle. The mount must be especially stiff to avoid deformation during the optical fabrication process, where a very large and heavy lap often can distort the mount and optic being fabricated. If the optic is placed on top of the lapping tool, the weight of the optic and the fixture can distort the lap. Fixtures to support the mirror during fabrication are often very large plates of aluminum, often 2 in. (.5 cm) or more in thickness and weight upwards of 150 lb (68 kg). With the addition of a backing material such as pitch and the mirror itself, the assembly can often weigh over 250 lb (.113 kg) for a meter-class optic. This innovation is the use of a lightweight graphite panel with an aluminum honeycomb core for use as the polishing fixture. These materials have been used in the aerospace industry as structural members due to their light weight and high stiffness. The grinding polishing fixture consists of the graphite composite panel, fittings, and fixtures to allow interface to the polishing machine, and introduction of pitch buttons to support the optic under fabrication. In its

  5. Processing Interrogative Sentence Mood at the Semantic-Syntactic Interface: An Electrophysiological Research in Chinese, German, and Polish

    Science.gov (United States)

    Kao, Chung-Shan; Dietrich, Rainer; Sommer, Werner

    2010-01-01

    Background Languages differ in the marking of the sentence mood of a polar interrogative (yes/no question). For instance, the interrogative mood is marked at the beginning of the surface structure in Polish, whereas the marker appears at the end in Chinese. In order to generate the corresponding sentence frame, the syntactic specification of the interrogative mood is early in Polish and late in Chinese. In this respect, German belongs to an interesting intermediate class. The yes/no question is expressed by a shift of the finite verb from its final position in the underlying structure into the utterance initial position, a move affecting, hence, both the sentence's final and the sentence's initial constituents. The present study aimed to investigate whether during generation of the semantic structure of a polar interrogative, i.e., the processing preceding the grammatical formulation, the interrogative mood is encoded according to its position in the syntactic structure at distinctive time points in Chinese, German, and Polish. Methodology/Principal Findings In a two-choice go/nogo experimental design, native speakers of the three languages responded to pictures by pressing buttons and producing utterances in their native language while their brain potentials were recorded. The emergence and latency of lateralized readiness potentials (LRP) in nogo conditions, in which speakers asked a yes/no question, should indicate the time point of processing the interrogative mood. The results revealed that Chinese, German, and Polish native speakers did not differ from each other in the electrophysiological indicator. Conclusions/Significance The findings suggest that the semantic encoding of the interrogative mood is temporally consistent across languages despite its disparate syntactic specification. The consistent encoding may be ascribed to economic processing of interrogative moods at various sentential positions of the syntactic structures in languages or, more

  6. A Conway-Maxwell-Poisson (CMP) model to address data dispersion on positron emission tomography.

    Science.gov (United States)

    Santarelli, Maria Filomena; Della Latta, Daniele; Scipioni, Michele; Positano, Vincenzo; Landini, Luigi

    2016-10-01

    Positron emission tomography (PET) in medicine exploits the properties of positron-emitting unstable nuclei. The pairs of γ- rays emitted after annihilation are revealed by coincidence detectors and stored as projections in a sinogram. It is well known that radioactive decay follows a Poisson distribution; however, deviation from Poisson statistics occurs on PET projection data prior to reconstruction due to physical effects, measurement errors, correction of deadtime, scatter, and random coincidences. A model that describes the statistical behavior of measured and corrected PET data can aid in understanding the statistical nature of the data: it is a prerequisite to develop efficient reconstruction and processing methods and to reduce noise. The deviation from Poisson statistics in PET data could be described by the Conway-Maxwell-Poisson (CMP) distribution model, which is characterized by the centring parameter λ and the dispersion parameter ν, the latter quantifying the deviation from a Poisson distribution model. In particular, the parameter ν allows quantifying over-dispersion (ν1) of data. A simple and efficient method for λ and ν parameters estimation is introduced and assessed using Monte Carlo simulation for a wide range of activity values. The application of the method to simulated and experimental PET phantom data demonstrated that the CMP distribution parameters could detect deviation from the Poisson distribution both in raw and corrected PET data. It may be usefully implemented in image reconstruction algorithms and quantitative PET data analysis, especially in low counting emission data, as in dynamic PET data, where the method demonstrated the best accuracy. Copyright © 2016 Elsevier Ltd. All rights reserved.

  7. Nd:YOV4 laser polishing on WC-Co HVOF coating

    Science.gov (United States)

    Giorleo, L.; Ceretti, E.; Montesano, L.; La Vecchia, G. M.

    2017-10-01

    WC/Co coatings are widely applied to different types of components due to their extraordinary performance properties including high hardness and wear properties. In industrial applications High Velocity Oxy-Fuel (HVOF) technique is extensively used to deposit hard metal coatings. The main advantage of HVOF compared to other thermal spray techniques is the ability to accelerate the melted powder particles of the feedstock material at a relatively high velocity, leading to obtain good adhesion and low porosity level. However, despite the mentioned benefits, the surface finish quality of WC-Co HVOF coatings results to be poor (Ra higher than 5 µm) thus a mechanical polishing process is often needed. The main problem is that the high hardness of coating leads the polishing process expensive in terms of time and tool wear; moreover polishing becomes difficult and not always possible in case of limited accessibility of a part, micro dimensions or undercuts. Nowadays a different technique available to improve surface roughness is the laser polishing process. The polishing principle is based on focused radiation of a laser beam that melts a microscopic layer of surface material. Compared to conventional polishing process (as grinding) it ensures the possibility of avoiding tool wear, less pollution (no abrasive or liquids), no debris, less machining time and coupled with a galvo system it results to be more suitable in case of 3D complex workpieces. In this paper laser polishing process executed with a Nd:YOV4 Laser was investigated: the effect of different process parameters as initial coating morphology, laser scan speed and loop cycles were tested. Results were compared by a statistical approach in terms of average roughness along with a morphological analysis carried out by Scanning Electron Microscope (SEM) investigation coupled with EDS spectra.

  8. Calculation and simulation on mid-spatial frequency error in continuous polishing

    International Nuclear Information System (INIS)

    Xie Lei; Zhang Yunfan; You Yunfeng; Ma Ping; Liu Yibin; Yan Dingyao

    2013-01-01

    Based on theoretical model of continuous polishing, the influence of processing parameters on the polishing result was discussed. Possible causes of mid-spatial frequency error in the process were analyzed. The simulation results demonstrated that the low spatial frequency error was mainly caused by large rotating ratio. The mid-spatial frequency error would decrease as the low spatial frequency error became lower. The regular groove shape was the primary reason of the mid-spatial frequency error. When irregular and fitful grooves were adopted, the mid-spatial frequency error could be lessened. Moreover, the workpiece swing could make the polishing process more uniform and reduce the mid-spatial frequency error caused by the fix-eccentric plane polishing. (authors)

  9. Trend and current practices of palm oil mill effluent polishing: Application of advanced oxidation processes and their future perspectives.

    Science.gov (United States)

    Bello, Mustapha Mohammed; Abdul Raman, Abdul Aziz

    2017-08-01

    Palm oil processing is a multi-stage operation which generates large amount of effluent. On average, palm oil mill effluent (POME) may contain up to 51, 000 mg/L COD, 25,000 mg/L BOD, 40,000 TS and 6000 mg/L oil and grease. Due to its potential to cause environmental pollution, palm oil mills are required to treat the effluent prior to discharge. Biological treatments using open ponding system are widely used for POME treatment. Although these processes are capable of reducing the pollutant concentrations, they require long hydraulic retention time and large space, with the effluent frequently failing to satisfy the discharge regulation. Due to more stringent environmental regulations, research interest has recently shifted to the development of polishing technologies for the biologically-treated POME. Various technologies such as advanced oxidation processes, membrane technology, adsorption and coagulation have been investigated. Among these, advanced oxidation processes have shown potentials as polishing technologies for POME. This paper offers an overview on the POME polishing technologies, with particularly emphasis on advanced oxidation processes and their prospects for large scale applications. Although there are some challenges in large scale applications of these technologies, this review offers some perspectives that could help in overcoming these challenges. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. The Electronic CardioMetabolic Program (eCMP) for Patients With Cardiometabolic Risk: A Randomized Controlled Trial.

    Science.gov (United States)

    Azar, Kristen M J; Koliwad, Suneil; Poon, Tak; Xiao, Lan; Lv, Nan; Griggs, Robert; Ma, Jun

    2016-05-27

    Effective lifestyle interventions targeting high-risk adults that are both practical for use in ambulatory care settings and scalable at a population management level are needed. Our aim was to examine the potential effectiveness, feasibility, and acceptability of delivering an evidence-based Electronic Cardio-Metabolic Program (eCMP) for improving health-related quality of life, improving health behaviors, and reducing cardiometabolic risk factors in ambulatory care high-risk adults. We conducted a randomized, wait-list controlled trial with 74 adults aged ≥18 years recruited from a large multispecialty health care organization. Inclusion criteria were (1) BMI ≥35 kg/m(2) and prediabetes, previous gestational diabetes and/or metabolic syndrome, or (2) BMI ≥30 kg/m(2) and type 2 diabetes and/or cardiovascular disease. Participants had a mean age of 59.7 years (SD 11.2), BMI 37.1 kg/m(2) (SD 5.4) and were 59.5% female, 82.4% white. Participants were randomized to participate in eCMP immediately (n=37) or 3 months later (n=37). eCMP is a 6-month program utilizing video conferencing, online tools, and pre-recorded didactic videos to deliver evidence-based curricula. Blinded outcome assessments were conducted at 3 and 6 months postbaseline. Data were collected and analyzed between 2014 and 2015. The primary outcome was health-related quality of life. Secondary outcomes included biometric cardiometabolic risk factors (eg, body weight), self-reported diet and physical activity, mental health status, retention, session attendance, and participant satisfaction. Change in quality of life was not significant in both immediate and delayed participants. Both groups significantly lost weight and reduced waist circumference at 6 months, with some cardiometabolic factors trending accordingly. Significant reduction in self-reported anxiety and perceived stress was seen in the immediate intervention group at 6 months. Retention rate was 93% at 3 months and 86% at 6 months

  11. Transformation of the Polish Banking Sector

    Directory of Open Access Journals (Sweden)

    Marek Stefański

    2009-07-01

    Full Text Available In the post-war period the banking system in Poland underwent two important system transitions: after 1946 and after 1989. The third transformation began after May 1, 2004, but it did not have a systemic character. The Polish banking sector started to operate on the Single European Market. The first part of the paper is devoted to the problems of the banks transformations after 1989 with a special focus on the quantitative development of banks in 19892008, and on subsequent privatisation and consolidation processes. The former intensified in 19891999, and the latter in 19992002. The consolidation process was very noticeable in the sector of cooperative banks after 1994. The second part of the paper includes an economic and financial analysis of the banks. A lot of attention was paid to the liquidity of the banking sector. It was assessed as good, which was confirmed by a short-term rating of Moodys and by the Financial Stability Report 2009, published by the National Bank of Poland in June 2009. The comparison of the net profit of the banking sector in 19972008 shows its dependence on the economic situation and policy. The number of banks with capital adequacy ratio well above the minimum required by the banking supervision is rising. The financial power ratings are not favorable for the domestic banks. The third part of the paper focuses on the development directions of the Polish banking sector. It may be concluded on the basis of the analysis that privatisation and consolidation processes will be continued. They will concentrate on the capital of foreign banks already operating in Poland. As compared with individual foreign banks, the potential of the Polish banking sector is week. The fourth part of the paper focuses on the presentation Polish banking sector in the context of European Union banking sector. The paper finishes with conclusions. Generally, Polish banks have to implement a strategy to enable them to compete on the Single

  12. Damage-free polishing of monocrystalline silicon wafers without chemical additives

    International Nuclear Information System (INIS)

    Biddut, A.Q.; Zhang, L.C.; Ali, Y.M.; Liu, Z.

    2008-01-01

    This investigation explores the possibility and identifies the mechanism of damage-free polishing of monocrystalline silicon without chemical additives. Using high resolution electron microscopy and contact mechanics, the study concludes that a damage-free polishing process without chemicals is feasible. All forms of damages, such as amorphous Si, dislocations and plane shifting, can be eliminated by avoiding the initiation of the β-tin phase of silicon during polishing. When using 50 nm abrasives, the nominal pressure to achieve damage-free polishing is 20 kPa

  13. Auditory temporal processing tests – Normative data for Polish-speaking adults

    Directory of Open Access Journals (Sweden)

    Joanna Majak

    2015-04-01

    Full Text Available Introduction: Several subjects exposed to neurotoxins in the workplace need to be assessed for central auditory deficit. Although central auditory processing tests are widely used in other countries, they have not been standardized for the Polish population. The aim of the study has been to evaluate the range of reference values for 3 temporal processing tests: the duration pattern test (DPT, the frequency pattern test (FPT and the gaps in noise test (GIN. Material and Methods: The study included 76 normal hearing individuals (38 women, 38 men at the age of 18 to 54 years old (mean ± standard deviation: 39.4±9.1. All study participants had no history of any chronic disease and underwent a standard ENT examination. Results: The reference range for the DPT was established at 55.3% or more of correct answers, while for the FPT it stood at 56.7% or more of correct answers. The mean threshold for both ears in the GIN test was defined as 6 ms. In this study there were no significant associations between the DPT, FPT and GIN results and age or gender. Symmetry between the ears in the case of the DPT, FPT and GIN was found. Conclusions: Reference ranges obtained in this study for the DPT and FPT in the Polish population are lower than reference ranges previously published for other nations while the GIN test results correspond to those published in the related literature. Further investigations are needed to explain the discrepancies between normative values in Poland and other countries and adapt tests for occupational medicine purposes. Med Pr 2015;66(2:145–152

  14. Composite adaptive control of belt polishing force for aero-engine blade

    Science.gov (United States)

    Zhsao, Pengbing; Shi, Yaoyao

    2013-09-01

    The existing methods for blade polishing mainly focus on robot polishing and manual grinding. Due to the difficulty in high-precision control of the polishing force, the blade surface precision is very low in robot polishing, in particular, quality of the inlet and exhaust edges can not satisfy the processing requirements. Manual grinding has low efficiency, high labor intensity and unstable processing quality, moreover, the polished surface is vulnerable to burn, and the surface precision and integrity are difficult to ensure. In order to further improve the profile accuracy and surface quality, a pneumatic flexible polishing force-exerting mechanism is designed and a dual-mode switching composite adaptive control(DSCAC) strategy is proposed, which combines Bang-Bang control and model reference adaptive control based on fuzzy neural network(MRACFNN) together. By the mode decision-making mechanism, Bang-Bang control is used to track the control command signal quickly when the actual polishing force is far away from the target value, and MRACFNN is utilized in smaller error ranges to improve the system robustness and control precision. Based on the mathematical model of the force-exerting mechanism, simulation analysis is implemented on DSCAC. Simulation results show that the output polishing force can better track the given signal. Finally, the blade polishing experiments are carried out on the designed polishing equipment. Experimental results show that DSCAC can effectively mitigate the influence of gas compressibility, valve dead-time effect, valve nonlinear flow, cylinder friction, measurement noise and other interference on the control precision of polishing force, which has high control precision, strong robustness, strong anti-interference ability and other advantages compared with MRACFNN. The proposed research achieves high-precision control of the polishing force, effectively improves the blade machining precision and surface consistency, and

  15. Production of rare earth polishing powders in Russia

    International Nuclear Information System (INIS)

    Kosynkin, V.D.; Ivanov, E.N.; Kotrekhov, V.A.; Shtutza, M.G.; Grabko, A.I.

    1998-01-01

    Full text: Russia is a potent producer of polishing powders made of rare earth material presented as an extensive and well developed base. Considering the reserves, the facilities predisposition and the polishing agent (cerium dioxide) content the chief mineral source is loparite, apatite and monazite. The production of rare earth polishing powders is based on specially developed continuous technological processes, corrosion-proof equipment, ensuring a high and stable production quality. A special attention is paid to the radiation safety of the powders. The initial material for the rare earth polishing powders based on loparite is the fusion cake of rare earth chlorides obtained at that mineral chlorination. The technology of the polishing powder production from the REE fusion cake includes the following stages: dissolution of the REE fusion cake chlorides; - thorough cleaning of the REE fusion cake chlorides from radioactive and non-rare-earth impurities; chemical precipitation of REE carbonates, obtaining middlings with proper material and granulometric composition, thermal treatment of precipitated carbonates followed with the operations of drying and roasting; classification of roasted oxides, obtaining end products - polishing powders. The production of fluorine-containing powders includes the stage of their fluorination after the stage of carbonate precipitation. The stabilizing doping can be introduced both into the middlings during one of the technological process of powders manufacturing and into the end product. Rare earth polishing powders are manufactured in Russia by the Share Holding Company 'Chepetz Mechanical Plant' (ChMP Co.), the city of Glasov. The plant produces a number of polishing materials, such as; polishing powder Optinol, containing at least 50% by mass of cerium dioxide, used in the mass production of optical and other articles; polishing powder Optinol-10 with doping to improve the sedimentary and aggregate stability of the solid phase

  16. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  17. Cancer morbidity among polishers.

    Science.gov (United States)

    Järvholm, B; Thiringer, G; Axelson, O

    1982-01-01

    The mortality pattern among 86 men was determined to investigate the possible hazards of polishing steel. The men had polished steel with polishing paste for at least five years. The polishing pastes had contained tallow, beeswax, carnauba wax, alundum, carborundum, ferric oxide, and chalk. A total of 18 men had died compared with 13.3 expected. Four had died of stomach cancer compared with 0.44 expected (p less than 0.005). The mortality for other causes of death was not increased. The study does not permit any definite conclusion but indicates a possible cancer hazard among polishers. PMID:7066237

  18. Polishing large NaCl windows on a continuous polisher

    International Nuclear Information System (INIS)

    Williamson, R.

    1979-01-01

    The Helios and Antares CO 2 fusion laser systems incorporate numerous large sodium chloride windows. These must be refinished periodically, making necessary a consistent and predictable polishing capability. A continuous polisher (or annular lap) which might at Kirtland's Developmental Optical Facility. Large NaCl windows had not been polished on this type of machine. The machine has proven itself capable of producing lambda/16 figures at 633 nm (HeNe) with extremely smooth surfaces on glass. Since then, we have been working exclusively on NaCl optics. Due to different polishing parameters between NaCl and glass, and the slight solubility of the pitch in the slurry, this phase presents new problems. The work on glass will be reviewed. Results on NaCl to date will be reported. The potential of this type of machine relative to prisms, thin and irregularly shaped optics will be discussed

  19. Effect of additives for higher removal rate in lithium niobate chemical mechanical planarization

    International Nuclear Information System (INIS)

    Jeong, Sukhoon; Lee, Hyunseop; Cho, Hanchul; Lee, Sangjik; Kim, Hyoungjae; Kim, Sungryul; Park, Jaehong; Jeong, Haedo

    2010-01-01

    High roughness and a greater number of defects were created by lithium niobate (LN; LiNbO 3 ) processes such as traditional grinding and mechanical polishing (MP), should be decreased for manufacturing LN device. Therefore, an alternative process for gaining defect-free and smooth surface is needed. Chemical mechanical planarization (CMP) is suitable method in the LN process because it uses a combination approach consisting of chemical and mechanical effects. First of all, we investigated the LN CMP process using commercial slurry by changing various process conditions such as down pressure and relative velocity. However, the LN CMP process time using commercial slurry was long to gain a smooth surface because of lower material removal rate (MRR). So, to improve the material removal rate (MRR), the effects of additives such as oxidizer (hydrogen peroxide; H 2 O 2 ) and complexing agent (citric acid; C 6 H 8 O 7 ) in a potassium hydroxide (KOH) based slurry, were investigated. The manufactured slurry consisting of H 2 O 2 -citric acid in the KOH based slurry shows that the MRR of the H 2 O 2 at 2 wt% and the citric acid at 0.06 M was higher than the MRR for other conditions.

  20. CMP [Chemicals, Metals, and Pesticides] Pits: Environmental information document

    International Nuclear Information System (INIS)

    Scott, S.C.; Kolb, N.L.; Price, V.; Bledsoe, H.W.

    1986-12-01

    This document provides environmental information on postulated closure options for the Chemicals, Metals, and Pesticides (CMP) Pits at the Savannah River Plant and was developed as background technical documentation for the Department of Energy's proposed Environmental Impact Statement (EIS) on waste management activities for groundwater protection at the plant. The results of groundwater and atmospheric pathway analyses, accident analysis, and other environmental assessments discussed in this document are based upon a conservative analysis of all foreseeable scenarios as defined by the National Environmental Policy Act (40 CFR 1500-1508). The scenarios do not necessarily represent actual environmental conditions. This document is not meant to be used as a regulatory closure plan or other regulatory document to comply with required federal or state environmental regulations

  1. Polish Semantic Parser

    Directory of Open Access Journals (Sweden)

    Agnieszka Grudzinska

    2000-01-01

    Full Text Available Amount of information transferred by computers grows very rapidly thus outgrowing the average man's capability of reception. It implies computer programs increase in the demand for which would be able to perform an introductory classitication or even selection of information directed to a particular receiver. Due to the complexity of the problem, we restricted it to understanding short newspaper notes. Among many conceptions formulated so far, the conceptual dependency worked out by Roger Schank has been chosen. It is a formal language of description of the semantics of pronouncement integrated with a text understanding algorithm. Substantial part of each text transformation system is a semantic parser of the Polish language. It is a module, which as the first and the only one has an access to the text in the Polish language. lt plays the role of an element, which finds relations between words of the Polish language and the formal registration. It translates sentences written in the language used by people into the language theory. The presented structure of knowledge units and the shape of understanding process algorithms are universal by virtue of the theory. On the other hand the defined knowledge units and the rules used in the algorithms ure only examples because they are constructed in order to understand short newspaper notes.

  2. Functional Median Polish

    KAUST Repository

    Sun, Ying

    2012-08-03

    This article proposes functional median polish, an extension of univariate median polish, for one-way and two-way functional analysis of variance (ANOVA). The functional median polish estimates the functional grand effect and functional main factor effects based on functional medians in an additive functional ANOVA model assuming no interaction among factors. A functional rank test is used to assess whether the functional main factor effects are significant. The robustness of the functional median polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science, including one-way and two-way ANOVA when functional data are either curves or images. Specifically, Canadian temperature data, U. S. precipitation observations and outputs of global and regional climate models are considered, which can facilitate the research on the close link between local climate and the occurrence or severity of some diseases and other threats to human health. © 2012 International Biometric Society.

  3. Evaluation of planarization performance for a novel alkaline copper slurry under a low abrasive concentration

    International Nuclear Information System (INIS)

    Jiang Mengting; Liu Yuling; Yuan Haobo; Chen Guodong; Liu Weijuan

    2014-01-01

    A novel alkaline copper slurry that possesses a relatively high planarization performance is investigated under a low abrasive concentration. Based on the action mechanism of CMP, the feasibility of using one type of slurry in copper bulk elimination process and residual copper elimination process, with different process parameters, was analyzed. In addition, we investigated the regular change of abrasive concentration effect on copper and tantalum removal rate and within wafer non-uniformity (WIWNU) in CMP process. When the abrasive concentration is 3 wt%, in bulk elimination process, the copper removal rate achieves 6125 Å/min, while WIWNU is 3.5%, simultaneously. In residual copper elimination process, the copper removal rate is approximately 2700 Å/min, while WIWNU is 2.8%. Nevertheless, the tantalum removal rate is 0 Å/min, which indicates that barrier layer isn't eliminated in residual copper elimination process. The planarization experimental results show that an excellent planarization performance is obtained with a relatively high copper removal rate in bulk elimination process. Meanwhile, after residual copper elimination process, the dishing value increased inconspicuously, in a controllable range, and the wafer surface roughness is only 0.326 nm (sq < 1 nm) after polishing. By comparison, the planarization performance and surface quality of alkaline slurry show almost no major differences with two kinds of commercial acid slurries after polishing. All experimental results are conducive to research and improvement of alkaline slurry in the future. (semiconductor technology)

  4. Functional Median Polish

    KAUST Repository

    Sun, Ying; Genton, Marc G.

    2012-01-01

    polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science

  5. Polish energy-system modernisation

    International Nuclear Information System (INIS)

    Drozdz, M.

    2003-01-01

    The Polish energy-system needs intensive investments in new technologies, which are energy efficient, clean and cost effective. Since the early 1990s, the Polish economy has had practically full access to modern technological devices, equipment and technologies. Introducing new technologies is a difficult task for project teams, constructors and investors. The author presents a set of principles for project teams useful in planning and energy modernisation. Several essential features are discussed: Energy-efficient appliances and systems; Choice of energy carriers, media and fuels; Optimal tariffs, maximum power and installed power; Intelligent, integrated, steering systems; Waste-energy recovery; Renewable-energy recovery. In practice there are several difficulties connected with planning and realising good technological and economic solutions. The author presents his own experiences of energy-system modernisation of industrial processes and building new objects. (Author)

  6. Smart city solutions in regard to urbanization processesPolish cases

    Directory of Open Access Journals (Sweden)

    Brdulak Halina

    2015-11-01

    Full Text Available The aim of this paper is to show the spectrum of problems associated with the growing importance of cities in the context of rapidly occurring processes of urbanization. Therefore the following issues are included: the concept of smart cities, which are a combination of the intelligent use of information systems allowing for active management of the various areas of urban activity with the potential of institutions, companies and the active involvement and creative people; transport problems and the use of new technologies. Particular attention will be given to both, the issue of transport congestion as the strongest factor affecting the quality of life of residents and to the role of social capital in the creation of sustainable development. To exemplify the result of the cooperation between southern Polish communities there will be presented a case of the introducing process of the Silesian Card of Public Services with a wide range of its functionality.

  7. Kinematic analysis of in situ measurement during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hongkai; Wang, Tongqing; Zhao, Qian; Meng, Yonggang; Lu, Xinchun, E-mail: xclu@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2015-10-15

    Chemical mechanical planarization (CMP) is the most widely used planarization technique in semiconductor manufacturing presently. With the aid of in situ measurement technology, CMP tools can achieve good performance and stable productivity. However, the in situ measurement has remained unexplored from a kinematic standpoint. The available related resources for the kinematic analysis are very limited due to the complexity and technical secret. In this paper, a comprehensive kinematic analysis of in situ measurement is provided, including the analysis model, the measurement trajectory, and the measurement time of each zone of wafer surface during the practical CMP process. In addition, a lot of numerical calculations are performed to study the influences of main parameters on the measurement trajectory and the measurement velocity variation of the probe during the measurement process. All the efforts are expected to improve the in situ measurement system and promote the advancement in CMP control system.

  8. Health Information in Polish (polski)

    Science.gov (United States)

    ... Tools You Are Here: Home → Multiple Languages → Polish (polski) URL of this page: https://medlineplus.gov/languages/polish.html Health Information in Polish (polski) To use the sharing features on this page, ...

  9. 1.06 μm 150 psec laser damage study of diamond turned, diamond turned/polished and polished metal mirrors

    International Nuclear Information System (INIS)

    Saito, T.T.; Milam, D.; Baker, P.; Murphy, G.

    1975-01-01

    Using a well characterized 1.06 μm 150 ps glass laser pulse the damage characteristics for diamond turned, diamond turned/ polished, and polished copper and silver mirrors less than 5 cm diameter were studied. Although most samples were tested with a normal angle of incidence, some were tested at 45 0 with different linear polarization showing an increase in damage threshold for S polarization. Different damage mechanisms observed will be discussed. Laser damage is related to residual surface influences of the fabrication process. First attempts to polish diamond turned surfaces resulted in a significant decrease in laser damage threshold. The importance of including the heat of fusion in the one dimensional heat analysis of the theoretical damage threshold and how close the samples came to the theoretical damage threshold is discussed. (auth)

  10. Polish Cartographical Review

    Directory of Open Access Journals (Sweden)

    Nedjeljko Frančula

    2017-07-01

    Full Text Available The Polish Cartographical Review (PCR journal has been published in English four times a year since 2015. The journal is in open access and it is published by De Gruyter Open. It is edited by Polish scientists in collaboration with international experts.

  11. Performance test of condensate polishing system for Qinshan Nuclear Power Plant

    International Nuclear Information System (INIS)

    You Zhaojin; Qian Shijun; Lu Ruiting

    1995-11-01

    The flow chart, resin performance and water quality specifications of the condensate polishing system for Qinshan Nuclear Power Plant (QNPP) are briefly described. The initial regeneration process and the following service of the condensate polishing system are introduced. And the ability to remove corrosion products and ionic impurities of the condensate polishing system are verified during start-up, normal power operation and condenser leakage of the plant. The result shows that the performance of condensate polishing system in QNPP can completely meet the design requirements. Especially during the start-up of the unit or the leakage of the condenser, despite the inlet water quality of the polishers is far worse than the specified standard, the outlet water quality is still controlled within the indexes. Finally, several existing problems, such as 'volume ratio between resins is not optimum' and 'the inert resin and anion resin can not be stratified completely', in the condensate polishing system are also discussed. (4 refs., 1 fig., 8 tabs.)

  12. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  13. Barriers and Limitations of Mentoring in Polish Organizations

    Directory of Open Access Journals (Sweden)

    Joanna Mesjasz

    2013-09-01

    Full Text Available In Polish organizations one can recognize a lot of barriers in the mentoring implementation. According to the author, at the root of these barriers are hierarchies of values: social, national, organizational, and hierarchies of values represented by individual employees. The purpose of this article is to trace the sources of constraints in the mentoring implementation process. The analysis is carried out on several levels: from the broadest social perspective, through the national to the perspective of the organization. In the article, there are also presented actions that should be taken by Polish organizations to overcome barriers and constraints in the mentoring implementation process.

  14. Research on Grinding and Polishing Force Control of Compliant Flange

    Directory of Open Access Journals (Sweden)

    Li Chuang

    2015-01-01

    Full Text Available The automation of the grinding and polishing process is important to improve the production efficiency of the part surfaces. In this paper, a new compliant flange mounted on the end of the industrial robots for the robotic grinding and polishing force control is developed. With regard to the non-linear and time-varying problem of the contact force, the mathematical model of the new force control system was presented and the fuzzy PID control strategy was used to drive the proposed system. Especially, the air spring and electric proportional valve is studied to establish the model. The simulation results show that the selected control strategy has quick response and good robustness, which satisfies the real-time requirements of the grinding and polishing force control in processing.

  15. Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN

    Directory of Open Access Journals (Sweden)

    Piotr Žmigrodzki

    2014-12-01

    Full Text Available The paper describes a lexicographical project involving the development of the newest general dictionary of the Polish language: the Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN]. The project is coordinated by the Institute of Polish Language at the Polish Academy of Sciences and carried out in collaboration with linguists and lexicographers from several other Polish academic centres. The paper offers a brief description of the genesis of the project and the scope of information included in the dictionary, the organisation of work, the life of the dictionary on the Web as well as the plans for the future.

  16. Strain-free polished channel-cut crystal monochromators: a new approach and results

    Science.gov (United States)

    Kasman, Elina; Montgomery, Jonathan; Huang, XianRong; Lerch, Jason; Assoufid, Lahsen

    2017-08-01

    The use of channel-cut crystal monochromators has been traditionally limited to applications that can tolerate the rough surface quality from wet etching without polishing. We have previously presented and discussed the motivation for producing channel cut crystals with strain-free polished surfaces [1]. Afterwards, we have undertaken an effort to design and implement an automated machine for polishing channel-cut crystals. The initial effort led to inefficient results. Since then, we conceptualized, designed, and implemented a new version of the channel-cut polishing machine, now called C-CHiRP (Channel-Cut High Resolution Polisher), also known as CCPM V2.0. The new machine design no longer utilizes Figure-8 motion that mimics manual polishing. Instead, the polishing is achieved by a combination of rotary and linear functions of two coordinated motion systems. Here we present the new design of C-CHiRP, its capabilities and features. Multiple channel-cut crystals polished using the C-CHiRP have been deployed into several beamlines at the Advanced Photon Source (APS). We present the measurements of surface finish, flatness, as well as topography results obtained at 1-BM of APS, as compared with results typically achieved when polishing flat-surface monochromator crystals using conventional polishing processes. Limitations of the current machine design, capabilities and considerations for strain-free polishing of highly complex crystals are also discussed, together with an outlook for future developments and improvements.

  17. Polish Higher Education: Intersectoral Distinctiveness

    Science.gov (United States)

    Musial, Joanna

    2014-01-01

    This study analyzes degrees of differences between the private and public sectors of Polish higher education. It finds them to be strong: Polish private institutions function very differently from Polish public institutions and these differences correspond with those found in the literature on higher education elsewhere in the world. Polish…

  18. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  19. Preparation of cerium oxide for lens polishing powder

    International Nuclear Information System (INIS)

    Injarean, Uthaiwan; Rodthongkom, Chouvana; Pichestapong, Pipat; Changkrurng, Kalaya

    2003-10-01

    Cerium is an element of rare earth group which is called lanthanide series. It is found in the ores like monazite and xenotime which are the tailings of tin mines in the south of Thailand. Cerium is used mostly as lens polishing powder besides the applications in other industries. In this study, cerium extracted from monazite ore breakdown by alkaline process was used for the preparation of lens polishing powder. Cerium hydroxide cake from the process was dissolved by hydrochloric acid and precipitated with oxalic acid. The oxalate precipitate then was calcined to oxide powder and its particle size was measured. Precipitation conditions being studied are concentration of feed cerium chloride solution, concentration of oxalic acid used for the precipitation, concentration of sulfuric acid used as precipitation control reagent and the precipitation temperature. It was found that the appropriate precipitation conditions yielded the fine oxide powder with particle size about 12μm. The oxide powder can be ground to the size of 1-3 μm which is suitable for making lens polishing powder

  20. Impact of chemical polishing on surface roughness and dimensional quality of electron beam melting process (EBM) parts

    Science.gov (United States)

    Dolimont, Adrien; Rivière-Lorphèvre, Edouard; Ducobu, François; Backaert, Stéphane

    2018-05-01

    Additive manufacturing is growing faster and faster. This leads us to study the functionalization of the parts that are produced by these processes. Electron Beam melting (EBM) is one of these technologies. It is a powder based additive manufacturing (AM) method. With this process, it is possible to manufacture high-density metal parts with complex topology. One of the big problems with these technologies is the surface finish. To improve the quality of the surface, some finishing operations are needed. In this study, the focus is set on chemical polishing. The goal is to determine how the chemical etching impacts the dimensional accuracy and the surface roughness of EBM parts. To this end, an experimental campaign was carried out on the most widely used material in EBM, Ti6Al4V. Different exposure times were tested. The impact of these times on surface quality was evaluated. To help predicting the excess thickness to be provided, the dimensional impact of chemical polishing on EBM parts was estimated. 15 parts were measured before and after chemical machining. The improvement of surface quality was also evaluated after each treatment.

  1. Robotic Automation in Computer Controlled Polishing

    Science.gov (United States)

    Walker, D. D.; Yu, G.; Bibby, M.; Dunn, C.; Li, H.; Wu, Y.; Zheng, X.; Zhang, P.

    2016-02-01

    We first present a Case Study - the manufacture of 1.4 m prototype mirror-segments for the European Extremely Large Telescope, undertaken by the National Facility for Ultra Precision Surfaces, at the OpTIC facility operated by Glyndwr University. Scale-up to serial-manufacture demands delivery of a 1.4 m off-axis aspheric hexagonal segment with surface precision robots and computer numerically controlled ('CNC') polishing machines for optical fabrication. The objective was not to assess which is superior. Rather, it was to understand for the first time their complementary properties, leading us to operate them together as a unit, integrated in hardware and software. Three key areas are reported. First is the novel use of robots to automate currently-manual operations on CNC polishing machines, to improve work-throughput, mitigate risk of damage to parts, and reduce dependence on highly-skilled staff. Second is the use of robots to pre-process surfaces prior to CNC polishing, to reduce total process time. The third draws the threads together, describing our vision of the automated manufacturing cell, where the operator interacts at cell rather than machine level. This promises to deliver a step-change in end-to-end manufacturing times and costs, compared with either platform used on its own or, indeed, the state-of-the-art used elsewhere.

  2. Research on high-efficiency polishing technology of photomask substrate

    Science.gov (United States)

    Zhao, Shijie; Xie, Ruiqing; Zhou, Lian; Liao, Defeng; Chen, Xianhua; Wang, Jian

    2018-03-01

    A method of photomask substrate fabrication is demonstrated ,that the surface figure and roughness of fused silica will converge to target precision rapidly with the full aperture polishing. Surface figure of optical flats in full aperture polishing processes is primarily dependent on the surface profile of polishing pad, therefor, a improved function of polishing mechanism was put forward based on two axis lapping machine and technology experience, and the pad testing based on displacement sensor and the active conditioning method of the pad is applied in this research. Moreover , the clamping deformation of the thin glass is solved by the new pitch dispensing method. The experimental results show that the surface figure of the 152mm×152mm×6.35mm optical glass is 0.25λ(λ=633nm) and the roughness is 0.32nm ,which has meet the requirements of mask substrate for 90 45nm nodes.

  3. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  4. Microbial biofilms for the removal of Cu²⁺ from CMP wastewater.

    Science.gov (United States)

    Mosier, Aaron P; Behnke, Jason; Jin, Eileen T; Cady, Nathaniel C

    2015-09-01

    The modern semiconductor industry relies heavily on a process known as chemical mechanical planarization, which uses physical and chemical processes to remove excess material from the surface of silicon wafers during microchip fabrication. This process results in large volumes of wastewater containing dissolved metals including copper (Cu(2+)), which must then be filtered and treated before release into municipal waste systems. We have investigated the potential use of bacterial and fungal biomass as an alternative to the currently used ion-exchange resins for the adsorption of dissolved Cu(2+) from high-throughput industrial waste streams. A library of candidate microorganisms, including Lactobacillus casei and Pichia pastoris, was screened for ability to bind Cu(2+) from solution and to form static biofilm communities within packed-bed adsorption columns. The binding efficiency of these biomass-based adsorption columns was assessed under various flow conditions and compared to that of industrially used ion-exchange resins. We demonstrated the potential to regenerate the biomass within the adsorption columns through the use of a hydrochloric acid wash, and subsequently reuse the columns for additional copper binding. While the binding efficiency and capacity of the developed L. casei/P. pastoris biomass filters was inferior to ion-exchange resin, the potential for repeated reuse of these filters, coupled with the advantages of a more sustainable "green" adsorption process, make this technique an attractive candidate for use in industrial-scale CMP wastewater treatment. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. CMP reflection imaging via interferometry of distributed subsurface sources

    Science.gov (United States)

    Kim, D.; Brown, L. D.; Quiros, D. A.

    2015-12-01

    The theoretical foundations of recovering body wave energy via seismic interferometry are well established. However in practice, such recovery remains problematic. Here, synthetic seismograms computed for subsurface sources are used to evaluate the geometrical combinations of realistic ambient source and receiver distributions that result in useful recovery of virtual body waves. This study illustrates how surface receiver arrays that span a limited distribution suite of sources, can be processed to reproduce virtual shot gathers that result in CMP gathers which can be effectively stacked with traditional normal moveout corrections. To verify the feasibility of the approach in practice, seismic recordings of 50 aftershocks following the magnitude of 5.8 Virginia earthquake occurred in August, 2011 have been processed using seismic interferometry to produce seismic reflection images of the crustal structure above and beneath the aftershock cluster. Although monotonic noise proved to be problematic by significantly reducing the number of usable recordings, the edited dataset resulted in stacked seismic sections characterized by coherent reflections that resemble those seen on a nearby conventional reflection survey. In particular, "virtual" reflections at travel times of 3 to 4 seconds suggest reflector sat approximately 7 to 12 km depth that would seem to correspond to imbricate thrust structures formed during the Appalachian orogeny. The approach described here represents a promising new means of body wave imaging of 3D structure that can be applied to a wide array of geologic and energy problems. Unlike other imaging techniques using natural sources, this technique does not require precise source locations or times. It can thus exploit aftershocks too small for conventional analyses. This method can be applied to any type of microseismic cloud, whether tectonic, volcanic or man-made.

  6. Polish visit

    CERN Document Server

    2003-01-01

    On 6 October, Professor Michal Kleiber, Polish Minister of Science and Chairman of the State Committee for Scientific Research, visited CERN and met both the current and designated Director General, Luciano Maiani and Robert Aymar. Professor Kleiber visited the CMS and ATLAS detector assembly halls, the underground cavern for ATLAS, and the LHC superconducting magnet string test hall. Michal Kleiber (left), Polish minister of science and Jan Krolikowski, scientist at Warsaw University and working for CMS, who shows the prototypes of the Muon Trigger board of CMS.

  7. Chemical mechanical glass polishing with cerium oxide: Effect of selected physico-chemical characteristics on polishing efficiency

    Czech Academy of Sciences Publication Activity Database

    Janoš, P.; Ederer, J.; Pilařová, V.; Henych, Jiří; Tolasz, Jakub; Milde, D.; Opletal, T.

    2016-01-01

    Roč. 362, SEP (2016), s. 114-120 ISSN 0043-1648 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Chemical mechanical polishing * Ceria-based polishing powders * Polishing efficienc Subject RIV: CA - Inorganic Chemistry Impact factor: 2.531, year: 2016

  8. Chemical mechanical planarization of amorphous Ge2Sb2Te5 with a soft pad

    International Nuclear Information System (INIS)

    He Aodong; Liu Bo; Song Zhitang; Lü Yegang; Li Juntao; Liu Weili; Feng Songlin; Wu Guanping

    2013-01-01

    Chemical mechanical planarization (CMP) of amorphous Ge 2 Sb 2 Te 5 (a-GST) is investigated using two typical soft pads (politex REG and AT) in acidic slurry. After CMP, it is found that the removal rate (RR) of a-GST increases with an increase of runs number for both pads. However, it achieves the higher RR and better surface quality of a-GST for an AT pad. The in-situ sheet resistance (R s ) measure shows the higher R s of a-GST polishing can be gained after CMP using both pads and the high R s is beneficial to lower the reset current for the PCM cells. In order to find the root cause of the different RR of a-GST polishing with different pads, the surface morphology and characteristics of both new and used pads are analyzed, it shows that the AT pad has smaller porosity size and more pore counts than that of the REG pad, and thus the AT pad can transport more fresh slurry to the reaction interface between the pad and a-GST, which results in the high RR of a-GST due to enhanced chemical reaction. (semiconductor technology)

  9. Stress 'deafness' in a language with fixed word stress: an ERP study on Polish

    Directory of Open Access Journals (Sweden)

    Ulrike eDomahs

    2012-11-01

    Full Text Available The aim of the present contribution was to examine the factors influencing the prosodic processing in a language with predictable word stress. For Polish, a language with fixed penultimate stress but several well-defined exceptions, difficulties in the processing and representation of prosodic information have been reported (e.g., Peperkamp & Dupoux, 2002. The present study utilized event-related potentials (ERPs to investigate the factors influencing prosodic processing in Polish. These factors are i the predictability of stress and ii the prosodic structure in terms of metrical feet. Polish native speakers were presented with correctly and incorrectly stressed Polish words and instructed to judge the correctness of the perceived stress patterns. For each stress violation an early negativity was found which was interpreted as reflection of an error-detection mechanism, and in addition exceptional stress patterns (= antepenultimate stress and post-lexical (= initial stress evoked a task-related positivity effect (P300 whose amplitude and latency is correlated with the degree of anomaly and deviation from an expectation. Violations involving the default (= penultimate stress in contrast did not produce such an effect. This asymmetrical result is interpreted to reflect that Polish native speakers are less sensitive to the default pattern than to the exceptional or post-lexical patterns. Behavioral results are orthogonal to the electrophysiological results showing that Polish speakers had difficulties to reject any kind of stress violation. Thus, on a meta-linguistic level Polish speakers appeared to be stress-‘deaf’ for any kind of stress manipulation, whereas the neural reactions differentiate between the default and lexicalized patterns.

  10. Buffered Electrochemical Polishing of Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Ciovati, Gianluigi [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Tian, Hui [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); College of William and Mary, Williamsburg, VA (United States); Corcoran, Sean [Virginia Polytechnic Inst. and State Univ. (Virginia Tech), Blacksburg, VA (United States)

    2011-03-01

    The standard preparation of superconducting radio-frequency (SRF) cavities made of pure niobium include the removal of a 'damaged' surface layer, by buffered chemical polishing (BCP) or electropolishing (EP), after the cavities are formed. The performance of the cavities is characterized by a sharp degradation of the quality factor when the surface magnetic field exceeds about 90 mT, a phenomenon referred to as 'Q-drop.' In cavities made of polycrystalline fine grain (ASTM 5) niobium, the Q-drop can be significantly reduced by a low-temperature (? 120 °C) 'in-situ' baking of the cavity if the chemical treatment was EP rather than BCP. As part of the effort to understand this phenomenon, we investigated the effect of introducing a polarization potential during buffered chemical polishing, creating a process which is between the standard BCP and EP. While preliminary results on the application of this process to Nb cavities have been previously reported, in this contribution we focus on the characterization of this novel electrochemical process by measuring polarization curves, etching rates, surface finish, electrochemical impedance and the effects of temperature and electrolyte composition. In particular, it is shown that the anodic potential of Nb during BCP reduces the etching rate and improves the surface finish.

  11. IDENTITY AND NEGOTIATION OF BOUNDARIES AMONG YOUNG POLISH JEWS

    OpenAIRE

    Cukras-Stelągowska, Joanna

    2015-01-01

    In this chapter, I would like to look at the processes of building the cultural identity of the young generation of Polish Jews, primarily including the models of constructing modern identifications with the Polish society, the Jewish people and the State of Israel. I will present the results of my own studies, and of those conducted by a few other young researchers dealing with these issues. My main theoretical sources are within the interpretive paradigm. The research methodology was based ...

  12. Analysis and optimization techniques for real-time streaming image processing software on general purpose systems

    NARCIS (Netherlands)

    Westmijze, Mark

    2018-01-01

    Commercial Off The Shelf (COTS) Chip Multi-Processor (CMP) systems are for cost reasons often used in industry for soft real-time stream processing. COTS CMP systems typically have a low timing predictability, which makes it difficult to develop software applications for these systems with tight

  13. Laser polishing for topography management of accelerator cavity surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Klopf, J. Mike [College of William and Mary, Williamsburg, VA (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Kelley, Michael J. [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2015-07-20

    Improved energy efficiency and reduced cost are greatly desired for advanced particle accelerators. Progress toward both can be made by atomically-smoothing the interior surface of the niobium superconducting radiofrequency accelerator cavities at the machine's heart. Laser polishing offers a green alternative to the present aggressive chemical processes. We found parameters suitable for polishing niobium in all surface states expected for cavity production. As a result, careful measurement of the resulting surface chemistry revealed a modest thinning of the surface oxide layer, but no contamination.

  14. New surface modification method of bio-titanium alloy by EB polishing

    International Nuclear Information System (INIS)

    Okada, Akira; Uno, Yoshiyuki; Iio, Atsuo; Fujiwara, Kunihiko; Doi, Kenji

    2008-01-01

    A new surface modification for bio-titanium alloy products by electron beam (EB) polishing is proposed. In this EB polishing method, high energy density EB can be irradiated without concentrating the beam. Therefore, large-area EB with a maximum diameter of 60 mm can be used for instantaneously melting or evaporating metal surface. Experimental results made it clear that surface characteristics, such as repellency, corrosion resistance and coefficient of friction could be improved simultaneously with the surface smoothing in a few minutes under a proper condition. Therefore, EB polishing method has a possibility of high efficient surface smoothing and surface modification process for bio-titanium alloy. (author)

  15. An experimental and analytical investigation into the effects of process vibrations on material removal rates during polishing

    Science.gov (United States)

    Mullany, B.; Mainuddin, M.; Williams, W.; Keanini, R.

    2013-06-01

    Experimental testing, using both commercially available polishing machines and a specially built test platform, demonstrates that material removal rates (MRRs) observed during polishing of fused silica are strongly affected by nanometer-scale vibration amplitudes. Specifically, a nanometer level increase in system vibrations can produce MRRs approximately 150% higher than on an inherently smoother running machine. Moreover the higher spatial frequency surface roughness values are little-effected by the spectral content of the polishing machine. Polishing under controlled conditions, using the test platform, shows that for vibration amplitudes, A ≲ 1.6 μm, and over a fairly wide range of vibration frequencies, MRR increases almost linearly with increasing input power. By contrast, for A ≳ 10 μm, MRR exhibits a rapid decay with increasing A. Order of magnitude analyses and physical arguments are presented in order to explain the qualitatively distinct MRR trends observed. In the small-amplitude limit, A ≲ 1.6 μm, two arguments are presented which suggest that the total observed removal rate, MRRtot, reflects the superposed action of chemical-mechanical removal, MRRcm, and vibration-driven, flow-induced removal, MRRflow, i.e., MRRtot=MRRcm+MRRflow. The analyses further indicate that MRRflow primarily reflects cyclic viscous shears and pressure gradients extant within the thin, non-Newtonian slurry film that exists between the polishing tool and workpiece. Shears and pressure gradients, and corresponding flow-induced MRRs, are, in turn, found to scale as √A /do ω, where A is the vibration amplitude, do is the characteristic gap thickness between the tool and workpiece, and ω is the vibration frequency. In the large-amplitude limit, A ≳ 5 μm, experimental measurements and a simple scaling argument show that the polishing slurry film becomes thick enough that the workpiece and polishing tool lose direct contact. In this limit, observed MRRs thus reflect

  16. Polish Foreign Trade: The Quality Catch-up and the Influence of Foreign Direct Investments

    DEFF Research Database (Denmark)

    Nielsen, Jørgen Ulff-Møller

    2000-01-01

    This paper investigates how the Polish transition process has expressed itself in export and inward foreign direct investments (FDI), and the relations between export and FDI. Since 1988, the number of Polish products able to compee in export markets has steadily increased. The quality level...

  17. The tourism attractiveness of Polish libraries

    OpenAIRE

    Miedzińska, Magdalena; Tanaś, Sławoj

    2009-01-01

    The aim of the article is to draw the reader's attention to the tourism attractiveness of renowned Polish libraries. These have attained a tourism function due to tourism exploration and penetration, but remain in the shadow of other Polish cultural assets. The article outlines the historical geography of Polish libraries, an analysis of tourism assets and an attempt to classify and catalogue libraries in Poland.

  18. Detection of paint polishing defects

    Science.gov (United States)

    Rebeggiani, S.; Wagner, M.; Mazal, J.; Rosén, B.-G.; Dahlén, M.

    2018-06-01

    Surface finish plays a major role on perceived product quality, and is the first thing a potential buyer sees. Today end-of-line repairs of the body of cars and trucks are inevitably to secure required surface quality. Defects that occur in the paint shop, like dust particles, are eliminated by manual sanding/polishing which lead to other types of defects when the last polishing step is not performed correctly or not fully completed. One of those defects is known as ‘polishing roses’ or holograms, which are incredibly hard to detect in artificial light but are clearly visible in sunlight. This paper will present the first tests with a measurement set-up newly developed to measure and analyse polishing roses. The results showed good correlations to human visual evaluations where repaired panels were estimated based on the defects’ intensity, severity and viewing angle.

  19. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  20. Polish Industry and Art at CERN

    CERN Multimedia

    2000-01-01

    On 17 October 2000 the second Polish industrial and technological exhibition opened at CERN. The first one was held five years ago and nine of the companies that were present then have come back again this year. Six of those companies were awarded contracts with CERN in 1995. Three Polish officials were present at the Opening Ceremony today: Mrs Malgorzata Kozlowska, Under-secretary of State in the State Committee for Scientific Research, Mr Henryk Ogryczak, Under-secretary of State in Ministry of Economy and Prof. Jerzy Niewodniczanski, President of National Atomic Energy Agency. Professor Luciano Maiani welcomed the Polish delegation to CERN and stressed the important contribution of Polish scientists and industrialists to the work of the laboratory. Director General Luciano Maiani (back left) and head of SPL division Karl-Heinz Kissler (back right) visit the Poland at CERN exhibition… The exhibition offers Polish companies the opportunity to establish professional contacts with CERN. Nineteen companies...

  1. Polish polar research (outline

    Directory of Open Access Journals (Sweden)

    Krzysztof Ludwik Birkenmajer

    2017-12-01

    Full Text Available The article describes Polish research and discoveries in the Arctic and the Antarctic since the 19th century. The author is a geologist and since 1956 has been engaged in scientific field research on Spitsbergen, Greenland and Antarctica (23 expeditions. For many years chairman of the Committee on Polar Research of the Polish Academy of Sciences, he is now its Honorary Chairman.

  2. THE EFFECTIVENESS OF INNOVATION PROJECTS IN POLISH INDUSTRY

    Directory of Open Access Journals (Sweden)

    Jan Zwolak

    2016-03-01

    Full Text Available The objective of the study was to determine the dependency of the net income from the sales of new and significantly modernised products on the expenditure on (1 marketing and staff training as well as on the expenditure on (2 land, buildings and structures; machinery, technical equipment and tools; and means of transportation within the scope of product and process innovations in Polish industry in 2010. Furthermore the study was intended to determine the effectiveness of the abovementioned expenditure aggregated to descriptive variables (1 and 2. In the study, the correlation and regression methods as well as the least squares method was applied.The estimation resulted in the determination of functional dependency of net sales income on the expenditure on marketing and staff training (0.5040 as well as on the expenditure on land, buildings and structures; machinery, technical equipment and tools; and means of transportation (0.8064 in the field of innovative processes in industry. The net sales income increased more than proportionally relative to the combined effect of the aforementioned expenditure (1.3104. The total increase in the aforementioned expenditure by 10% resulted in the increase in net sales income by 13.1%. The determined power regression model may have practical implications for the economic evaluation of expenditure in innovative processes in Polish industry in the future, as well as for the forecast (prediction.The expenditure application in innovative processes may also have social implications connected to the increase in the value-in-use of products and with the increase in the social efficiency of work in Polish industry.

  3. Lysenko affair and Polish botany.

    Science.gov (United States)

    Köhler, Piotr

    2011-01-01

    This article describes the slight impact of Lysenkoism upon Polish botany. I begin with an account of the development of plant genetics in Poland, as well as the attitude of scientists and the Polish intelligentsia toward Marxist philosophy prior to the World War II. Next I provide a short history of the introduction and demise of Lysenkoism in Polish science, with a focus on events in botany, in context with key events in Polish science from 1939 to 1958. The article outlines the little effects of Lysenkoism upon botanists and their research, as well as how botanists for the most part rejected what was often termed the "new biology." My paper shows that though Lysenko's theories received political support, and were actively promoted by a small circle of scientists and Communist party activists, they were never accepted by most botanists. Once the political climate in Poland altered after the events of 1956, Lysenko's theories were immediately abandoned.

  4. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  5. Cleansing orthodontic brackets with air-powder polishing: effects on frictional force and degree of debris.

    Science.gov (United States)

    Leite, Brisa Dos Santos; Fagundes, Nathalia Carolina Fernandes; Aragón, Mônica Lídia Castro; Dias, Carmen Gilda Barroso Tavares; Normando, David

    2016-01-01

    Debris buildup on the bracket-wire interface can influence friction. Cleansing brackets with air-powder polishing can affect this process. The aim of this study was to evaluate the frictional force and amount of debris remaining on orthodontic brackets subjected to prophylaxis with air-powder polishing. Frictional force and debris buildup on the surface of 28 premolar brackets were evaluated after orthodontic treatment. In one hemiarch, each bracket was subjected to air-powder polishing (n = 14) for five seconds, while the contralateral hemiarch (n = 14) served as control. Mechanical friction tests were performed and images of the polished bracket surfaces and control surfaces were examined. Wilcoxon test was applied for comparative analysis between hemiarches at p Brackets that had been cleaned with air-powder polishing showed lower friction (median = 1.27 N) when compared to the control surfaces (median = 4.52 N) (p orthodontic brackets with air-powder polishing significantly reduces debris buildup on the bracket surface while decreasing friction levels observed during sliding mechanics.

  6. Chemical polishing of partially oxidized T-111 alloy

    International Nuclear Information System (INIS)

    Teaney, P.E.

    1974-01-01

    The specimens were pressure-mounted in Bakelite and ground through 600 grit on silicon carbide papers. The specimens were rough-polished on a vibratory polisher for 4 to 6 h, using a water slurry of one micron alumina on Texmet, followed by 0.3-μ alumina on Texmet overnight. Final polishing was accomplished by continuous swabbing with a chemical polish. A chemical polish consisting of ten parts lactic acid, four parts nitric acid, and four parts hydrofluoric acid worked well for the T-111 parent material specimens; however, in the partially oxidized specimens, considerable pitting and staining occurred in the oxygen-affected zone and in the transition zone between the oxygen-affected zone and the parent material. A chemical polish was developed for the partially oxidized specimens by adjusting the ratio of the acids to ten parts lactic acid, two parts nitric acid, and two parts hydrofluoric acid. This slowed the chemical attack on the oxygen-affected zone considerably and, with continuous swabbing, the pitting and stain could be avoided. The specimens were rinsed and checked occasionally on the metallograph to determine when the proper polish had been obtained. Some specimens required intermittent polishing times up to 1 / 2 hour. No relationship could be established between the oxygen content of the specimen and the time required for chemical polishing in the partially oxidized specimens. However, the microstructure of the transition zone was the most difficult to obtain, and specimens with uniform reaction zones across the width of the specimen polished quicker than those with the transition zone

  7. Evaluation of alignment marks using ASML ATHENA alignment system in 90nm BEOL process

    CERN Document Server

    Tan Chin Boon; Koh Hui Peng; Koo Chee, Kiong; Siew Yong Kong; Yeo Swee Hock

    2003-01-01

    As the critical dimension (CD) in integrated circuit (IC) device reduces, the total overlay budget needs to be more stringent. Typically, the allowable overlay error is 1/3 of the CD in the IC device. In this case, robustness of alignment mark is critical, as accurate signal is required by the scanner's alignment system to precisely align a layer of pattern to the previous layer. Alignment issue is more severe in back-end process partly due to the influenced of Chemical Mechanical Polishing (CMP), which contribute to the asymmetric or total destruction of the alignment marks. Alignment marks on the wafer can be placed along the scribe-line of the IC pattern. ASML scanner allows such type of wafer alignment using phase grating mark, known as Scribe-line Primary Mark (SPM) which can be fit into a standard 80um scribe-line. In this paper, we have studied the feasibility of introducing Narrow SPM (NSPM) to enable a smaller scribe-line. The width of NSPM has been shrunk down to 70% of the SPM and the length remain...

  8. Summary of Synthetic Lap Polishing Experiments at LLNL, FY95

    International Nuclear Information System (INIS)

    Nichols, M A

    2001-01-01

    The purpose of this research was to support the optics finishing development work for the NIF, the National Ignition Facility. One of the major expenses for the construction of NIF is the cost of finishing of the large aperture optics. One way to significantly reduce the cost of the project is to develop processes to reduce the amount of time necessary to polish the more than 3,000 amplifier slabs. These slabs are rectangular with an aspect ratio of more than twenty to one and are made of a very temperature sensitive glass, Nd doped phosphate laser glass. As a result of this effort, we could potentially reduce the time necessary to polish each surface of an amplifier from 20-30 hours of run time to under an hour to achieve the same removal and still maintain a flatness of between one to three waves concave figure. We also feel confident that we can polish rectangular thermally sensitive glass flat by use of temperature control of the polishing platen, pad curvature, slurry concentration with temperature control, pad rotation, and pressure; although further, larger scale experiments are necessary to gain sufficient confidence that such a procedure could be successfully fielded

  9. Polish Americans. Second, Revised Edition.

    Science.gov (United States)

    Lopata, Helen Znaniecka

    This book examines Polonia, the Polish ethnic community in America created by three giant waves of immigration between 1880 and 1990. The complicated history of this ethnic group is reflected in the lives of increasing numbers of Polish Americans, including recent immigrants brought by political and economic changes, as they achieve middle class…

  10. Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements

    Directory of Open Access Journals (Sweden)

    Danuta Roszko

    2015-06-01

    Full Text Available Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements In the article the authors present the experimental Polish-Lithuanian corpus (ECorpPL-LT formed for the idea of Polish-Lithuanian theoretical contrastive studies, a Polish-Lithuanian electronic dictionary, and as help for a sworn translator. The semantic annotation being brought into ECorpPL-LT is extremely useful in Polish-Lithuanian contrastive studies, and also proves helpful in translation work.

  11. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  12. Assessing operability of a novel polisher arrangement using MMS

    International Nuclear Information System (INIS)

    Shor, S.W.W.

    1987-01-01

    A condensate polisher is intended to remove both particulate matter and ionic material from the condensate. Condensate polishers have normally been placed directly in the condensate system downstream of the condensate pumps. This inline location has certain disadvantages. These disadvantages are discussed. Placing the polisher in a sidestream location, where water is removed from the condensate system, pumped through the polisher, and then returned to the condensate system provides a solution to these disadvantages. Several possible types of sidestream installations is described. This has a polisher taking unpolished condensate from one compartment from one compartment of a divided hotwell in a specially modified condenser and returning polished condensate to the other compartment. The polisher is supplied by its own dedicated pumps, which have a head requirement sufficient only to overcome the pressure drop through the polisher circuit at a flow rate of 110% of maximum condensate flow. This concept is very attractive but has not yet been tested even though it is being installed in several new units. A simulation was, therefore, performed using MMS to provide confidence that this particular sidestream polisher arrangement was operationally viable

  13. Super Polishing of Aluminum 6061-T6 Mirrors, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — An innovative 2D super-polishing process for Aluminum 6061-T6 planar mirrors which removes diamond point turning (DPT) grooves and attains rms surface finishes below...

  14. The Polish family under Stalinist subjugation [Rodzina w sytuacji stalinowskiego zniewolenia

    Directory of Open Access Journals (Sweden)

    Elwira JOLANTA KRYŃSKA

    2016-06-01

    Full Text Available The situation of Polish families during Stalinist subjugation was particularly arduous. The family was treated as an important part of the political system’s socialization which was not rooted at all in the sphere of traditional values and norms developed in the process of historical progress of the Polish statehood. Due to the foundations of Latin civilization based on personalization that were cherished by Polish families, cynical endeavors to take away freedom, legal capacity and personal property – the objectives which Stalinism aimed at both indirectly and directly – failed, which destroyed the communists’ plans. The family did not cease to be the most important centre of human life and development. Theoreticians of a „new deal” did not manage to limit the family to the role of a „basic social unit” of „socialist morality”.

  15. Study of Pneumatic Servo Loading System in Double-Sided Polishing

    International Nuclear Information System (INIS)

    Qian, N; Ruan, J; Li, W

    2006-01-01

    The precise double-sided polishing process is one of the main methods to get the ultra-smooth surface of workpiece. In double-sided polishing machine, a loading system is required to be able to precisely control the load superimposed on the workpiece, while the polishing is being carried out. A pneumatic servo loading system is proposed for this purpose. In the pneumatic servo system, the servo valve, which acts both the electrical to mechanical converter and the power amplifier, has a substantial influence on the performance of the loading system. Therefore a specially designed pneumatic digital servo valve is applied in the control system. In this paper, the construction of the pneumatic servo loading system in double-sided polishing machine and control strategy associated with the digital servo valve are first addressed. The mathematical model of the system established and the hardware of the pneumatic servo system is designed. Finally, the experiments are carried out by measuring the practical load on the workpiece and the quality of the surface finish. It is demonstrated that the error rate of load is less than 5% and a super-smooth surface of silicon wafer with roughness Ra 0.401 nm can be obtained

  16. Fractal dimension determined through optical and scanning electron microscopy on FeCrAl alloy after polishing, erosion, and oxidizing processes

    Energy Technology Data Exchange (ETDEWEB)

    Guzman-Castaneda, J.I.; Garcia-Borquez, A. [Instituto Politecnico Nacional, ESFM, 07738 Mexico D.F. (Mexico); Arizabalo-Salas, R.D. [Instituto Mexicano del Petroleo, Direccion de Investigacion y Posgrado, 07730 Mexico D.F. (Mexico)

    2012-06-15

    Optical and scanning electron microscopy (OM and SEM) are techniques that are normally used for 2D-analysis of surface features. By fractal dimension analysis of the gray-scale OM and SEM images, it is possible to get quantitative topographical measurements. In this work, three different surface topographies (polished, eroded, and oxidized) were analyzed on FeCrAl alloy by OM and SEM. Clear surface topographical changes can be qualitatively observed. In order to quantify such changes, two steps were followed: (i) a gray-scale digitalization from each image was used to reproduce topographical features on the analyzed surface, and (ii) from this information, the fractal dimension (D) was determined using fractal3e software. The fractal dimension determined in this form follows coherently the topographical changes produced on the FeCrAl alloy after polishing, erosion, and oxidizing processes. The variations of fractal dimension values against the temperature of the oxidizing processes reflect well the oxide growth changes. Moreover, a minimum D-value is registered at 750 C, which corresponds to the {delta}-{theta} alumina phase transition temperature as determined by differential thermal analysis (DTA) on the same alloy. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Terminology extraction from medical texts in Polish.

    Science.gov (United States)

    Marciniak, Małgorzata; Mykowiecka, Agnieszka

    2014-01-01

    Hospital documents contain free text describing the most important facts relating to patients and their illnesses. These documents are written in specific language containing medical terminology related to hospital treatment. Their automatic processing can help in verifying the consistency of hospital documentation and obtaining statistical data. To perform this task we need information on the phrases we are looking for. At the moment, clinical Polish resources are sparse. The existing terminologies, such as Polish Medical Subject Headings (MeSH), do not provide sufficient coverage for clinical tasks. It would be helpful therefore if it were possible to automatically prepare, on the basis of a data sample, an initial set of terms which, after manual verification, could be used for the purpose of information extraction. Using a combination of linguistic and statistical methods for processing over 1200 children hospital discharge records, we obtained a list of single and multiword terms used in hospital discharge documents written in Polish. The phrases are ordered according to their presumed importance in domain texts measured by the frequency of use of a phrase and the variety of its contexts. The evaluation showed that the automatically identified phrases cover about 84% of terms in domain texts. At the top of the ranked list, only 4% out of 400 terms were incorrect while out of the final 200, 20% of expressions were either not domain related or syntactically incorrect. We also observed that 70% of the obtained terms are not included in the Polish MeSH. Automatic terminology extraction can give results which are of a quality high enough to be taken as a starting point for building domain related terminological dictionaries or ontologies. This approach can be useful for preparing terminological resources for very specific subdomains for which no relevant terminologies already exist. The evaluation performed showed that none of the tested ranking procedures were

  18. Separation of mercury in industrial processes of Polish hard steam coals cleaning

    Directory of Open Access Journals (Sweden)

    Wierzchowski Krzysztof

    2016-01-01

    Full Text Available Coal use is regarded as one of main sources of anthropogenic propagation of mercury in the environment. The coal cleaning is listed among methods of the mercury emission reduction. The article concerns the statistical assessment of mercury separation between coal cleaning products. Two industrial processes employed in the Polish coal preparation plants are analysed: coal cleaning in heavy media vessels and coal cleaning in jigs. It was found that the arithmetic mean mercury content in coarse and medium coal size fractions for clean coal from heavy media vessels, amounts 68.9 μg/kg, and most of the results lay below the mean value, while for rejects it amounts 95.5 μg/kg. It means that it is for around 25 μg/kg greater than in the clean coal. The arithmetic mean mercury content in raw coal smalls amounts around 118 mg/kg. The cleaning of smalls in jigs results in clean coal and steam coal blends characterized by mean mercury content 96.8 μg/kg and rejects with mean mercury content 184.5 μg/kg.

  19. Elastic emission polishing

    Energy Technology Data Exchange (ETDEWEB)

    Loewenthal, M.; Loseke, K.; Dow, T.A.; Scattergood, R.O.

    1988-12-01

    Elastic emission polishing, also called elastic emission machining (EEM), is a process where a stream of abrasive slurry is used to remove material from a substrate and produce damage free surfaces with controlled surface form. It is a noncontacting method utilizing a thick elasto-hydrodynamic film formed between a soft rotating ball and the workpiece to control the flow of the abrasive. An apparatus was built in the Center, which consists of a stationary spindle, a two-axis table for the workpiece, and a pump to circulate the working fluid. The process is controlled by a programmable computer numerical controller (CNC), which presently can operate the spindle speed and movement of the workpiece in one axis only. This apparatus has been used to determine material removal rates on different material samples as a function of time, utilizing zirconium oxide (ZrO{sub 2}) particles suspended in distilled water as the working fluid. By continuing a study of removal rates the process should become predictable, and thus create a new, effective, yet simple tool for ultra-precision mechanical machining of surfaces.

  20. The Polish Mother on the defensive? The transformation of the myth and its impact on the motherhood of Polish women

    Directory of Open Access Journals (Sweden)

    Agnieszka Imbierowicz

    2012-06-01

    Full Text Available The subject of this presentation is the attempt to define and to present the origins, socio-cultural content and the evolution of The Polish Mother myth, present in the polish national consciousness. The author tries to show how this myth was born, what functions it fulfilled and what forms it took in the changing historical and social reality, from the moment of loss of independence, through a period of real socialism, until the present day. The impact of this myth in the lives of real women and their motherhood is taken into consideration. Then, the author comparing the results of the latest polish sociological researches on the family and its transformation, and transformation of value systems together with theories about the specifics of life in the period of postmodernity, wonders whether it’s time to deconstruct the myth of The Polish Mother, because it does not fit the conditions of today’s world, which is characterized, above all, by the apotheosis of individuality, self-realization and freedom, or perhaps in polish society there is still strong traditionalism in thinking about motherhood, and the myth of The Polish Mother is still alive?

  1. Effect of polishing instruments and polishing regimens on surface topography and phase transformation of monolithic zirconia: An evaluation with XPS and XRD analysis.

    Science.gov (United States)

    Al-Haj Husain, Nadin; Camilleri, Josette; Özcan, Mutlu

    2016-12-01

    Polishing procedures might alter monolithic zirconia (MZ) surface resulting in phase changes that can be deleterious for clinical performance and antagonist tooth wear. This study investigated the topographical features and phase transformation in MZ after polishing with different regimens simulating the clinical workflow. ​ MZ specimens (Katana Zirconia HT, Kuraray-Noritake) (12×12×1.8 mm(3)) were grinded and polished using one of the five systems assessed: BG: Silicone carbide polishers (Brownie, Greenie, Super Greenie); CG: Diamond impregnated ceramic polisher kit (Ceragloss); EV: Synthetically bonded grinder interspersed with diamond (EVE Kit); SL: Urethane coated paper with aluminium oxide grits (Soflex Finishing and Polishing System Kit) and DB: Diamond bur (8 µm). Polished specimens were initially roughened with 220 µm diamond burs (Grinding Bur-GB) (10 s, 160.000160,000 rpm) and considered for baseline measurements. Polishing regimens were performed for 10 s using a slow-speed hand piece under water-cooling except for SL, in a custom made device (750 g; 5000 and 75,000 rpm). Surface roughnesses, phase changes (XRD) were assessed, surface characterization was performed (SEM, EDS). The highest roughness was obtained with the EV system (1.11 µm) compared to those of other systems (0.13-0.4 µm) (pθ and minor peak at 34.94°2θ. While GB, CG, EV, SL and DB exhibited a peak shift to the left, BG demonstrated a right peak shift on the 2θ scale. Monoclinic phase change was not noted in any of the groups. All polishing methods, except BG, exhibited a peak shift towards the lower angles of the 2-theta scale. Since the peak shifts were in the order of fractions of an angle they are attributed to stress formation rather than a phase change in the material. Thus, all polishing systems tested may not be detrimental for the phase transformation of MZ. EV system resulted in the highest roughness and none of the polishing regimens restored the polishability to the

  2. Characterization of the waste from porcelain polish submitted to the hydrocycloning process; Caracterizacao do residuo provindo do polimento do porcelanato submetido ao processo de hidrociclonagem

    Energy Technology Data Exchange (ETDEWEB)

    Caetano, A.L.A.; Barbosa Neto, M.C.; Fulgencio, E.B.Gr.; Macedo, D.A.; Ferreira, H.S.; Dutra, R.P.S., E-mail: andre_araujo_jp@hotmail.com [Universidade Federal da Paraiba (UFPB), Joao Pessoa, PB (Brazil). Departamento de Engenharia de Materiais

    2016-07-01

    The residue generated in porcelain tile polishing has caused great social and environmental worry, due to the release of its solid effluents in inadequate places. This study aims to characterize the residue came from porcelain tile polishing, in order to reuse it in own ceramic industry. For this, was used a laboratory hydrocyclone, equipment used for separation of particles by size. The use of this type of process in the treatment of natural materials can represent quite an efficient tool and cost affordable for the purification of these, since allows to there are separation between the fractions. The different samples were characterized by X-ray diffraction, X-ray fluorescence, particle size analysis and thermal analysis. The results indicate that the resulting material from this process showed different characteristics of the material that was not hydrocycloned, especially as the intensity of the mineralogical phases and the particle size distribution. (author)

  3. Using quantum dots to tag subsurface damage in lapped and polished glass samples

    International Nuclear Information System (INIS)

    Williams, Wesley B.; Mullany, Brigid A.; Parker, Wesley C.; Moyer, Patrick J.; Randles, Mark H.

    2009-01-01

    Grinding, lapping, and polishing are finishing processes used to achieve critical surface parameters in a variety of precision optical and electronic components. As these processes remove material from the surface through mechanical and chemical interactions, they may induce a damaged layer of cracks, voids, and stressed material below the surface. This subsurface damage (SSD) can degrade the performance of a final product by creating optical aberrations due to diffraction, premature failure in oscillating components, and a reduction in the laser induced damage threshold of high energy optics. As these defects lie beneath the surface, they are difficult to detect, and while many methods are available to detect SSD, they can have notable limitations regarding sample size and type, preparation time, or can be destructive in nature. The authors tested a nondestructive method for assessing SSD that consisted of tagging the abrasive slurries used in lapping and polishing with quantum dots (nano-sized fluorescent particles). Subsequent detection of fluorescence on the processed surface is hypothesized to indicate SSD. Quantum dots that were introduced to glass surfaces during the lapping process were retained through subsequent polishing and cleaning processes. The quantum dots were successfully imaged by both wide field and confocal fluorescence microscopy techniques. The detected fluorescence highlighted features that were not observable with optical or interferometric microscopy. Atomic force microscopy and additional confocal microscope analysis indicate that the dots are firmly embedded in the surface but do not appear to travel deep into fractures beneath the surface. Etching of the samples exhibiting fluorescence confirmed that SSD existed. SSD-free samples exposed to quantum dots did not retain the dots in their surfaces, even when polished in the presence of quantum dots.

  4. Using STED and ELSM confocal microscopy for a better knowledge of fused silica polished glass interface

    International Nuclear Information System (INIS)

    Catrin, Rodolphe; Neauport, Jerome; Taroux, Daniel; Corbineau, Thomas; Cormont, Philippe; Maunier, Cedric; Legros, Philippe

    2013-01-01

    Characteristics and nature of close surface defects existing in fused silica polished optical surfaces were explored. Samples were deliberately scratched using a modified polishing process in presence of different fluorescent dyes. Various techniques including Epi-fluorescence Laser Scanning Mode (ELSM) or Stimulated Emission Depletion (STED) confocal microscopy were used to measure and quantify scratches that are sometimes embedded under the polished layer. We show using a nondestructive technique that depth of the modified region extends far below the surface. Moreover cracks of 120 nm width can be present ten micrometers below the surface. (authors)

  5. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz

    2005-01-01

    The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined. Krzysztof Konecki

  6. Effects of polishing procedures on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2009-04-01

    Full Text Available The purpose of this study was to investigate the effect of different polishing methods on color stability of posterior, universal and nanohybrid composite resin restorative materials upon exposure to a staining agent. Twenty-five specimens were prepared for each of 5 different composite resins (Filtek Z250, Filtek P60, Quadrant LC, Grandio and Filtek Supreme. Specimens were divided into 5 groups and different polishing procedures, including polishing discs (Pd, polishing discs then diamond polishing paste (PdP, polishing discs then a liquid polishing system (Biscover (PdB, and combinations of these (PdPB were used. Unpolished specimens served as the control (C. The specimens were stored for 48 h in a coffee solution. The color of all specimens was measured before and after exposure with a colorimeter, and total color change (DE* were calculated. The data were analyzed with a two-way ANOVA and the means were compared by Tukey HSD test (a=0.05. The lowest color difference was observed in the groups PdP and C, while the highest color difference was observed in PdPB, and PdB. When comparing the five different restorative materials, no significant difference was observed between FiltekP60 and FiltekZ250, and these materials demonstrated significantly less color change than Quadrant LC and the nanohybrid materials (Grandio, Filtek Supreme. The posterior (Filtek P60 and universal (Filtek Z250 composite resin restorative materials, which do not contain tetraethyleneglycol dimethacrylate (TEGDMA, were found to be less stainable than the nanohybrid (Grandio, Filtek Supreme and universal (Quadrant LC composite resins, which contain TEGDMA. The use of diamond polishing paste after polishing with polishing discs significantly decreased staining when compared to the groups that used polishing discs alone, for all restorative materials tested. The highest color change values were obtained for the specimens that were polished with the Biscover liquid polish

  7. Microbiological flora and nail polish: a brief report.

    Science.gov (United States)

    Rayan, G M; Flournoy, D J; Schlageter, P

    1994-11-01

    Removing nail polish and prosthetic nails from operating room (OR) personnel prior to scrubbing and from patients prior to hand surgery is recommended but not practiced in many hospitals. There is concern that nail polish can act as a vehicle for the transfer of infectious agents. This study was designed to determine the incidence of microbiological flora of nail polish in a clinical setting.

  8. Smoking characteristics of Polish immigrants in Dublin.

    LENUS (Irish Health Repository)

    Kabir, Zubair

    2008-01-01

    BACKGROUND: This study examined two main hypotheses: a) Polish immigrants\\' smoking estimates are greater than their Irish counterparts (b) Polish immigrants purchasing cigarettes from Poland smoke "heavier" (>\\/= 20 cigarettes a day) when compared to those purchasing cigarettes from Ireland. The study also set out to identify significant predictors of \\'current\\' smoking (some days and everyday) among the Polish immigrants. METHODS: Dublin residents of Polish origin (n = 1,545) completed a previously validated Polish questionnaire in response to an advertisement in a local Polish lifestyle magazine over 5 weekends (July-August, 2007). The Office of Tobacco Control telephone-based monthly survey data were analyzed for the Irish population in Dublin for the same period (n = 484). RESULTS: Age-sex adjusted smoking estimates were: 47.6% (95% Confidence Interval [CI]: 47.3%; 48.0%) among the Poles and 27.8% (95% CI: 27.2%; 28.4%) among the general Irish population (p < 0.001). Of the 57% of smokers (n = 345\\/606) who purchased cigarettes solely from Poland and the 33% (n = 198\\/606) who purchased only from Ireland, 42.6% (n = 147\\/345) and 41.4% (n = 82\\/198) were "heavy" smokers, respectively (p = 0.79). Employment (Odds Ratio [OR]: 2.89; 95% CI: 1.25-6.69), lower education (OR: 3.76; 95%CI: 2.46-5.74), and a longer stay in Ireland (>24 months) were significant predictors of current smoking among the Poles. An objective validation of the self-reported smoking history of a randomly selected sub-sample immigrant group, using expired carbon monoxide (CO) measurements, showed a highly significant correlation coefficient (r = 0.64) of expired CO levels with the reported number of cigarettes consumed (p < 0.0001). CONCLUSION: Polish immigrants\\' smoking estimates are higher than their Irish counterparts, and particularly if employed, with only primary-level education, and are overseas >2 years.

  9. A Study of Polishing Feature of Ultrasonic-Assisted Vibration Method in Bamboo Charcoal

    Directory of Open Access Journals (Sweden)

    Hsin-Min Lee

    2017-01-01

    Full Text Available Focusing on the feature of porosity in bamboo charcoal, this study applies the ultrasonic-assisted vibration method to perform surface polishing of the silicon wafer workpiece. The self-developed bamboo charcoal polishing spindle and ultrasonic- assisted vibration mechanism are attached to a single lapping machine. In the machining process, ultrasonic vibration enables the diamond slurry to smoothly pass through the microscopic holes of bamboo charcoal; the end of the bamboo charcoalis able to continue machining on the surface of the workpiece through the grasping force which exists in the microscopic holes. Under the polishing and machining parameters of ultrasonic-assisted vibration, with a diamond slurry concentration of 0.3%, the experimental results show a polishing time of 20 min, a loading of 25 N on the workpiece surface, a spindle speed of 1200 rpm, a vibration frequency of 30 kHz and the original surface roughness value of Ra 0.252 μm equals that of a mirror-like surface at Ra 0.017 μm. These research results prove that by using bamboo charcoal and ultrasonic-assisted vibration for polishing, a very good improvement can be achieved on the workpiece surface.

  10. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  11. Use of mep HyperCel for polishing of human serum albumin.

    Science.gov (United States)

    McCann, Karl B; Vucica, Yvonne; Wu, John; Bertolini, Joseph

    2014-10-15

    The manufacture of human serum albumin by chromatographic procedures involves gel filtration chromatography as a final polishing step. Despite this step being essential to remove high molecular weight impurity proteins and thus ensure a stable and safe final product, it is relatively inefficient. This paper explores the use of hydrophobic charge induction chromatographic media, MEP HyperCel as an alternative to Sephacryl S200HR gel filtration for the polishing of human serum albumin derived by ion exchange chromatographic purification of Cohn Supernatant I. The use of MEP HyperCel results in a product with a higher purity than achieved with gel filtration and in a less time consuming manner and with potential resource savings. MEP HyperCel appears to have great potential for incorporation into downstream processes in the plasma fractionation industry as an efficient means of achieving polishing of intermediates or capture of proteins of interest. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Comparison of Anti-Reflective Coated and Uncoated Surfaces Figured by Pitch-Polishing and Magneto-Rheological Processes

    International Nuclear Information System (INIS)

    Chow, R.; Thomas, M.D.; Bickel, R.; Taylor, J.R.

    2002-01-01

    When completed, the National Ignition Facility (NIF) will provide laser energies in the Mega-joule range. Successful pulse amplification to these extremely high levels requires that all small optics, found earlier in the beamline, have stringent surface and laser fluence requirements. In addition, they must operate reliably for 30 years constituting hundreds of thousands of shots. As part of the first four beamlines, spherical and aspherical lenses were required for the beam relaying telescopes. The magneto-rheological technique allows for faster and more accurate finishing of aspheres. The spherical and aspherical lenses were final figured using both conventional-pitch polishing processes for high quality laser optics and the magneto-rheological finishing process. The purpose of this paper is to compare the surface properties between these two finishing processes. Some lenses were set aside from production for evaluation. The surface roughness in the mid-frequency range was measured and the scatter was studied. Laser damage testing at 1064 nm (3-ns pulse width) was performed on surfaces in both the uncoated and coated condition.

  13. A multidimensional analysis and modelling of flotation process for selected Polish lithological copper ore types

    Directory of Open Access Journals (Sweden)

    Niedoba Tomasz

    2017-01-01

    Full Text Available The flotation of copper ore is a complex technological process that depends on many parameters. Therefore, it is necessary to take into account the complexity of this phenomenon by choosing a multidimensional data analysis. The paper presents the results of modelling and analysis of beneficiation process of sandstone copper ore. Considering the implementation of multidimensional statistical methods it was necessary to carry out a multi-level experiment, which included 4 parameters (size fraction, collector type and dosage, flotation time. The main aim of the paper was the preparation of flotation process models for the recovery and the content of the metal in products. A MANOVA was implemented to explore the relationship between dependent (β, ϑ, ε, η and independent (d, t, cd, ct variables. The design of models was based on linear and nonlinear regression. The results of the variation analysis indicated the high significance of all parameters for the process. The average degree of matching of linear models to experimental data was set at 49% and 33% for copper content in the concentrate and tailings and 47% for the recovery of copper minerals in the both. The results confirms the complexity and stochasticity of the Polish copper ore flotation.

  14. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz; Kacperczyk, Anna; Marciniak, Łukasz

    2005-01-01

    Forum Qualitative Sozialforschung / Forum: Qualitative Social Research,2005, 6(3) The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined.

  15. Effect of Molecular Structure on Modulation of Passivation Films on Copper Chemical Mechanical Planarization

    Science.gov (United States)

    Mlynarski, Amy

    In order to optimize the chemical mechanical planarization (CMP) process, there is a need to further understand the synergistic relationship between chemical and mechanical parameters to enhance the polishing process. CMP chemistry is very complex, as it contains complexing agents, oxidizing agents, passivating agents, and abrasive particles. This variety of components ensues chaos within the system, which complicates the understanding of the direct impact each component has on the CMP process. In order for there to be efficiency in the polishing process, specifically for copper (Cu) polishing, the chemistry must create a softened passivation layer on the Cu surface that is able to be readily removed by applied mechanical abrasion. Focusing on Cu CMP, the oxidation of Cu to Cu2+ needs to be thoroughly understood in order to probe the formation of creating this ideal passivated layer, which protects recessed Cu regions. The type of film that is formed, the strength of the film, and even the efficiency of film removal will be altered depending on the chemistry of interaction at the Cu surface. This thesis focuses on understanding the working mechanism of the film formation on Cu, depending on the passivating agent added to the system. The different passivating agents used, more specifically benzotriazole (BTA), triazole (TAZ), salicylhydroxamic acid (SHA), and benzimidazole (BIA), have all been known to create a light coat of protection on the recessed metal, providing corrosion resistance. In order to study the differences in these films, many different techniques can be utilized to characterize the films, such as electrochemical scans, referred to as Tafel plots, which will be performed to compare the differences of the films. By altering the temperature within the system, the activation energy for each system can also be determined as another way to characterize the density of the passive film formed. Furthermore, the generation of *OH will be monitored since the

  16. Technical report for generic site add-on facility for plutonium polishing

    International Nuclear Information System (INIS)

    1998-06-01

    The purpose of this report is to provide environmental data and reference process information associated with incorporating plutonium polishing steps (dissolution, impurity removal, and conversion to oxide powder) into the genetic-site Mixed-Oxide Fuel Fabrication Facility (MOXFF). The incorporation of the plutonium polishing steps will enable the removal of undesirable impurities, such as gallium and americium, known to be associated with the plutonium. Moreover, unanticipated impurities can be removed, including those that may be contained in (1) poorly characterized feed materials, (2) corrosion products added from processing equipment, and (3) miscellaneous materials contained in scrap recycle streams. These impurities will be removed to the extent necessary to meet plutonium product purity specifications for MOX fuels. Incorporation of the plutonium polishing steps will mean that the Pit Disassembly and Conversion Facility (PDCF) will need to produce a plutonium product that can be dissolved at the MOXFF in nitric acid at a suitable rate (sufficient to meet overall production requirements) with the minimal usage of hydrofluoric acid, and its complexing agent, aluminum nitrate. This function will require that if the PDCF product is plutonium oxide powder, that powder must be produced, stored, and shipped without exceeding a temperature of 600 C

  17. 21 CFR 872.6030 - Oral cavity abrasive polishing agent.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oral cavity abrasive polishing agent. 872.6030... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6030 Oral cavity abrasive polishing agent. (a) Identification. An oral cavity abrasive polishing agent is a device in paste or powder form...

  18. Continuous counter-current chromatography for capture and polishing steps in biopharmaceutical production.

    Science.gov (United States)

    Steinebach, Fabian; Müller-Späth, Thomas; Morbidelli, Massimo

    2016-09-01

    The economic advantages of continuous processing of biopharmaceuticals, which include smaller equipment and faster, efficient processes, have increased interest in this technology over the past decade. Continuous processes can also improve quality assurance and enable greater controllability, consistent with the quality initiatives of the FDA. Here, we discuss different continuous multi-column chromatography processes. Differences in the capture and polishing steps result in two different types of continuous processes that employ counter-current column movement. Continuous-capture processes are associated with increased productivity per cycle and decreased buffer consumption, whereas the typical purity-yield trade-off of classical batch chromatography can be surmounted by continuous processes for polishing applications. In the context of continuous manufacturing, different but complementary chromatographic columns or devices are typically combined to improve overall process performance and avoid unnecessary product storage. In the following, these various processes, their performances compared with batch processing and resulting product quality are discussed based on a review of the literature. Based on various examples of applications, primarily monoclonal antibody production processes, conclusions are drawn about the future of these continuous-manufacturing technologies. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. 19th Polish Control Conference

    CERN Document Server

    Kacprzyk, Janusz; Oprzędkiewicz, Krzysztof; Skruch, Paweł

    2017-01-01

    This volume contains the proceedings of the KKA 2017 – the 19th Polish Control Conference, organized by the Department of Automatics and Biomedical Engineering, AGH University of Science and Technology in Kraków, Poland on June 18–21, 2017, under the auspices of the Committee on Automatic Control and Robotics of the Polish Academy of Sciences, and the Commission for Engineering Sciences of the Polish Academy of Arts and Sciences. Part 1 deals with general issues of modeling and control, notably flow modeling and control, sliding mode, predictive, dual, etc. control. In turn, Part 2 focuses on optimization, estimation and prediction for control. Part 3 is concerned with autonomous vehicles, while Part 4 addresses applications. Part 5 discusses computer methods in control, and Part 6 examines fractional order calculus in the modeling and control of dynamic systems. Part 7 focuses on modern robotics. Part 8 deals with modeling and identification, while Part 9 deals with problems related to security, fault ...

  20. Smooth polishing of femtosecond laser induced craters on cemented carbide by ultrasonic vibration method

    Science.gov (United States)

    Wang, H. P.; Guan, Y. C.; Zheng, H. Y.

    2017-12-01

    Rough surface features induced by laser irradiation have been a challenging for the fabrication of micro/nano scale features. In this work, we propose hybrid ultrasonic vibration polishing method to improve surface quality of microcraters produced by femtosecond laser irradiation on cemented carbide. The laser caused rough surfaces are significantly smoothened after ultrasonic vibration polishing due to the strong collision effect of diamond particles on the surfaces. 3D morphology, SEM and AFM analysis has been conducted to characterize surface morphology and topography. Results indicate that the minimal surface roughness of Ra 7.60 nm has been achieved on the polished surfaces. The fabrication of microcraters with smooth surfaces is applicable to molding process for mass production of micro-optical components.

  1. An Unbiased View of the History of Polish Medical Physics by a Senior Polish Medical Physicist

    International Nuclear Information System (INIS)

    Chomicki, O. A.

    2008-01-01

    Here is a story told by Maria Sklodowska-Curie at the meeting of the International Committee of Intellectual Cooperation in 1921: 'In a free literary competition on the role and importance of elephants the Englishman's story was 'My adventures while shooting elephants in South Africa', the Frenchman was more concerned with 'The sexual and erotic life of elephants', while the Polish approach was invariably 'The elephant versus Poland's national independence', which seemed quite understandable in the light of over 120 years when Poland was partitioned and lost its independence. Since then this saying has become proverbial and came to express the unmistakably Polish tendency to see everything in terms of Polish interests. In my remarks and reminiscences on the history of the Polish Society of Medical Physics you will quickly recognize the same tendency. First, I will, among other things, try to open some old cupboards to 'produce good [things] from the store of good' (Matthew 12:35), especially concerning the first few years of the activity in medical physics in Poland, and second, I will draw some conclusions and/or offer suggestions based on what a senior medical physicist has seen for more than 50 years of his activity in this field. (author)

  2. Cannabinoids cases in polish athletes

    OpenAIRE

    A Pokrywka; Z Obmiński; D Kwiatkowska; R Grucza

    2009-01-01

    The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition). Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 year...

  3. The social shaping of innovation in polish companies

    DEFF Research Database (Denmark)

    Lorentzen, Anne

    2003-01-01

    The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies and pres......The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies...... and presents two cases more in detail. The analysis shows that the Polish companies have all been quite innovative, mostly in relation to product innovation. They choose innovation strategies, which are incremental more than radical, and they tend to differentiate their product range rather than to specialise....... They consider quality development a must in the fight for market shares and they adapt equipment and organisation to this goal. The factors forming and determining the strategies of the companies count the technological knowledge and expertise of the owner/founder, the structural changes of the market...

  4. Semi-strong informational efficiency in the Polish foreign exchange market

    OpenAIRE

    Luksz Goczek

    2015-01-01

    During the financial crisis a notion that the Polish exchange rate is not determined effectively was very dominant, because of a contagion effect of the global financial crisis on the Polish economy. In addition, many foreign exchange market analysts explained developments in the Polish exchange market trough a hypothesis that the Polish zloty exchange rate follows other exchange rates. This contradicts market efficiency as this would lead to profitable arbitrage possibility based on past inf...

  5. Symmetry or asymmetry? Cross-border openness of service providers in Polish-Czech and Polish-German border towns

    Directory of Open Access Journals (Sweden)

    Dołzbłasz Sylwia

    2015-03-01

    Full Text Available The symmetry and/or asymmetry in terms of cross-border openness of service providers is examined in this article, for the cases of two border twin towns: Cieszyn/Český Těšín at the Polish-Czech border, and Gubin/Guben at the Polish-German border. To assess the level of openness of firms towards clients from the other side of the border, four trans-border categories were examined: neighbour’s language visible at store location; business offers in the language of the neighbour; the possibilities of payment in the neighbour’s currency; and the staff’s knowledge of the language. This enabled a comparison of both parts of the particular twin towns in relation to the character of cross-border openness, as well as an assessment of their symmetry/asymmetry. Comparisons of Gubin/Guben and Cieszyn/Český Těšín with respect to the analysed features were also carried out. The analysis shows significant variation in the level of cross-border openness towards clients from neighbouring countries. Whereas in the Polish-Czech town a relative symmetry was observed, in the Polish-German case, significant asymmetry was noted.

  6. Effect of Polishing Systems on Surface Roughness and Topography of Monolithic Zirconia.

    Science.gov (United States)

    Goo, C L; Yap, Auj; Tan, Kbc; Fawzy, A S

    2016-01-01

    This study evaluated the effect of different chairside polishing systems on the surface roughness and topography of monolithic zirconia. Thirty-five monolithic zirconia specimens (Lava PLUS, 3M ESPE) were fabricated and divided into five groups of seven and polished with the following: Group 1 (WZ)-Dura white stone followed by Shofu zirconia polishing kit; Group 2 (SZ)-Shofu zirconia polishing kit; Group 3 (CE)-Ceramiste porcelain polishers; Group 4 (CM)-Ceramaster porcelain polishers; and Group 5 (KZ)-Komet ZR zirconia polishers. All specimens were ground with a fine-grit diamond bur prior to polishing procedures to simulate clinical finishing. Baseline and post-polishing profilometric readings were recorded and delta Ra values (difference in mean surface roughness before and after polishing) were computed and analyzed using one-way analysis of variance and Scheffe post hoc test (pSEM) images of the ground but unpolished and polished specimens were acquired. Delta Ra values ranged from 0.146 for CE to 0.400 for KZ. Delta Ra values for KZ, WZ, and SZ were significantly greater than for CE. Significant differences in delta Ra values were also observed between KZ and CM. The SEM images obtained were consistent with the profilometric findings. Diamond-impregnated polishing systems were more effective than silica carbide-impregnated ones in reducing the surface roughness of ground monolithic zirconia.

  7. Simulation and analysis of an alternative kinematics for improving the polishing uniformity over the surface of polished tiles

    Directory of Open Access Journals (Sweden)

    Weingaertner, W. L.

    2010-10-01

    Full Text Available The present work investigates the possibility of adopting a new kinematics at the industrial polishing lines of porcelain stoneware tile. An alternative motion of the transverse oscillation of the polishing heads is proposed, in which no radical changes in the industries facilities are required. The basic idea is to replace the purely sinus motion of the polishing heads by a rather trapezoid wavelike motion. In theory this could be achieved simply by adopting regular delays at the transverse oscillation motion. Consequences of this alternative kinematics were quantitatively analyzed considering the spatial homogeneity of polishing expected for tiles. Such homogeneity was represented by the coefficient of variation of the distribution of polishing time over the surface, which was in turn determined by means of computational simulations, taking into account the effect of multiple polishing heads.

    El presente trabajo investiga la posibilidad de adoptar una nueva cinemática en las líneas de pulido industrial de baldosas de gres porcelánico. Se propone una propuesta alternativa de la oscilación transversal de los cabezales pulidores, en el que no hay cambios radicales en las instalaciones de las industrias son necesarias. La idea básica consiste en sustituir el movimiento totalmente del seno de los cabezales pulidores por un movimiento ondulatorio trapezoide. En teoría, esto podría lograrse sólo mediante la adopción de los habituales retrasos en el movimiento de oscilación transversal. las consecuencias de esta cinemática fueron analizados cuantitativamente, teniendo en cuenta la homogeneidad espacial de pulido. Tal homogeneidad fora representada por el coeficiente de variación de la distribución de lo tiempo de pulido en la superficie, determinada por medio de simulaciones computacionales, teniendo también en cuenta el efecto de pulido de las cabezas múltiples.

  8. Mirror-smooth surfaces and repair of defects in superconducting RF cavities by mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Cooper, C. A. [Fermilab; Cooley, L. D. [Fermilab

    2012-11-22

    Mechanical techniques for polishing the inside surface of niobium superconducting radio-frequency (SRF) cavities have been systematically explored. By extending known techniques to fine polishing, mirror-like finishes were produced, with <15 nm RMS (root mean square) roughness over 1 mm2 scan area. This is an order of magnitude less than the typical roughness produced by the electropolishing of niobium cavities. The extended mechanical polishing (XMP) process was applied to several SRF cavities which exhibited equator defects that caused quench at <20 MV m-1 and were not improved by further electropolishing. Cavity optical inspection equipment verified the complete removal of these defects, and minor acid processing, which dulled the mirror finish, restored performance of the defective cells to the high gradients and quality factors measured for adjacent cells when tested with other harmonics. This innate repair feature of XMP could be used to increase manufacturing yield. Excellent superconducting properties resulted after initial process optimization, with quality factor Q of 3 × 1010 and accelerating gradient of 43 MV m-1 being attained for a single-cell TESLA cavity, which are both close to practical limits. Several repaired nine-cell cavities also attained Q > 8 × 109 at 35 MV m-1, which is the specification for the International Linear Collider. Future optimization of the process and pathways for eliminating requirements for acid processing are also discussed.

  9. A novel vibration assisted polishing device based on the flexural mechanism driven by the piezoelectric actuators

    Directory of Open Access Journals (Sweden)

    Guilian Wang

    2018-01-01

    Full Text Available The vibration assisted polishing has widely application fields because of higher machining frequency and better polishing quality, especially the polishing with the non-resonant mode that is regarded as a kind of promising polishing method. This paper reports a novel vibration assisted polishing device, consisting of the flexible hinge mechanism driven by the piezoelectric actuators, which is suitable for polishing planes or curve surfaces with slow curvature. Firstly, the generation methods of vibration trajectory are investigated for the same frequency and different frequency signals’ inputs, respectively, and then the types of elliptic and Lissajous’s vibration trajectories are generated respectively. Secondly, a flexural mechanism consisting of the right circular flexible hinges and the leaf springs is developed to produce two-dimensional vibration trajectory. Statics and dynamics investigating of this flexible mechanism are finished in detail. The analytical models about input and output compliances of the flexural mechanism are established according to the matrix-based compliance modeling, and the dynamic model of the flexural mechanism based on the Euler-Lagrange equation is also presented. The finite element model of the flexural mechanism was established to carry out the numerical simulation in order to testify the rationality of device design. Finally, the polishing experiment is carried out to prove the effectiveness of the vibration device. The experimental results show that this novel vibration assisted polishing device developed in this study can remove more effectively the cutting marks left by last process and obviously reduce the workpiece surface roughness.

  10. Cannabinoids cases in polish athletes

    Directory of Open Access Journals (Sweden)

    A Pokrywka

    2009-07-01

    Full Text Available The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition. Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 years, performing 46 disciplines of sport were tested. Cannabinoids were detected in 267 samples. Among Polish athletes the relative number of positive THC (tetrahydrocannabinol samples was one of the highest in Europe. The group of young Polish athletes (aged 16-24 years was the most THC-positive. THC-positive cases were noted more frequently in male athletes tested during out of competitions. The so-called contact sports (rugby, ice hockey, skating, boxing, badminton, body building and acrobatic sports were those sports, where the higher risk of cannabis use was observed. The legal interpretation of some positive cannabinoids results would be difficult because of some accidental and unintentional use of the narcotics by sportsmen. It was concluded that national anti-doping organizations (NADO’s, which are competent to judge whether the anti-doping rules were violated, should take into account the possibility of non-intentional doping use of cannabinoids via passive smoking of marijuana.

  11. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.

    1993-05-01

    New machining and polishing techniques have been developed for large barium fluoride scintillating crystals that provide crystalline surfaces without sub-surface damage or deformation as verified by Atomic Force Microscopy (AFM) and Rutherford Back-scattering (RBS) analyses. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large crystal samples. Mass production techniques have also been developed for machining and polishing up to five 50 cm long crystals at one time. We present this technology along with surface studies of barium fluoride crystals polished with this technique. This technology is applicable for a number of new crystal detectors proposed at Colliders including the Barium Fluoride Electromagnetic Calorimeter at SSC, the Crystal Clear Collaboration's cerium fluoride calorimeter at LHC, and the KTeV and PHENIX scintillating hodoscopes at Fermilab, and RHIC, respectively. Lawrence Livermore National Laboratory (LLNL) has an active program of study on barium fluoride scintillating crystals for the Barium Fluoride Electromagnetic Calorimeter Collaboration and cerium fluoride and lead fluoride for the Crystal Clear Collaboration. This program has resulted in a number of significant improvements in the mechanical processing, polishing and coating of fluoride crystals. Techniques have been developed using diamond-loaded pitch lapping that can produce 15 angstrom RMS surface finishes over large areas. Also, special polishing fixtures have been designed based on mounting technology developed for the 1.1 m diameter optics used in LLNL's Nova Laser. These fixtures allow as many as five 25--50 cm long crystals to be polished and lapped at the same time with tolerances satisfying the stringent requirements of crystal calorimeters. We also discuss results on coating barium fluoride with UV reflective layers of magnesium fluoride and aluminum

  12. Trace element analysis of nail polishes

    International Nuclear Information System (INIS)

    Misra, G.; Mittal, V.K.; Sahota, H.S.

    1999-01-01

    Instrumental neutron activation analysis (INAA) technique was used to measure the concentrations of various trace elements in nail polishes of popular Indian and foreign brands. The aim of the present experiment was to see whether trace elements could distinguish nail polishes of different Indian and foreign brands from forensic point of view. It was found that cesium can act as a marker to differentiate foreign and Indian brands. (author)

  13. Modeling surface topography of state-of-the-art x-ray mirrors as a result of stochastic polishing process: recent developments

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Tyurin, Yuri N.; Tyurina, Anastasia

    2016-09-01

    Recently, an original method for the statistical modeling of surface topography of state-of-the-art mirrors for usage in xray optical systems at light source facilities and for astronomical telescopes [Opt. Eng. 51(4), 046501, 2012; ibid. 53(8), 084102 (2014); and ibid. 55(7), 074106 (2016)] has been developed. In modeling, the mirror surface topography is considered to be a result of a stationary uniform stochastic polishing process and the best fit time-invariant linear filter (TILF) that optimally parameterizes, with limited number of parameters, the polishing process is determined. The TILF model allows the surface slope profile of an optic with a newly desired specification to be reliably forecast before fabrication. With the forecast data, representative numerical evaluations of expected performance of the prospective mirrors in optical systems under development become possible [Opt. Eng., 54(2), 025108 (2015)]. Here, we suggest and demonstrate an analytical approach for accounting the imperfections of the used metrology instruments, which are described by the instrumental point spread function, in the TILF modeling. The efficacy of the approach is demonstrated with numerical simulations for correction of measurements performed with an autocollimator based surface slope profiler. Besides solving this major metrological problem, the results of the present work open an avenue for developing analytical and computational tools for stitching data in the statistical domain, obtained using multiple metrology instruments measuring significantly different bandwidths of spatial wavelengths.

  14. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  15. VERSHINA – A POLISH VILLAGE IN SIBERIA. FACTORS INFLUENCING LANGUAGE MAINTENANCE UNDER CHANGING SOCIAL, CULTURAL, ECONOMIC AND POLITICAL CONDITIONS

    Directory of Open Access Journals (Sweden)

    Michał Głuszkowski

    2011-01-01

    Full Text Available The article discusses factors influencing language maintenance under changing social, cultural, economic and political conditions of Polish minority in Siberia. The village of Vershina was founded in 1910 by Polish voluntary settlers from Little Poland.During its first three decades Vershina preserved Polish language,traditions, farming methods and machines and also the Roman Catholic religion. The changes came to a village in taiga in the1930s. Vershina lost its ethnocultural homogeneity because of Russian and Buryat workers in the local kolkhoz. Nowadays the inhabitants of Vershina regained their minority rights: religious, educational and cultural. However, during the years of sovietization and ateization, their culture and customs became much more similar to other Siberian villages. Polish language in Vershina is under strong influence of Russian, which is the language of education,administration, and surrounding villages. Children from Polish-Russian families become monolingual and use Polish very rare, only asa school subject and in contacts with grandparents. The process of abandoning mother tongue in Vershina is growing rapidly. However,there are some factors which may hinder the actual changes:the activity of local Polish organisations and Roman Catholic parish as well as folk group “Jazhumbek”.

  16. Calculation of regimes of electro-erosion polishing with roughness value Rsub(a) less 0,2 μm

    International Nuclear Information System (INIS)

    Zolotykh, B.N.; Zolotykh, V.B.

    1984-01-01

    Calculation technique of ''polishing'' regimes of electroerosion treatment (EET) is considered and calculation results for a number of metals are presented. It is shown, that the calculation technique of EET polishing regimes provides results close to the experimental ones and can be used for the calculation of special pulse generators, as well as in the systems of automated projecting of EET technological processes

  17. Intergeneration transmission of cultural heritage of polish Tatars family in the years 1918 - 1939

    Directory of Open Access Journals (Sweden)

    URSZULA WRÓBLEWSKA

    2017-10-01

    Full Text Available The subject matter of my article is analysis religion educational and cultural processes, which influenced in family on Polish Muslim Tatars during the period between World Wars. Chronological rangę overlaps 1918-1939 years. During that period, Poland built its State structures after a long time of partitions. I would like to display a multi-dimensional characteristic of Polish Tatars religion education. In my article I would like to answer to the ąuestion: What was important in transfer between generation in family of Tatars? I would also like to fili in ‘blank’ in the researches in the field of Tatars minority and family educational processes in the years 1918-1939.

  18. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO2 laser polishing

    International Nuclear Information System (INIS)

    Choi, Hun-Kook; Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak; Kim, Jin-Tae; Ahsan, Shamim

    2014-01-01

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO 2 laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO 2 laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO 2 laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  19. 10. national conference of Maria Sklodowska-Curie Polish Radiation Research Society. Abstract of papers

    International Nuclear Information System (INIS)

    1995-01-01

    The 10. national conference of M. Sklodowska-Curie Polish Radiation Research Society has given the opportunity to present novel Polish advisement in all fields of radiation research, e.g. radiation chemistry and biology, radiation processing, environmental study, mathematical modeling and simulation as well as different theoretical study. As a whole it may be treated as a review of actual state of art. The scientific progress since 1992 when the 9. national conference place up to now can be easy assessed on the base of presented material

  20. Can Reduced-Step Polishers Be as Effective as Multiple-Step Polishers in Enhancing Surface Smoothness?

    Science.gov (United States)

    Kemaloglu, Hande; Karacolak, Gamze; Turkun, L Sebnem

    2017-02-01

    The aim of this study was to evaluate the effects of various finishing and polishing systems on the final surface roughness of a resin composite. Hypotheses tested were: (1) reduced-step polishing systems are as effective as multiple-step systems on reducing the surface roughness of a resin composite and (2) the number of application steps in an F/P system has no effect on reducing surface roughness. Ninety discs of a nano-hybrid resin composite were fabricated and divided into nine groups (n = 10). Except the control, all of the specimens were roughened prior to be polished by: Enamel Plus Shiny, Venus Supra, One-gloss, Sof-Lex Wheels, Super-Snap, Enhance/PoGo, Clearfil Twist Dia, and rubber cups. The surface roughness was measured and the surfaces were examined under scanning electron microscope. Results were analyzed with analysis of variance and Holm-Sidak's multiple comparisons test (p One-gloss, Enamel Plus Shiny, and Venus Supra groups. (1) The number of application steps has no effect on the performance of F/P systems. (2) Reduced-step polishers used after a finisher can be preferable to multiple-step systems when used on nanohybrid resin composites. (3) The effect of F/P systems on surface roughness seems to be material-dependent rather than instrument- or system-dependent. Reduced-step systems used after a prepolisher can be an acceptable alternative to multiple-step systems on enhancing the surface smoothness of a nanohybrid composite; however, their effectiveness depends on the materials' properties. (J Esthet Restor Dent 29:31-40, 2017). © 2016 Wiley Periodicals, Inc.

  1. Experimental Evaluation of Optically Polished Aluminum Panels on the Deep Space Network's 34 Meter Antenna

    Science.gov (United States)

    Vilnrotter, V.

    2011-01-01

    The potential development of large aperture ground?based "photon bucket" optical receivers for deep space communications has received considerable attention recently. One approach currently under investigation is to polish the aluminum reflector panels of 34?meter microwave antennas to high reflectance, and accept the relatively large spotsize generated by state of?the?art polished aluminum panels. Theoretical analyses of receiving antenna pointing, temporal synchronization and data detection have been addressed in previous papers. Here we describe the experimental effort currently underway at the Deep Space Network (DSN) Goldstone Communications Complex in California, to test and verify these concepts in a realistic operational environment. Two polished aluminum panels (a standard DSN panel polished to high reflectance, and a custom designed aluminum panel with much better surface quality) have been mounted on the 34 meter research antenna at Deep?Space Station 13 (DSS?13), and a remotely controlled CCD camera with a large CCD sensor in a weather?proof container has been installed next to the subreflector, pointed directly at the custom polished panel. The point?spread function (PSF) generated by the Vertex polished panel has been determined to be smaller than the sensor of the CCD camera, hence a detailed picture of the PSF can be obtained every few seconds, and the sensor array data processed to determine the center of the intensity distribution. In addition to estimating the center coordinates, expected communications performance can also been evaluated with the recorded data. The results of preliminary pointing experiments with the Vertex polished panel receiver using the planet Jupiter to simulate the PSF generated by a deep?space optical transmitter are presented and discussed in this paper.

  2. Surface geometry of three packable and one hybrid composite after polishing.

    Science.gov (United States)

    Jung, Martin; Bruegger, Hilka; Klimek, Joachim

    2003-01-01

    This study evaluated the surface quality of four composite materials after polishing with six different polishing techniques. Eighty specimens were made using three packable composites (Definite/Degussa, SureFil/ Dentsply and Solitaire/Heraeus-Kulzer) and one hybrid composite (Herculite XRV/Kerr). Five specimens of each material were polished using flexible Sof-Lex discs. The remaining 75 specimens of each composite were prepared using three finishing protocols: a single 30 microm diamond (n = 25), two finishing diamonds (30/20 microm; n = 25) and a 30 microm diamond followed by a tungsten carbide finishing bur (n = 25). Final polishing of each of the three finishing groups was accomplished with SuperBuff, Diafix-oral, OneGloss, Astropol and HaWe Composite Polishers (n = 5, each). Surface roughness was evaluated quantitatively by laser-stylus profilometry. Average roughness (R(a)) was calculated; statistical analysis of the data was performed with two-way ANOVA and Scheffé post-hoc tests. The polished surfaces were examined qualitatively by SEM. The results showed significant effects on surface roughness from the different composites (p = 0.011) and polishing systems (p < 0.001). After polishing, the Solitaire surfaces (R(a) = 0.72 microm) were smoother than Definite (R(a) = 0.87 microm) and SureFil (R(a) = 0.89 microm) and significantly smoother than Herculite (R(a) = 0.92 microm; p = 0.011). Three of the polishing methods (SuperBuff, Diafix-oral and Astropol) achieved lower R(a)-values than Sof-Lex discs. The polishing quality of the one-step systems SuperBuff and Diafix-oral was strongly affected by the initial finishing protocol.

  3. Calculation of regimes of electro-erosion polishing with roughness value Rsub(a) less 0,2. mu. m

    Energy Technology Data Exchange (ETDEWEB)

    Zolotykh, B.N.; Zolotykh, V.B.

    1984-01-01

    Calculation technique of ''polishing'' regimes of electroerosion treatment (EET) is considered and calculation results for a number of metals are presented. It is shown, that the calculation technique of EET polishing regimes provides results close to the experimental ones and can be used for the calculation of special pulse generators, as well as in the systems of automated projecting of EET technological processes.

  4. Sensory factors affecting female consumers' acceptability of nail polish.

    Science.gov (United States)

    Sun, C; Koppel, K; Adhikari, K

    2015-12-01

    The objectives of this study were to determine what sensory factors impact consumers' acceptability of nail polishes, to explore how these sensory factors impact consumers' acceptability of nail polishes, to investigate whether there are any consumer segments according to their overall acceptability on different nail polishes and to scrutinize how the consumer segments are related to the sensory factors. Ninety-eight females participated in a nail polish consumer study at Kansas State University. Eight commercial products belonging to four categories - regular (REG), gel (GEL), flake (FLK) and water-based (WAT) - were evaluated. Each nail polish sample was evaluated twice by each participant in two different tasks - a task devoted to applying and evaluating the product and a task devoted to observing the appearance and evaluating the product. Pearson's correlation analysis, analysis of variance (ANOVA), external preference mapping, cluster analysis and internal preference mapping were applied for data analysis. Participants' scores of overall liking of the nail polishes were similar in the application task and in the observation task. In general, participants liked the REG and GEL product samples more than the FLK and WAT samples. Among all the sensory attributes, appearance attributes were the major factors that affected participants' overall liking. Aroma seemed to be a minor factor to participants' overall liking. Some sensory attributes, such as runny, shininess, opacity, spreadability, smoothness, coverage and wet appearance, were found to drive participants' overall acceptability positively, whereas others such as pinhole, fatty-edges, blister, brushlines, pearl-like, flake-protrusion, glittery and initial-drag impacted participants' overall acceptability negatively. Four clusters of participants were identified according to their overall liking scores from both the application task and the observation task. Participants' acceptability, based on different

  5. Plasma electrolytic polishing of metalized carbon fibers

    Directory of Open Access Journals (Sweden)

    Falko Böttger-Hiller

    2016-02-01

    Full Text Available Efficient lightweight structures require intelligent materials that meet versatile functions. Especially, carbon-fiber-reinforced polymers (CFRPs are gaining relevance. Their increasing use aims at reducing energy consumption in many applications. CFRPs are generally very light in weight, while at the same time being extremely stiff and strong (specific strength: CFRPs: 1.3 Nm kg–1, steel: 0.27 Nm kg–1; specific stiffness: CFRPs: 100 Nm kg–1, steel: 25 Nm kg–1. To increase performance and especially functionality of CFRPs, the integration of microelectronic components into CFRP parts is aspired. The functionalization by sensors, actuators and electronics can enable a high lightweight factor and a new level of failure-safety. The integration of microelectronic components for this purpose requires a working procedure to provide electrical contacts for a reliable connection to energy supply and data interfaces. To overcome this challenge, metalized carbon fibers are used. Metalized fibers are, similar to the usual reinforcing fibers, able to be soldered and therefore easy to incorporate into CFRPs. Unfortunately, metalized fibers have to be pre-treated by flux-agents. Until now, there is no flux which is suitable for mass production without destroying the polymer of the CFRP. The process of plasma electrolytic polishing (PeP could be an option, but is so far not available for copper. Thus, in this study, plasma electrolytic polishing is transferred to copper and its alloys. To achieve this, electrolytic parameters as well as the electrical setup are adapted. It can be observed that the gloss and roughness can be adjusted by means of this procedure. Finally, plasma electrolytic polishing is used to treat thin copper layers on carbon fibers.

  6. Note on the polishing of small spheres of ferrimagnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Grunberg, J. G.; Antier, G. [Centre d' etudes nucleaires de Grenoble - C.E.N.G. (France); Seiden, P. E. [Institut Fourier, Universite de Grenoble (France)

    1961-07-01

    This note describes a simple and rapid method that we have used for obtaining a high degree of polish on spheres of ferrimagnetic materials. A high surface polish is of particular importance if one desires to perform ferrimagnetic resonance experiments on very narrow linewidth materials such as Yttrium Iron Garnet. It is not possible to obtain the very narrow linewidths without polishing the sample with a very fine abrasive such as 'Linde A'. Although the methods presently used for the fine polishing of ferrite spheres give satisfactory results, the method described here is of particular interest because of its simplicity and speed. For example with the air-jet tumbling technique it can take as long as three days of polishing to obtain an acceptable surface while our method will give the same results in one to two hours. (author)

  7. Initial polishing time affects gloss retention in resin composites.

    Science.gov (United States)

    Waheeb, Nehal; Silikas, Nick; Watts, David

    2012-10-01

    To determine the effect of finishing and polishing time on the surface gloss of various resin-composites before and after simulated toothbrushing. Eight representative resin-composites (Ceram X mono, Ceram X duo, Tetric EvoCeram, Venus Diamond, EsteliteSigma Quick, Esthet.X HD, Filtek Supreme XT and Spectrum TPH) were used to prepare 80 disc-shaped (12 mm x 2 mm) specimens. The two step system Venus Supra was used for polishing the specimens for 3 minutes (Group A) and 10 minutes (Group B). All specimens were subjected to 16,000 cycles of simulated toothbrushing. The surface gloss was measured after polishing and after brushing using the gloss meter. Results were evaluated using one way ANOVA, two ways ANOVA and Dennett's post hoc test (P = 0.05). Group B (10-minute polishing) resulted in higher gloss values (GV) for all specimens compared to Group A (3 minutes). Also Group B showed better gloss retention compared to Group A after simulated toothbrushing. In each group, there was a significant difference between the polished composite resins (P gloss after the simulated toothbrushing.

  8. Sexual Health of Polish Athletes with Disabilities

    Directory of Open Access Journals (Sweden)

    Ryszard Plinta

    2015-06-01

    Full Text Available The purpose of this study was to determine sexual functioning of Polish athletes with disabilities (including paralympians. The study encompassed 218 people with physical disabilities, aged between 18 and 45 (149 men and 69 women. The entire research population was divided into three groups: Polish paralympians (n = 45, athletes with disabilities (n = 126 and non-athletes with disabilities (n = 47. The quality of sexual life of Polish paralympians was measured by using the Polish version of Female Sexual Function Index and International Index of Erectile Function. Clinically significant erectile dysfunctions were most often diagnosed in non-athletes (83.33% with 50% result of severe erectile dysfunctions, followed by athletes and paralympians with comparable results of 56.98% and 54.17% respectively (p = 0.00388. Statistically significant clinical sexual dysfunctions concerned lubrication, orgasm as well as pain domains, and prevailed among female non-athletes (68.42%, 68.42% and 57.89%. Practising sports at the highest level has a favourable effect on the sexuality of men and women with physical disabilities. Men with physical disabilities manifest more sexual disorders than women, an aspect which should be considered by health-care professionals working with people with disabilities.

  9. Numerical Thermodynamic Analysis of Two-Phase Solid-Liquid Abrasive Flow Polishing in U-Type Tube

    Directory of Open Access Journals (Sweden)

    Junye Li

    2014-08-01

    Full Text Available U-type tubes are widely used in military and civilian fields and the quality of the internal surface of their channel often determines the merits and performance of a machine in which they are incorporated. Abrasive flow polishing is an effective method for improving the channel surface quality of a U-type tube. Using the results of a numerical analysis of the thermodynamic energy balance equation of a two-phase solid-liquid flow, we carried out numerical simulations of the heat transfer and surface processing characteristics of a two-phase solid-liquid abrasive flow polishing of a U-type tube. The distribution cloud of the changes in the inlet turbulent kinetic energy, turbulence intensity, turbulent viscosity, and dynamic pressure near the wall of the tube were obtained. The relationships between the temperature and the turbulent kinetic energy, between the turbulent kinetic energy and the velocity, and between the temperature and the processing velocity were also determined to develop a theoretical basis for controlling the quality of abrasive flow polishing.

  10. Polish Listening SPAN: A new tool for measuring verbal working memory

    Directory of Open Access Journals (Sweden)

    Katarzyna Zychowicz

    2017-12-01

    Full Text Available Individual differences in second language acquisition (SLA encompass differences in working memory capacity, which is believed to be one of the most crucial factors influencing language learning. However, in Poland research on the role of working memory in SLA is scarce due to a lack of proper Polish instruments for measuring this construct. The purpose of this paper is to discuss the process of construction and validation of the Polish Listening Span (PLSPAN as a tool intended to measure verbal working memory of adults. The article presents the requisite theoretical background as well as the information about the PLSPAN, that is, the structure of the test, the scoring procedures and the steps taken with the aim of validating it.

  11. Reducing the Edge Chipping for Capillary End Face Grinding and Polishing

    Directory of Open Access Journals (Sweden)

    Hošek J.

    2013-05-01

    Full Text Available This paper presents results of glass capillary end face grinding and polishing by approach that reduces the edge chipping. Brittle materials have natural tendency for edge chipping what leads to beveling the sharp edges. Not beveled sharp edges on glass capillary are important for special applications like surface tension measurement of small liquid samples. We use common grinding and polishing process for capillary end face machining modified with gradual decreasing of grinding load based on the relation of the critical chipping load. Achieved surface roughness is measured using atomic force microscopy (AFM. Capillary inner edge quality is checked both with optical microscopes and electron microscope too. We achieved a non-chipped capillary inner edge with radius down to 100 nm.

  12. [Polish version of the ADOS (autism diagnostic observation schedule-generic)].

    Science.gov (United States)

    Chojnicka, Izabela; Płoski, Rafał

    2012-01-01

    The article presents the Polish version of the autism diagnostic observation schedule-generic (ADOS), which together with the autism diagnostic interview-revised (ADI-R) is cited as the "gold standard" for the diagnosis of autism. The ADOS is a standardised, semistructured observation protocol appropriate for children and adults of differing age and language levels. It is linked to ICD-10 and DSM-IV-TR criteria. The ADOS consists of four modules, ranging from module 1 for nonverbal individuals to module 4 for verbally fluent adults. The adequate inter-rater reliability for items has been established. The protocol has high discriminant validity and distinguishes children with pervasive developmental disorders from children, who are outside of the spectrum. Although it does not enable to distinguish individuals with pervasive developmental disorder, unspecified from individuals with childhood autism. The paper presents subsequent steps of the translation process of the original version into Polish, as well as a chosen adaptation strategy of the Polish version. The ADOS is a very useful tool both for clinical diagnosis and for the scientific purpose diagnosis. In this last case it is extremely important to use a standardised method. Until now, there was no standardised diagnostic tool for autism in Poland.

  13. Working in the UK: Polish migrant worker routes into employment in the north east and north west construction and food processing sectors

    OpenAIRE

    Fitzgerald, Ian

    2007-01-01

    This report presents the findings of a TUC-funded project that sought to identify the main routes into employment for Polish migrant workers in the North East and North West construction and food processing sectors. Its findings show that the two sectors offer real opportunities and challenges for trade unions to organise those who most need help. Indeed many unions in the sectors have already taken up the challenge and have started to see real successes in organising groups traditionally see...

  14. Presentation of the verbs in Bulgarian-Polish electronic dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2014-09-01

    Full Text Available Presentation of the verbs in Bulgarian-Polish electronic dictionary This paper briefly discusses the presentation of the verbs in the first electronic Bulgarian-Polish dictionary that is currently being developed under a bilateral collaboration between IMI-BAS and ISS-PAS. Special attention is given to the digital entry classifiers that describe Bulgarian and Polish verbs. Problems related to the correspondence between natural language phenomena and their presentations are discussed. Some examples illustrate the different types of dictionary entries for verbs.

  15. "Agricultural budget" and the competitiveness of the Polish agriculture

    OpenAIRE

    Lenkiewicz, Stanisław; Rokicki, Bartłomiej

    2014-01-01

    The aim of the publication is to assess the impact of public support on the functioning of the Polish agriculture. In order to achieve this aim the publication includes an analysis of the system of direct payments and rural development policy instruments planned to be implemented in Poland within the CAP 2014-2020. The study also presents an analysis of regional diversity of the Polish agriculture and an assessment of the scale of agricultural investment made in recent years in all the Polish...

  16. Effects of Polishing Bur Application Force and Reuse on Sintered Zirconia Surface Topography.

    Science.gov (United States)

    Fischer, N G; Tsujimoto, A; Baruth, A G

    2018-03-16

    Limited information is available on how to polish and finish zirconia surfaces following computer-aided design/computer-aided manufacturing (CAD/CAM), specifically, how differing application forces and reuse of zirconia polishing systems affect zirconia topography. To determine the effect of differing, clinically relevant, polishing application forces and multiple usages of polishing burs on the surface topography of CAD/CAM zirconia. One hundred twenty 220-grit carbide finished zirconia disks were sintered according to manufacturer's directions and divided into two groups for the study of two coarse polishing bur types. Each group was divided into subgroups for polishing (15,000 rpm) at 15 seconds for 1.0 N, 4.5 N, or 11 N of force using a purpose-built fixture. Subgroups were further divided to study the effects of polishing for the first, fifth, 15th, and 30th bur use, simulating clinical procedures. Unpolished surfaces served as a control group. Surfaces were imaged with noncontact optical profilometry (OP) and atomic force microscopy (AFM) to measure average roughness values (Ra). Polishing burs were optically examined for wear. Scanning electron microscopy (SEM) was performed on burs and zirconia surfaces. One-way ANOVA with post hoc Tukey HSD (honest significant difference) tests (α=0.05) were used for statistical analyses. AFM and OP Ra values of all polished surfaces were significantly lower than those of the unpolished control. Different polishing forces and bur reuse showed no significant differences in AFM Ra. However, significant differences in OP Ra were found due to differing application forces and bur reuse between the first and subsequent uses. SEM and optical micrographs revealed notable bur wear, increasing with increasing reuse. SEM and AFM micrographs clearly showed polished, periodic zirconia surfaces. Nanoscale topography, as analyzed with kurtosis and average groove depth, was found dependent on the specific polishing bur type. These in

  17. The Czechoslovak-Polish Club in Brno (1925–1939)

    Czech Academy of Sciences Publication Activity Database

    Baron, Roman

    2011-01-01

    Roč. 3, č. 1 (2011), s. 43-64 ISSN 1803-6546 Institutional research plan: CEZ:AV0Z80150510 Keywords : Czechoslovak-Polish solidarity * Czechoslovak-Polish relations * Brno * associations * Interwar Period Subject RIV: AB - History

  18. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO{sub 2} laser polishing

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Hun-Kook [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Chosun University, Gwangju (Korea, Republic of); Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Jin-Tae [Chosun University, Gwangju (Korea, Republic of); Ahsan, Shamim [Khulna University, Khulna (Bangladesh)

    2014-11-15

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO{sub 2} laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO{sub 2} laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO{sub 2} laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  19. Polish adaptation of Bad Sobernheim Stress Questionnaire-Brace and Bad Sobernheim Stress Questionnaire-Deformity.

    Science.gov (United States)

    Misterska, Ewa; Głowacki, Maciej; Harasymczuk, Jerzy

    2009-12-01

    Bad Sobernheim Stress Questionnaire-Brace and Bad Sobernheim Stress Questionnaire-Deformity are relatively new tools aimed at facilitating the evaluation of long-term results of therapy in persons with idiopathic scoliosis undergoing conservative treatment. To use these tools properly in Poland, they must be translated into Polish and adapted to the Polish cultural settings. The process of cultural adaptation of the questionnaires was compliant with the guidelines of International Quality of Life Assessment (IQOLA) Project. In the first stage, two independent translators converted the originals into Polish. Stage two, consisted of a comparison of the originals and two translated versions. During that stage, the team of two translators and authors of the project identified differences in those translations and created a combination of the two. In the third stage, two independent translators, who were native speakers of German, translated the adjusted version of the Polish translation into the language of the original document. At the last stage, a commission composed of: specialists in orthopedics, translators, a statistician and a psychologist reviewed all translations and drafted a pre-final version of the questionnaires. Thirty-five adolescent girls with idiopathic scoliosis who were treated with Cheneau brace were subjected to the questionnaire assessment. All patients were treated in an out-patient setting by a specialist in orthopedics at the Chair and Clinic of Orthopedics and Traumatology. Median age of patients was 14.8 SD 1.5, median value of the Cobb's angle was 27.8 degrees SD 7.4. 48.6% of patients had thoracic scoliosis, 31.4% had thoracolumbar scoliosis, and 20% patients had lumbar scoliosis. Median results obtained by means of the Polish version of BSSQ-Brace and BSSQ-Deformity questionnaires were 17.9 SD 5.0 and 11.3 SD 4.7, respectively. Internal consistency of BSSQ-Brace and BSSQ-Deformity was at the level of 0.80 and 0.87, whereas the value of

  20. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  1. Impact of initial surface parameters on the final quality of laser micro-polished surfaces

    Science.gov (United States)

    Chow, Michael; Bordatchev, Evgueni V.; Knopf, George K.

    2012-03-01

    Laser micro-polishing (LμP) is a new laser-based microfabrication technology for improving surface quality during a finishing operation and for producing parts and surfaces with near-optical surface quality. The LμP process uses low power laser energy to melt a thin layer of material on the previously machined surface. The polishing effect is achieved as the molten material in the laser-material interaction zone flows from the elevated regions to the local minimum due to surface tension. This flow of molten material then forms a thin ultra-smooth layer on the top surface. The LμP is a complex thermo-dynamic process where the melting, flow and redistribution of molten material is significantly influenced by a variety of process parameters related to the laser, the travel motions and the material. The goal of this study is to analyze the impact of initial surface parameters on the final surface quality. Ball-end micromilling was used for preparing initial surface of samples from H13 tool steel that were polished using a Q-switched Nd:YAG laser. The height and width of micromilled scallops (waviness) were identified as dominant parameter affecting the quality of the LμPed surface. By adjusting process parameters, the Ra value of a surface, having a waviness period of 33 μm and a peak-to-valley value of 5.9 μm, was reduced from 499 nm to 301 nm, improving the final surface quality by 39.7%.

  2. Surface analysis of polished fused-silica laser lenses by ion-scattering spectrometry

    International Nuclear Information System (INIS)

    Orvek, K.; Steward, S.A.

    1982-01-01

    New advances in high-powered glass lasers, particularly the NOVA system, have resulted in a need for lenses having higher damage threshold values than those now available. It is currently thought that surface contaminants on the lenses are responsible for initiating part of the damage. These contaminants are apparently introduced during the final polishing stages. In this study, we used ion-scattering spectrometry (ISS) to identify contaminants arising through the use of different polishing techniques. Five lenses were studied, each having undergone different polishing procedures. The first lens was not polished after receiving it from the manfacturer (No. 381). Ion microprobe data were available for this lens, and they were compared to ISS results. The second lens had been polished with rouge, a polishing compound no longer in use (No. 796). This sample served as a further check on the ISS results. The third lens was studied as received from the manufacturer - with no handling or cleaning (No. 802). The final two lenses had both been polished using high-purity ceria, cerium oxide (No. 800 and No. 801). The difference between these two was that No. 800 was polished using a nylon lap, and No. 801 was polished using pitch as a lap. The 800-series lenses were all made from the same batch, and constituted the major part of the investigation

  3. Polish Accession to the European Union: Participating Institutions

    Directory of Open Access Journals (Sweden)

    Tomaszewski Waldemar

    2015-06-01

    Full Text Available In May 2014 it was aready ten years since Poland’s accession to the European Union. The accession was preceded by a long period of political action and negotiations between the Polish and the EU institutions. The process of integration was extremely complex. It covered almost all areas of economic, legal and civil aspects of the aspiring country’s economy, in which all necessary requirements had to be met. The aim of the article was to present the institutional framework created for efficient implementation of the process of accession. The considerations involved especially an institutional method. The research resulted in poining out both the actually efficient and less efficient bodies participating in the process of integration.

  4. The regeneration test of the secondary loop condensate polishing mixed bed resin in Qinshan NPP

    International Nuclear Information System (INIS)

    Xu Meijing; Dong Liming

    1995-12-01

    There are four condensate polishing mixed beds in the water chemical treatment plant of Qinshan NPP. 2125 kg of D001-TR type cation exchange resin, 2000 kg of D201-TR type anion exchange resin, and 375 kg of S-TR type inert resin are filled into each mixed bed. The bed height of resin is 1.2 m and the volume is about 2.7 m 3 . In order to regenerate the exhausted resin out of the bed, the pre-designed condensate polishing mixed bed regeneration process was used to regenerate the first exhausted resin. After the resin was scrubbed and separated, cation resin and anion resin were respectively regenerated, rinsed to resume the exchange capability of the resin. The regenerated mixed bed is able to keep higher efficiency for condensate polishing. The outlet water quality and the resin service-life are able to meet the design requirements or more favorable than that. During the test, some main cations and anions in the blow-off water at each procedure were analyzed. The analyzed results were used to make pre-designed regeneration process better. The test results proved that pre-designed process is reasonable and effective. (6 refs., 6 figs., 7 tabs.)

  5. Electrolytic polishing system for space age materials

    International Nuclear Information System (INIS)

    Coons, W.C.; Iosty, L.R.

    1976-01-01

    A simple electrolytic polishing technique was developed for preparing Cr, Co, Hf, Mo, Ni, Re, Ti, V, Zr, and their alloys for structural analysis on the optical microscope. The base electrolyte contains 5g ZnCl 2 and 15g AlCl 3 . 6H 2 O in 200 ml methyl alcohol, plus an amount of H 2 SO 4 depending on the metal being polished. Five etchants are listed

  6. Effects of different polishing techniques on the surface roughness of dental porcelains

    Directory of Open Access Journals (Sweden)

    Işil Sarikaya

    2010-02-01

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effects of different polishing techniques on the surface roughness of dental porcelains. MATERIAL AND METHODS: Fifty-five cylindirical specimens (15x2 mm were prepared for each feldspathic (Vita VMK 95, Ceramco III and low-fusing dental porcelain (Matchmaker. Fifty-five specimens of machinable feldspathic porcelain blocks (Vitablocs Mark II, (12x14x18 mm were cut into 2-mm-thick slices (12x14 mm with low speed saw. The prepared specimens were divided into 11 groups (n=5 representing different polishing techniques including control ((C no surface treatment, glaze (G and other 9 groups that were finished and polished with polishing discs (Sof-Lex (Sl, two porcelain polishing kits (NTI (Pk, Dialite II (Di, a diamond polishing paste (Sparkle (Sp, a zirconium silicate based cleaning and polishing prophy paste (Zircate (Zr, an aluminum oxide polishing paste (Prisma Gloss (Pg, and combinations of them. The surface roughness of all groups was measured with a profilometer. The data were analyzed with a 2-way analysis of variance, and the mean values were compared by the Tukey Honestly Significant Difference test (a=0.05. RESULTS: For all porcelain material groups, the lowest Ra values were observed in Group Gl, Group Sl, Group Pk, and Group Di, which were not significantly different from each other (p>0.05.When comparing the 4 different porcelain materials, the machinable feldspathic porcelain block group (Mark II demonstrated statistically significantly less Ra values than the other porcelain materials tested (p<0.05. No significant difference was observed between the VMK 95 and Ceramco III porcelain groups (p=0.919, also these groups demonstrated the highest Ra values. CONCLUSION: Subjected to surface roughness, the surfaces obtained with polishing and/or cleaning-prophy paste materials used alone were rougher compared to the surfaces finished using Sof-lex, Dialite, and NTI polishing kit

  7. Experimental Evaluation of the "Polished Panel Optical Receiver" Concept on the Deep Space Network's 34 Meter Antenna

    Science.gov (United States)

    Vilnrotter, Victor A.

    2012-01-01

    The potential development of large aperture ground-based "photon bucket" optical receivers for deep space communications has received considerable attention recently. One approach currently under investigation proposes to polish the aluminum reflector panels of 34-meter microwave antennas to high reflectance, and accept the relatively large spotsize generated by even state-of-the-art polished aluminum panels. Here we describe the experimental effort currently underway at the Deep Space Network (DSN) Goldstone Communications Complex in California, to test and verify these concepts in a realistic operational environment. A custom designed aluminum panel has been mounted on the 34 meter research antenna at Deep-Space Station 13 (DSS-13), and a remotely controlled CCD camera with a large CCD sensor in a weather-proof container has been installed next to the subreflector, pointed directly at the custom polished panel. Using the planet Jupiter as the optical point-source, the point-spread function (PSF) generated by the polished panel has been characterized, the array data processed to determine the center of the intensity distribution, and expected communications performance of the proposed polished panel optical receiver has been evaluated.

  8. Incorporating travel-time reliability into the congestion management process : a primer.

    Science.gov (United States)

    2015-02-01

    This primer explains the value of incorporating travel-time reliability into the Congestion Management Process (CMP) : and identifies the most current tools available to assist with this effort. It draws from applied research and best practices : fro...

  9. The influence of polishing techniques on pre-polymerized CAD\\CAM acrylic resin denture bases.

    Science.gov (United States)

    Alammari, Manal Rahma

    2017-10-01

    Lately, computer-aided design and computer-aided manufacturing (CAD/CAM) has broadly been successfully employed in dentistry. The CAD/CAM systems have recently become commercially available for fabrication of complete dentures, and are considered as an alternative technique to conventionally processed acrylic resin bases. However, they have not yet been fully investigated. The purpose of this study was to inspect the effects of mechanical polishing and chemical polishing on the surface roughness (Ra) and contact angle (wettability) of heat-cured, auto-cured and CAD/CAM denture base acrylic resins. This study was conducted at the Advanced Dental Research Laboratory Center of King Abdulaziz University from March to June 2017. Three denture base materials were selected: heat cure poly-methylmethacrylate resin, thermoplastic (polyamide resin) and (CAD\\CAM) denture base resin. Sixty specimens were prepared and divided into three groups, twenty in each. Each group was divided according to the polishing techniques into (Mech P) and (Chem P), ten specimens in each; surface roughness and wettability were investigated. Data were analyzed by SPSS version 22, using one-way ANOVA and Pearson coefficient. One-way analysis of variance (ANOVA) and post hoc tests were used for comparing the surface roughness values between three groups which revealed a statistical significant difference between them (p 1 CAD\\CAM denture base material (group III) showed the least mean values (1.08±0.23, 1.39±0.31, Mech P and Chem P respectively). CAD/CAM showed the least contact angle in both polishing methods, which were statistically significant at 5% level (p=0.034 and pCAD\\CAM denture base resin with superior smooth surface compared to chemical polishing. Mechanical polishing is considered the best effective polishing technique. CAD/CAM denture base material should be considered as the material of choice for complete denture construction in the near future, especially for older dental

  10. Polish Phoneme Statistics Obtained On Large Set Of Written Texts

    Directory of Open Access Journals (Sweden)

    Bartosz Ziółko

    2009-01-01

    Full Text Available The phonetical statistics were collected from several Polish corpora. The paper is a summaryof the data which are phoneme n-grams and some phenomena in the statistics. Triphonestatistics apply context-dependent speech units which have an important role in speech recognitionsystems and were never calculated for a large set of Polish written texts. The standardphonetic alphabet for Polish, SAMPA, and methods of providing phonetic transcriptions are described.

  11. Polishing compound for plastic surfaces

    Science.gov (United States)

    Stowell, M.S.

    1991-01-01

    This invention is comprised of a polishing compound for plastic materials. The compound includes approximately by approximately by weight 25 to 80 parts at least one petroleum distillate lubricant, 1 to 12 parts mineral spirits, 50 to 155 parts abrasive paste, and 15 to 60 parts water. Preferably, the compound includes approximately 37 to 42 parts at least one petroleum distillate lubricant, up to 8 parts mineral spirits, 95 to 110 parts abrasive paste, and 50 to 55 parts water. The proportions of the ingredients are varied in accordance with the particular application. The compound is used on PLEXIGLAS{trademark}, LEXAN{trademark}, LUCITE{trademark}, polyvinyl chloride (PVC), and similar plastic materials whenever a smooth, clear polished surface is desired.

  12. Enhanced Production of Glucose Oxidase Using Penicillium notatum and Rice Polish

    Directory of Open Access Journals (Sweden)

    Shazia Sabir

    2007-01-01

    Full Text Available Glucose oxidase (GOD is an important enzyme that finds a wide range of applications in food and pharmaceutical industry. In this investigation the feasibility of using rice polish as a substrate for the production of GOD by Penicillium notatum in submerged fermentation (SmF has been evaluated. The intention was to enhance total GOD activity by the selection of economical substrate, microorganism and consecutive optimization of various cultural conditions. Maximum GOD activity of (112±5 U/mL was achieved under optimum growth conditions: rice polish 5 g, incubation period 72 h, buffering agent 3 % (by mass per volume, incubation temperature (30±1 °C and pH=6.0. Addition of carbon and nitrogen sources further enhanced the enzyme yield, indicating an economically attractive process for GOD production.

  13. Pseudo-random tool paths for CNC sub-aperture polishing and other applications.

    Science.gov (United States)

    Dunn, Christina R; Walker, David D

    2008-11-10

    In this paper we first contrast classical and CNC polishing techniques in regard to the repetitiveness of the machine motions. We then present a pseudo-random tool path for use with CNC sub-aperture polishing techniques and report polishing results from equivalent random and raster tool-paths. The random tool-path used - the unicursal random tool-path - employs a random seed to generate a pattern which never crosses itself. Because of this property, this tool-path is directly compatible with dwell time maps for corrective polishing. The tool-path can be used to polish any continuous area of any boundary shape, including surfaces with interior perforations.

  14. THE ECCLESIAL IMPLICATIONS OF THE LETTER OF THE POLISH BISHOPS TO THE GERMAN BISHOPS OF 1965

    Directory of Open Access Journals (Sweden)

    Żmudziński Marek

    2017-12-01

    Full Text Available The effects of World War II influenced the relations between the nations of Europe. They also influenced the life of the Church in a universal way, because its members found themselves of both sides of the Iron Curtain. This was particularly visible in Polish-German relations. The Polish bishops, inspired by the message of the Gospel and the legacy of the Second Vatican Council, came forward with a proposal of initiating the process of reconciliation. The words, “we forgive and ask for forgiveness”, contained in the Letter to the German Bishops of 1965, became the inspiration for the years-long reconciliation effort. The specific results of this formula are indicated in the formal normalisation of the state and church relations. In the ecclesial dimension, it included a new canonical diocese order and cooperation on the pastoral, charitable and journalistic plane. The real influence of the Polish-German reconciliation on the process of integration of the peoples of Europe should be emphasised. In this sense, the influence of the Christian message on shaping the public sphere is revealed.

  15. Electrochemical studies of Copper, Tantalum and Tantalum Nitride surfaces in aqueous solutions for applications in chemical-mechanical and electrochemical-mechanical planarization

    Science.gov (United States)

    Sulyma, Christopher Michael

    This report will investigate fundamental properties of materials involved in integrated circuit (IC) manufacturing. Individual materials (one at a time) are studied in different electrochemical environmental solutions to better understand the kinetics associated with the polishing process. Each system tries to simulate a real CMP environment in order to compare our findings with what is currently used in industry. To accomplish this, a variety of techniques are used. The voltage pulse modulation technique is useful for electrochemical processing of metal and alloy surfaces by utilizing faradaic reactions like electrodeposition and electrodissolution. A theoretical framework is presented in chapter 4 to facilitate quantitative analysis of experimental data (current transients) obtained in this approach. A typical application of this analysis is demonstrated for an experimental system involving electrochemical removal of copper surface layers, a relatively new process for abrasive-free electrochemical mechanical planarization of copper lines used in the fabrication of integrated circuits. Voltage pulse modulated electrodissolution of Cu in the absence of mechanical polishing is activated in an acidic solution of oxalic acid and hydrogen peroxide. The current generated by each applied voltage step shows a sharp spike, followed by a double-exponential decay, and eventually attains the rectangular shape of the potential pulses. For the second system in chapter 5, open-circuit potential measurements, cyclic voltammetry and Fourier transform impedance spectroscopy have been used to study pH dependent surface reactions of Cu and Ta rotating disc electrodes (RDEs) in aqueous solutions of succinic acid (SA, a complexing agent), hydrogen peroxide (an oxidizer), and ammonium dodecyl sulfate (ADS, a corrosion inhibitor for Cu). The surface chemistries of these systems are relevant for the development of a single-slurry approach to chemical mechanical planarization (CMP) of Cu

  16. Phonematic translation of Polish texts by the neural network

    International Nuclear Information System (INIS)

    Bielecki, A.; Podolak, I.T.; Wosiek, J.; Majkut, E.

    1996-01-01

    Using the back propagation algorithm, we have trained the feed forward neural network to pronounce Polish language, more precisely to translate Polish text into its phonematic counterpart. Depending on the input coding and network architecture, 88%-95% translation efficiency was achieved. (author)

  17. Polishing, coating and integration of SiC mirrors for space telescopes

    Science.gov (United States)

    Rodolfo, Jacques

    2017-11-01

    In the last years, the technology of SiC mirrors took an increasingly significant part in the field of space telescopes. Sagem is involved in the JWST program to manufacture and test the optical components of the NIRSpec instrument. The instrument is made of 3 TMAs and 4 plane mirrors made of SiC. Sagem is in charge of the CVD cladding, the polishing, the coating of the mirrors and the integration and testing of the TMAs. The qualification of the process has been performed through the manufacturing and testing of the qualification model of the FOR TMA. This TMA has shown very good performances both at ambient and during the cryo test. The polishing process has been improved for the manufacturing of the flight model. This improvement has been driven by the BRDF performance of the mirror. This parameter has been deeply analysed and a model has been built to predict the performance of the mirrors. The existing Dittman model have been analysed and found to be optimistic.

  18. Tribological approach to study polishing of road surface under traffic

    OpenAIRE

    KANE, Malal; DO, Minh Tan

    2007-01-01

    The polishing phenomenon of road pavements under the vehicle traffic constitutes the main mechanism inherent to the loss of skid resistance over time. A better understanding of this phenomenon would allow an improvement of road safety. This study comprises a review of laboratory test and a model simulating the polishing of road surfaces. The laboratory test uses a polishing machine so called 'Wehner-Schulze' which can reproduce the evolution of the road texture from specimens taken directly f...

  19. The place of polish in the multilingual space of the European Union

    Directory of Open Access Journals (Sweden)

    T. I. Neprytska

    2015-03-01

    Full Text Available The article studies the position of the Polish language in the multilingual space of the European Union and determines the key factors which facilitate its gaining popularity and spreading in Europe. A large territory and population determine the significant presence of Polish in the European Union. Intense economic development facilitates popularization of learning and using Polish in the business medium, however, English was and still remains the dominating language of business. Active work of the state on improving the reputation of the country abroad, civilizational (value­based unity with other nations of the EU, favorable geographical position, common Indo­European roots of Germanic, Romanic and Slavonic  languages as well as usage of the Latin type create favorable conditions for the development and popularization of Polish on the territory of the EU. The article also mentions a number of concerns, which are rooted in the historical past of a dependent or semi­dependent existence of the Polish people, namely, the existence of the Polish and culture in the shade of German and Russian culture space, the negative international image of modern Poland, which was formed at the beginning of the 1990­s, the low level of Europeans’ familiarization with the Polish culture, absence of popularity and economic necessity of learning Polish abroad.

  20. Fuzzy social choice models explaining the government formation process

    CERN Document Server

    C Casey, Peter; A Goodman, Carly; Pook, Kelly Nelson; N Mordeson, John; J Wierman, Mark; D Clark, Terry

    2014-01-01

    This book explores the extent to which fuzzy set logic can overcome some of the shortcomings of public choice theory, particularly its inability to provide adequate predictive power in empirical studies. Especially in the case of social preferences, public choice theory has failed to produce the set of alternatives from which collective choices are made.  The book presents empirical findings achieved by the authors in their efforts to predict the outcome of government formation processes in European parliamentary and semi-presidential systems.  Using data from the Comparative Manifesto Project (CMP), the authors propose a new approach that reinterprets error in the coding of CMP data as ambiguity in the actual political positions of parties on the policy dimensions being coded. The range of this error establishes parties’ fuzzy preferences. The set of possible outcomes in the process of government formation is then calculated on the basis of both the fuzzy Pareto set and the fuzzy maximal set, and the pre...

  1. Forming a health culture of future teachers in Polish educational establishments

    Directory of Open Access Journals (Sweden)

    T.S. IERMAKOVA

    2014-10-01

    Full Text Available Aim: to study the experience of the structure and system of training of future teachers in Polish schools. Material: content analysis of domestic and foreign authors. Used data from the survey of students of Polish universities. Also were used survey results through polish service ANKIETKA. For comparison, a questionnaire survey 35 students of the Faculty of Physical Education (future teachers of physical training and 30 students - the future teachers of elementary school of Ukrainian university. Results: the study of Polish teachers consider health culture of a person as the ability to assess individual and community health needs using in everyday life hygiene and health regulations. There have been some differences among Ukrainian and Polish students in their health and health culture. Among the respondents, Polish students - the future teachers of physical culture, is dominated motives such as the improvement of the physical condition, strengthen self-esteem, as well as improved health. Polish students from other disciplines believe that the most important motive for the adoption of physical activity is a concern for the physical well-being and mental health. The majority of Ukrainian students (future teachers of physical culture believe an important part of building health culture of their direct participation in various sports clubs, as well as the ability to organize physical culture, sports and educational work with students outside the classroom. Ukrainian students (other specialty noted the need to improve health, enhance knowledge in specific subjects humanities and promoting healthy lifestyles. Conclusions: It is recommended to use the experience of preparing students of Polish schools in modern Ukrainian higher education.

  2. Confocal Raman spectrocopy for the analysis of nail polish evidence.

    Science.gov (United States)

    López-López, Maria; Vaz, Joana; García-Ruiz, Carmen

    2015-06-01

    Nail polishes are cosmetic paints that may be susceptible of forensic analysis offering useful information to assist in a crime reconstruction. Although the nail polish appearance could allow a quick visual identification of the sample, this analysis is subjected to the perception and subjective interpretation of the forensic examiner. The chemical analysis of the nail polishes offers great deal of information not subjected to analyst interpretation. Confocal Raman spectroscopy is a well-suited technique for the analysis of paints due to its non-invasive and non-destructive nature and its ability to supply information about the organic and inorganic components of the sample. In this work, 77 regular and gel nail polishes were analyzed with confocal Raman spectroscopy using two laser wavelengths (532 and 780 nm). The sample behavior under the two laser wavelengths and the differences in the spectra taken at different points of the sample were studied for each nail polish. Additionally, the spectra obtained for all the nail polishes were visually compared. The results concluded that the longer laser wavelength prevents sample burning and fluorescence effects; the similarity among the spectra collected within the sample is not directly related with the presence of glitter particles; and 64% of the samples analyzed showed a characteristic spectrum. Additionally, the use of confocal Raman spectroscopy for the forensic analysis of nail polishes evidence in the form of flakes or smudges on different surfaces were studied. The results showed that both types of evidence can be analyzed by the technique. Also, two non-invasive sampling methods for the collection of the evidence from the nails of the suspect or the victim were proposed: (i) to use acetone-soaked cotton swabs to remove the nail varnishes and (ii) to scrape the nail polish from the nail with a blade. Both approaches, each exhibiting advantages and drawbacks in terms of transport and handling were appropriate

  3. Jewish problem in the Polish Communist Party

    Directory of Open Access Journals (Sweden)

    Cimek Henryk

    2016-12-01

    Full Text Available Jews accounted for approx. 8-10% of the population of the Second Republic and in the communist movement (Polish Communist Party and Polish Communist Youth Union the rate was approx, 30%, while in subsequent years it much fluctuated. The percentage of Jews was the highest in the authorities of the party and in the KZMP. This had a negative impact on the position of the KPP on many issues, especially in its relation to the Second Republic.

  4. RISK IDENTIFICATION TOOLS – POLISH MSMES COMPANIES PRACTICES

    Directory of Open Access Journals (Sweden)

    Iwona Gorzeń-Mitka

    2013-07-01

    Full Text Available The purpose of this study is to present risk identification tools in Polish micro, small and medium-sized enterprises (MSMEs. Risk identification is a key element of the risk management process in companies. Correctly fitting risk identification tools affect the accuracy of management decisions. The result of research is to identify the leading risk identification tools used by MSMEs. The study was conducted in 2010-2012 using a mixed survey-monographic method and questionnaires. The qualitative data were obtained during the study.

  5. Borel hierarchies in infinite products of Polish spaces

    Indian Academy of Sciences (India)

    with two product topologies: (i) the product of copies of the Polish topology on X, so that H is again a Polish space and (ii) the product of copies of the discrete topology on X. Define now the Borel hierarchy in the larger topology on H. To do so, we need some notation. An element of H will be denoted by h = (x1,x2,...,xn,.

  6. Effect of One-Step and Multi-Steps Polishing System on Enamel Roughness

    Directory of Open Access Journals (Sweden)

    Cynthia Sumali

    2013-07-01

    Full Text Available Normal 0 false false false MicrosoftInternetExplorer4 The final procedures of orthodontic treatment are bracket debonding and cleaning the remaining adhesive. Multi-step polishing system is the most common method used. The disadvantage of that system is long working time, because of the stages that should be done. Therefore, dental material manufacturer make an improvement to the system, to reduce several stages into one stage only. This new system is known as one-step polishing system. Objective: To compare the effect of one-step and multi-step polishing system on enamel roughness after orthodontic bracket debonding. Methods: Randomized control trial was conducted included twenty-eight maxillary premolar randomized into two polishing system; one-step OptraPol (Ivoclar, Vivadent and multi-step AstroPol (Ivoclar, Vivadent. After bracket debonding, the remaining adhesive on each group was cleaned by subjective polishing system for ninety seconds using low speed handpiece. The enamel roughness was subjected to profilometer, registering two roughness parameters (Ra, Rz. Independent t-test was used to analyze the mean score of enamel roughness in each group. Results: There was no significant difference of enamel roughness between one-step and multi-step polishing system (p>0.005. Conclusion: One-step polishing system can produce a similar enamel roughness to multi-step polishing system after bracket debonding and adhesive cleaning.DOI: 10.14693/jdi.v19i3.136

  7. Synthesis and biological evaluation of several dephosphonated analogues of CMP-Neu5Ac as inhibitors of GM3-synthase.

    Science.gov (United States)

    Rota, Paola; Cirillo, Federica; Piccoli, Marco; Gregorio, Antonio; Tettamanti, Guido; Allevi, Pietro; Anastasia, Luigi

    2015-10-05

    Previous studies demonstrated that reducing the GM3 content in myoblasts increased the cell resistance to hypoxic stress, suggesting that a pharmacological inhibition of the GM3 synthesis could be instrumental for the development of new treatments for ischemic diseases. Herein, the synthesis of several dephosphonated CMP-Neu5Ac congeners and their anti-GM3-synthase activity is reported. Biological activity testes revealed that some inhibitors almost completely blocked the GM3-synthase activity in vitro and reduced the GM3 content in living embryonic kidney 293A cells, eventually activating the epidermal growth factor receptor (EGFR) signaling cascade. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. The View of Lithuanian Statehood Held by the Polish Underground during 1939-1944

    OpenAIRE

    Bubnys, Arūnas

    2006-01-01

    The article investigates the attitudes of Polish underground actors towards the statehood of Lithuania, its territorial integrity, and Lithuanian-Polish relations during the World War II. The author draws the conclusion that Polish underground political structures expressed a hostile and prejudiced attitude towards Lithuania. All the blame and responsibility for bad mutual relations is put on Lithuania and Lithuanians. Polish underground actors living in Vilnija were extremely hostile towards...

  9. Experimental Study on Layered Ice Bonded Abrasive Polishing of Glass-ceramics

    Directory of Open Access Journals (Sweden)

    Yuli SUN

    2014-12-01

    Full Text Available Layered ice bonded abrasive tools (LIBAT is a new kind of one which not only has the ability of lapping and polishing but also has the effect of self-dressing. In this paper, two kinds of layered ice bonded abrasive tools were designed and manufactured. Experimental studies on layered ice bonded abrasive (LIBA polishing of glass-ceramics were conducted. The results show that the surface topography of glass-ceramics polished by micro α-Al2O3-nano α-Al2O3 LIBAT is better than that of polished by micro α-Al2O3-nano SiO2 LIBAT. The surface roughness Sa of glass-ceramics polished by the two kinds of LIBAT is at the nanometer scale. The reasons of this phenomenon were analyzed. The experimental results illustrate that the LIBAT shows good effect and can be used in production practice. DOI: http://dx.doi.org/10.5755/j01.ms.20.4.6149

  10. Energy savings in Polish buildings

    Energy Technology Data Exchange (ETDEWEB)

    Markel, L.C.; Gula, A.; Reeves, G.

    1995-12-31

    A demonstration of low-cost insulation and weatherization techniques was a part of phase 1 of the Krakow Clean Fossil Fuels and Energy Efficient Project. The objectives were to identify a cost-effective set of measures to reduce energy used for space heating, determine how much energy could be saved, and foster widespread implementation of those measures. The demonstration project focused on 4 11-story buildings in a Krakow housing cooperative. Energy savings of over 20% were obtained. Most important, the procedures and materials implemented in the demonstration project have been adapted to Polish conditions and applied to other housing cooperatives, schools, and hospitals. Additional projects are being planned, in Krakow and other cities, under the direction of FEWE-Krakow, the Polish Energie Cities Network, and Biuro Rozwoju Krakowa.

  11. Experimental Study on Abrasive Waterjet Polishing of Hydraulic Turbine Blades

    International Nuclear Information System (INIS)

    Khakpour, H; Birglenl, L; Tahan, A; Paquet, F

    2014-01-01

    In this paper, an experimental investigation is implemented on the abrasive waterjet polishing technique to evaluate its capability in polishing of surfaces and edges of hydraulic turbine blades. For this, the properties of this method are studied and the main parameters affecting its performance are determined. Then, an experimental test-rig is designed, manufactured and tested to be used in this study. This test-rig can be used to polish linear and planar areas on the surface of the desired workpieces. Considering the number of parameters and their levels, the Taguchi method is used to design the preliminary experiments. All experiments are then implemented according to the Taguchi L 18 orthogonal array. The signal-to-noise ratios obtained from the results of these experiments are used to determine the importance of the controlled polishing parameters on the final quality of the polished surface. The evaluations on these ratios reveal that the nozzle angle and the nozzle diameter have the most important impact on the results. The outcomes of these experiments can be used as a basis to design a more precise set of experiments in which the optimal values of each parameter can be estimated

  12. ZnO homoepitaxy on the O polar face of hydrothermal and melt-grown substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Technical Univ. of Troyes (France); CNRS, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Largeteau, A.; Demazeau, G. [ICMCB-CNRS, Bordeaux 1 University (Science and Technology), Pessac (France); Moisson, C.; Turover, D. [Novasic, Savoie Technolac, Arche Bat. 4, BP 267, Le Bourget du Lac (France); Nause, J. [Cermet Inc., Atlanta, GA (United States); Garry, G. [Thales Research, Domaine de Corbeville, Orsay (France); Kling, R.; Gruber, T. [Ulm University, Department of Semiconductor Physics, Ulm (Germany); Waag, A. [Braunschweig Technical University, Institute of Semiconductor Technology, Braunschweig (Germany); Jomard, F.; Galtier, P.; Lusson, A. [LPSC-CNRS, Meudon (France); Monteiro, T.; Soares, M.J.; Neves, A.; Carmo, M.C.; Peres, M. [University of Aveiro, Physics Department, Aveiro (Portugal); Lerondel, G.; Hubert, C. [Technical University of Troyes-CNRS (FRE2671), 12 rue Marie Curie, BP 2060, Troyes (France)

    2007-07-15

    2 cm diameter hydrothermal ZnO crystals were grown and then made into substrates using both mechanical and chemical-mechanical polishing (CMP). CMP polishing showed superior results with an (0002) {omega} scan full width half maximum (FWHM) of 67 arcsec and an root mean square (RMS) roughness of 2 Aa. In comparison, commercial melt-grown substrates exhibited broader X-ray diffraction (XRD) linewidths with evidence of sub-surface crystal damage due to polishing, including a downward shift of c-lattice parameter. Secondary ion mass spectroscopy revealed strong Li, Fe, Co, Al and Si contamination in the hydrothermal crystals as opposed to the melt-grown substrates, for which glow discharge mass spectroscopy studies had reported high levels of Pb, Fe, Cd and Si. Low temperature photoluminescence (PL) studies indicated that the hydrothermal crystal had high defect and/or impurity concentrations compared with the melt-grown substrate. The dominant bound exciton for the melt-grown substrate was indexed to Al. ZnO films were grown using pulsed laser deposition. The melt-grown substrates gave superior results with XRD (0002) {omega} and 2{theta}/{omega} WHM of 124 and 34 arcsec, respectively. Atomic force microscope measurements indicated a low RMS roughness (1.9 nm) as confirmed by fringes in the XRD 2{theta}/{omega} scan. It was suggested that the improvement in XRD response relative to the substrate might be due to ''healing'' of sub-surface polishing damage due to the elevated T{sub s} used for the growth. Indeed the c-lattice parameter for the homoepitaxial layer on the melt-grown substrate had become that which would be expected for strain-free ZnO. Furthermore, the stability of the PL peak positions relative to bulk ZnO, confirmed that the films appear practically strain free. (orig.)

  13. Technology of Polish copper ore beneficiation – perspectives from the past experience

    Directory of Open Access Journals (Sweden)

    Wieniewski Andrzej

    2016-01-01

    Full Text Available The paper describes the main types of existing copper deposits in the world and the most common enrichment technologies. The characteristic elements of the current flowsheet of the Polish ore beneficiation were discussed together with the reasons for using them. The paper presents a perspective flowsheet based on more than 50-years of experience in beneficiation of Polish copper ore. The main elements of proposed technology are: leaving in justified cases the division of ore into fractions of increased sandstone and carbonate ores content, flotation in the milling circuit as part of the effective preparation of the ore to rougher flotation, intensive rougher flotation, classic cleaning system, processing of the middlings with grinding system in new type mills, classification and flotation with outlet of final tailings.

  14. Surface morphology changes of acrylic resins during finishing and polishing phases

    Directory of Open Access Journals (Sweden)

    Glaucio Serra

    2013-12-01

    Full Text Available INTRODUCTION: The finishing and polishing phases are essential to improve smoothness and shining on the surface of acrylic resins used to make removable orthodontic appliances. A good surface finishing reduces roughness, which facilitates hygiene, prevents staining and provides greater comfort to the patients. OBJECTIVE: The aim of this paper was to analyze the changes on surface morphology of acrylic resins during finishing and polishing phases. METHODS: Thirty discs (10 mm in diameter and 5 mm in length were made with acrylic resin and randomly divided into ten groups. The control group did not receive any treatment while the other groups received gradual finishing and polishing. The last group received the entire finishing and polishing procedures. Surface morphology was qualitatively analyzed through scanning electron microscopy and quantitatively analyzed through a laser profilometer test. RESULTS: The acrylic resin surfaces without treatment showed bubbles which were not observed in the subsequent phases. Wearing out with multilaminated burs, finishing with wood sandpaper and finishing with water sandpaper resulted in surfaces with decreasing irregularities. The surfaces that were polished with pumice and with low abrasive liquids showed high superficial smoothness. CONCLUSION: Highly smooth acrylic resin surfaces can be obtained after mechanical finishing and polishing performed with multilaminated burs, wood sandpaper, water sandpaper, pumice and low abrasive liquids.

  15. Implementation of the Bulgarian-Polish online dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Implementation of the Bulgarian-Polish online dictionary The paper describes the implementation of an online Bulgarian-Polish dictionary as a technological tool for applications in digital humanities. This bilingual digital dictionary is developed in the frame of the joint research project “Semantics and Contrastive Linguistics with a focus on a bilingual electronic dictionary” between IMI-BAS and ISS-PAS, supervised by L. Dimitrova (IMI-BAS and V. Koseska-Toszewa (ISS-PAS. In addition, the main software tools for web-presentation of the dictionary are described briefly.

  16. Lay beliefs on Polish oncology in the evaluation of healthy individuals.

    Science.gov (United States)

    Synowiec-Piłat, Małgorzata

    2017-12-23

    Poland is among the countries which reported the highest rates of mortality from cancer. The health behaviours of people are influenced, among other things, by their beliefs about cancer, but their evaluation of oncological institutions and specialists seems also to be of great importance. Objectives. 1. How the respondents evaluate Polish oncology: a) the conditions of treatment in oncology hospitals, b) access to oncological medical services, c) the competence of oncologists. 2. What are the socio-cultural factors of the assessment of Polish oncology? 3. What is the influence of the grade level of the assessment of Polish oncology on the degree of fear and the knowledge about cancer? The study was carried out with a sample of 910 adult residents of Wroclaw in south-west Poland. Quota sampling was used. An interview questionnaire was used as the method. Analysis of the data showed a negative image of Polish oncology, according to the study participants: dissatisfaction with both treatment conditions and with access to medical services. Assessment of Polish oncology depends primarily on education, age and economic situation, as well as 'family history of cancer', and attitude towards doctors. The lower the rating of Polish oncology, the lower the medical knowledge, and the higher the level of fear of cancer. Negative assessment of Polish oncology perpetuates the fear of cancer in society. There is a need for constant improvement of the quality of medical oncology services, for building public trust in physicians, to fight inequalities in health, and to take into account the lay perspectives in developing strategies to combat cancer.

  17. The Profile of a Polish Mutual Fund Manager

    Directory of Open Access Journals (Sweden)

    Dariusz Filip

    2018-05-01

    Full Text Available Aim/purpose - The purpose of this paper is to identify the characteristics that are typical of mutual fund managers. Design/methodology/approach - The study concentrates on a set of socio-demographic data, such as: age, gender, education, experience, and professional qualifications of 336 portfolio managers. The applied research strategy relies on an analysis concerning a set of statistical metrics describing the population under examination. Findings - The average Polish fund manager is a 37-year-old man, a holder of a stock-broker or investment adviser license. He obtained the authorization from the Polish Financial Supervision Authority (KNF a few years after graduating from the Warsaw School of Economics (SGH. He has 11 years' experience in financial markets and 7 years' experience in the mutual fund industry. Research implications/limitations - The identification of managerial characteristics for the purpose of creating a profile of a Polish mutual fund manager will provide an important basis for further surveys and analyses aimed to evaluate the effectiveness of mutual funds. Originality/value/contribution - There are no investigations within the discussed area in Polish studies. Therefore, the identification of the characteristics that are typical of mutual fund managers will make a contribution to the finance literature.(original abstract

  18. [Lysenkoism in Polish botany].

    Science.gov (United States)

    Köhler, Piotr

    2008-01-01

    Lysenkoism in Poland was never an autonomous phenomenon. The whole array of reasons for which it appeared in Polish science would require a separate study--here it only needs to be pointed out that the major reasons included terror on the part of the security service, lawlessness, the ubiquitous atmosphere of intimidation and terror, censorship, the diminishing sphere of civil liberties, political show trials, propaganda and denunciations. An important role in facilitating the introduction of Lysenkoism was played also by the reorganization of science after World War Two, the isolation of Polish science from science in the West, as well as the damage it had suffered during the war. At first, Lysenkoism was promoted in Poland by a small group of enthusiastic and uncritical proponents. A overview of the events connected with the ten years of Lysenkoism in Poland (end of 1948--beginning of 1958) shows a two-tier picture of how the 'idea' was propagated. The first tier consisted in the activities of the Association of Marxist Naturalists [Koło Przyrodników-Marksistów], which it engaged in since the end of 1948. The Association was later transformed into a Union of Marxist Naturalists, and this in turn merged, in 1952, with the Copernican Society of Polish Naturalists [Polskie Towarzystwo Przyrodników im. Kopernika]. It was that society which promoted Lysenkoism longest, until the end of 1956. The propaganda and training activities of the circle and the society prepared ground for analogous activities of the newly formed Polish Academy of Science (PAN), which--since its very establishment in 1952--engaged in promoting Lysenkoism through its Second Division. These activities were aimed at naturalists, initially at those who were prominent scientists (eg. the conference at Kuźnice, 1950/1951), and then at those who were only starting their academic career (including national courses in new biology at Dziwnów, 1952, or Kortowo, 1953 and 1955). The end to promoting

  19. Condensate polishing guidelines for PWR and BWR plants

    International Nuclear Information System (INIS)

    Robbins, P.; Crinigan, P.; Graham, B.; Kohlmann, R.; Crosby, C.; Seager, J.; Bosold, R.; Gillen, J.; Kristensen, J.; McKeen, A.; Jones, V.; Sawochka, S.; Siegwarth, D.; Keeling, D.; Polidoroff, T.; Morgan, D.; Rickertsen, D.; Dyson, A.; Mills, W.; Coleman, L.

    1993-03-01

    Under EPRI sponsorship, an industry committee, similar in form and operation to other guideline committees, was created to develop Condensate Polishing Guidelines for both PWR and BWR systems. The committee reviewed the available utility and water treatment industry experience on system design and performance and incorporated operational and state-of-the-art information into document. These guidelines help utilities to optimize present condensate polisher designs as well as be a resource for retrofits or new construction. These guidelines present information that has not previously been presented in any consensus industry document. The committee generated guidelines that cover both deep bed and powdered resin systems as an integral part of the chemistry of PWR and BWR plants. The guidelines are separated into sections that deal with the basis for condensate polishing, system design, resin design and application, data management and performance and management responsibilities

  20. Evaluation and analysis of polished fused silica subsurface quality by the nanoindenter technique

    International Nuclear Information System (INIS)

    Ma Bin; Shen Zhengxiang; He Pengfei; Sha Fei; Wang Chunliang; Wang Bin; Ji Yiqin; Liu Huasong; Li Weihao; Wang Zhanshan

    2011-01-01

    We evaluate the subsurface quality of polished fused silica samples using the nanoindenter technique. Two kinds of samples, consisting of hundreds of nanometers and micrometers of subsurface damage layers, are fabricated by controlling the grinding and polishing processes, and the subsurface quality has been verified by the chemical etching method. Then several nanoindentation experiments are performed using the Berkovich tip to investigate the subsurface quality. Some differences are found by relative measurements in terms of the relationship between the total penetration and the peak load on the surfaces, the modulus calculated over the defined depths and from unload, and the indented morphology at a constant load near the surface collapse threshold. Finally, the capabilities of such a mechanical method for detecting subsurface flaws are discussed and analyzed.

  1. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  2. Wear characteristics of polished and glazed lithium disilicate ceramics opposed to three ceramic materials.

    Science.gov (United States)

    Saiki, Osamu; Koizumi, Hiroyasu; Akazawa, Nobutaka; Kodaira, Akihisa; Okamura, Kentaro; Matsumura, Hideo

    2016-01-01

    This study compared the wear characteristics of a heat-pressed lithium disilicate ceramic material opposed to feldspathic porcelain, a lithium disilicate glass ceramic, and zirconia materials. Ceramic plate specimens were prepared from feldspathic porcelain (EX-3 nA1B), lithium disilicate glass ceramics (e.max CAD MO1/C14), and zirconia (Katana KT 10) and then ground or polished. Rounded rod specimens were fabricated from heat-pressed lithium disilicate glass ceramic (e.max press LT A3) and then glazed or polished. A sliding wear testing apparatus was used for wear testing. Wear of glazed rods was greater than that of polished rods when they were abraded with ground zirconia, ground porcelain, polished porcelain, or polished lithium disilicate ceramics. For both glazed and polished rods, wear was greater when the rods were abraded with ground plates. The findings indicate that application of a polished surface rather than a glazed surface is recommended for single restorations made of heat-pressed lithium disilicate material. In addition, care must be taken when polishing opposing materials, especially those used in occlusal contact areas. (J Oral Sci 58, 117-123, 2016).

  3. Microscopic machining mechanism of polishing based on vibrations of liquid

    International Nuclear Information System (INIS)

    Huang, Z G; Guo, Z N; Chen, X; Yu, Z Q; Yu, T M; Lee, W B

    2007-01-01

    A molecular dynamics method has been applied to study the mechanism of polishing based on vibrations of liquid. Movements of polishing particles and formations of impact dents are simulated and discussed. The abrasive effect between particle and machined substrate is evaluated empirically. Polishing qualities, including roughness and fractal character under multiple impacts, are obtained by numerical methods. Results show that the particle will vibrate and roll viscously on the substrate. Press, tear and self-organization effects will be responsible for the formation of impact dents. Simulation results are compared with experimental data to verify the conclusions

  4. Effects of air-polishing powders on color stability of composite resins.

    Science.gov (United States)

    Güler, Ahmet Umut; Duran, Ibrahim; Yücel, Ali Çağin; Ozkan, Pelin

    2011-10-01

    The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. Thirty cylindrical specimens (15×2 mm) were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex). The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C) and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder). A standard air-polishing unit (ProSmile Handly) was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic) for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300). Color differences (∆E*) between the 2 color measurements (baseline and after 24 h storage) were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05) and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7). Air-polishing applications increased the color change for all composite resin restorative materials tested. Composite restorations may require re-polishing

  5. Effects of air-polishing powders on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2011-10-01

    Full Text Available OBJECTIVES: The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. MATERIAL AND METHODS: Thirty cylindrical specimens (15×2 mm were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex. The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder. A standard air-polishing unit (ProSmile Handly was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300. Color differences (∆E* between the 2 color measurements (baseline and after 24 h storage were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05 and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. CONCLUSION: Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7. Air-polishing applications increased the color change for all composite resin restorative materials

  6. [Polish medical ethics in the period 1945-1980].

    Science.gov (United States)

    Wichrowski, Marek

    2003-01-01

    The purpose of this article is o present that main currents of Polish medical ethics in the years 1945-1980, which the author treats as the 'prehistory' of Poland's contemporary bioethics. The author begins by ascertaining the post-war disappearance of two Polish traditions--that of the Warsaw school of philosopher-physicians (known in the West as the Polish School of Philosophy of Medicine) and the Lwów-Warsaw School of Philosophy. The political system that come into being after 1945 was not conducive to the development of medical ethics, but several clear orientations may be discerned in the utterances of physicians, lawyers, moral technologlans and academic philosophers. The analysis begins with Catholic ethics in its archaic 1950s-vintage form. The author then presents the thinking of ethicians Independent of both the Church and Marxism (the milieu of the journal 'Etyka') as well as the deontological writings of physicians.

  7. Polish Code of Ethics of a Medical Laboratory Specialist.

    Science.gov (United States)

    Elżbieta, Puacz; Waldemar, Glusiec; Barbara, Madej-Czerwonka

    2014-09-01

    Along with the development of medicine, increasingly significant role has been played by the laboratory diagnostics. For over ten years the profession of the medical laboratory specialist has been regarded in Poland as the autonomous medical profession and has enjoyed a status of one of public trust. The process of education of medical laboratory specialists consists of a five-year degree in laboratory medicine, offered at Medical Universities, and of a five-year Vocational Specialization in one of the fields of laboratory medicine such as clinical biochemistry, medical microbiology, medical laboratory toxicology, medical laboratory cytomorphology and medical laboratory transfusiology. An important component of medical laboratory specialists' identity is awareness of inherited ethos obtained from bygone generations of workers in this particular profession and the need to continue its further development. An expression of this awareness is among others Polish Code of Ethics of a Medical Laboratory Specialist (CEMLS) containing a set of values and a moral standpoint characteristic of this type of professional environment. Presenting the ethos of the medical laboratory specialist is a purpose of this article. Authors focus on the role CEMLS plays in areas of professional ethics and law. Next, they reconstruct the Polish model of ethos of medical diagnostic laboratory personnel. An overall picture consists of a presentation of the general moral principles concerning execution of this profession and rules of conduct in relations with the patient, own professional environment and the rest of the society. Polish model of ethical conduct, which is rooted in Hippocratic medical tradition, harmonizes with the ethos of medical laboratory specialists of other European countries and the world.

  8. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  9. Polish adaptation of scoliosis research society-22 questionnaire.

    Science.gov (United States)

    Glowacki, Maciej; Misterska, Ewa; Laurentowska, Maria; Mankowski, Przemyslaw

    2009-05-01

    Polish adaptation of the original version of Scoliosis Research Society (SRS) instrument. The transcultural adaptation of SRS-22 and evaluation of its internal consistency. High psychometric value of the SRS-22 Questionnaire has made it an effective evaluation instrument in clinically assessing the functional status of patients with adolescent idiopathic scoliosis. First, 2 translators translated the original version into Polish. Afterwards, the translators identified differences between the translations and produced a consensus version. In the third stage, 2 native English speakers produced back translations. Finally, a team of 2 orthopedic surgeons, translators, a statistician and a psychologist reviewed all the translations to produce a prefinal version. The questionnaire was administered to 60 girls at the age of 16.6, SD 2.0 with adolescent idiopathic scoliosis treated with the Cotrel-Dubousset method in Pediatric Orthopaedics and Traumatology Clinic in Poznań. The internal consistency in the Polish version equaled 0.89 for the overall result and 0.81 for function, 0.81 for pain, 0.80 for mental health, 0.77 for self-image, and 0.69 for treatment satisfaction domains, respectively. The Polish version of SRS-22 is characterized by high internal consistency for all domains and for the overall score, which makes it an evaluation tool after surgical treatment compatible with the original SRS-22.

  10. SAFETY PLATFORM OF POLISH TRANSPORT

    Directory of Open Access Journals (Sweden)

    Katarzyna CHRUZIK

    2016-03-01

    Full Text Available Analyzing the level of Polish transport safety culture can be seen that it is now dependent on the culture of safety management within the organization and the requirements and recommendations of law in this field for different modes of transport (air, rail, road, water. Of the four basic types of transport requirements are widely developed in the aviation, rail, and water – the sea. In order to harmonize the requirements for transport safety so it appears advisable to develop a platform for exchange of safety information for different modes of transport, and the development of good practices multimodal offering the possibility of improving Polish transport safety. Described in the publication of the proposal in addition to the alignment platform experience and knowledge in the field of transport safety in all its kinds, it can also be a tool for perfecting new operators of public transport.

  11. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  12. The effects of different polishing techniques on the staining resistance of CAD/CAM resin-ceramics

    Science.gov (United States)

    Demirci, Tevfik; Demirci, Gamze; Sagsoz, Nurdan Polat; Yildiz, Mehmet

    2016-01-01

    PURPOSE The purposes of this study were to evaluate the staining resistance of CAD/CAM resin-ceramics polished with different techniques and to determine the effectiveness of the polishing techniques on resin-ceramics, comparing it with that of a glazed glass-ceramic. MATERIALS AND METHODS Four different CAD/CAM ceramics (feldspathic ceramic: C-CEREC Blocs, (SIRONA) and three resin-ceramics: L-Lava Ultimate, (3M ESPE), E-Enamic, (VITA) and CS-CeraSmart, (GC)) and one light cure composite resin: ME-Clearfil Majesty Esthetic (Kuraray) were used. Only C samples were glazed (gl). Other restorations were divided into four groups according to the polishing technique: nonpolished control group (c), a group polished with light cure liquid polish (Biscover LV BISCO) (bb), a group polished with ceramic polishing kit (Diapol, EVE) (cd), and a group polished with composite polishing kit (Clearfil Twist Dia, Kuraray) (kc). Glazed C samples and the polished samples were further divided into four subgroups and immersed into different solutions: distilled water, tea, coffee, and fermented black carrot juice. Eight samples (8 × 8 × 1 mm) were prepared for each subgroup. According to CIELab system, four color measurements were made: before immersion, immersion after 1 day, after 1 week, and after 1 month. Data were analyzed with repeated measures of ANOVA (α=.05). RESULTS The highest staining resistance was found in gl samples. There was no difference among gl, kc and cd (P>.05). Staining resistance of gl was significantly higher than that of bb (PCeramic and composite polishing kits can be used for resin ceramics as a counterpart of glazing procedure used for full ceramic materials. Liquid polish has limited indications for resin ceramics. PMID:28018558

  13. High voltage performance of a dc photoemission electron gun with centrifugal barrel-polished electrodes

    Science.gov (United States)

    Hernandez-Garcia, C.; Bullard, D.; Hannon, F.; Wang, Y.; Poelker, M.

    2017-09-01

    The design and fabrication of electrodes for direct current (dc) high voltage photoemission electron guns can significantly influence their performance, most notably in terms of maximum achievable bias voltage. Proper electrostatic design of the triple-point junction shield electrode minimizes the risk of electrical breakdown (arcing) along the insulator-cable plug interface, while the electrode shape is designed to maintain work, we describe a centrifugal barrel-polishing technique commonly used for polishing the interior surface of superconducting radio frequency cavities but implemented here for the first time to polish electrodes for dc high voltage photoguns. The technique reduced polishing time from weeks to hours while providing surface roughness comparable to that obtained with diamond-paste polishing and with unprecedented consistency between different electrode samples. We present electrode design considerations and high voltage conditioning results to 360 kV (˜11 MV/m), comparing barrel-polished electrode performance to that of diamond-paste polished electrodes. Tests were performed using a dc high voltage photogun with an inverted-geometry ceramic insulator design.

  14. Polishing and toothbrushing alters the surface roughness and gloss of composite resins.

    Science.gov (United States)

    Kamonkhantikul, Krid; Arksornnukit, Mansuang; Takahashi, Hidekazu; Kanehira, Masafumi; Finger, Werner J

    2014-01-01

    This study aimed to investigate the surface roughness and gloss of composite resins after using two polishing systems and toothbrushing. Six composite resins (Durafill VS, Filtek Z250, Filtek Z350 XT, Kalore, Venus Diamond, and Venus Pearl) were evaluated after polishing with two polishing systems (Sof-Lex, Venus Supra) and after toothbrushing up to 40,000 cycles. Surface roughness (Ra) and gloss were determined for each composite resin group (n=6) after silicon carbide paper grinding, polishing, and toothbrushing. Two-way ANOVA indicated significant differences in both Ra and gloss between measuring stages for the composite resins tested, except Venus Pearl, which showed significant differences only in gloss. After polishing, the Filtek Z350 XT, Kalore, and Venus Diamond showed significant increases in Ra, while all composite resin groups except the Filtek Z350 XT and Durafill VS with Sof-Lex showed increases in gloss. After toothbrushing, all composite resin demonstrated increases in Ra and decreases in gloss.

  15. Optimization of the buffer layer of a side polished fiber slab coupler based on 3 D ADI beam propagation method

    International Nuclear Information System (INIS)

    Lee, Cherl Hee; Kim, Cheol; Park, Jae Hee

    2008-01-01

    A side polished fiber slab coupler has been widely applied to a sensor, which has the advantages of short response time, simple manufacturing process, and reusability as well as in line fiber component. A new type of a side polished fiber sensor providing remote sensing with an improved performance was also recently developed. The side polished fiber slab coupler is modeled as a fiber to planar waveguide coupler with four layers, including the fiber cladding, a buffer layer, planar waveguide and overlay material. The coupling effects by the buffer layer of a side polished fiber slab coupler are analyzed by using 3 dimensional alternating direction implicit (ADI)beam propagation method, where the refractive index and thickness of the buffer layer were tuned for efficient light coupling. The coupling is easily tuned and more occurred by the refractive index and thickness of the buffer layer for efficient coupling. This study tried to optimize the buffer layer parameters for achieving the desired light coupling and power transfer performance

  16. THE CULTURAL RELEVANCE OF INDONESIAN PHRASEOLOGICAL UNITS AS CONTRASTED WITH POLISH

    Directory of Open Access Journals (Sweden)

    Przemysław Wiatrowski

    2015-06-01

    Full Text Available This article discusses Indonesian set phrases, a research area not previously investigated by Polish scholars. The aim is to analyze expressions which reveal the cultural specificity of the Indonesian speech community. Specifically, the author is concerned with two categories of multiword expressions. One of them is lexical combinations which preserve observations characteristic of the Indonesian speech community. These are reflected in a system of lexical connotations drawn upon in the process of semantic motivation of idioms. The other is expressions made up of units which are specific to Indonesian culture. The cultural relevance of Indonesian multi-word combinations is examined against the background of the Polish language. By examining research material derived from dictionaries of phrases and collocations and general dictionaries of the Indonesian language, the author provides insights into the way of thinking and responding to reality which is embedded in the language and in the collective experience of members of the Indonesian community.

  17. Polish students at the Académie Julian until 1919

    Directory of Open Access Journals (Sweden)

    Zgórniak, Marek

    2012-08-01

    Full Text Available The subject of the article is the presence of Polish students in the most important private artistic school in Paris in the second half of the 19thcentury. The extant records regarding the atelier for male students made it possible to compile a list of about 165 Polish painters and sculptors studying there in the period from 1880 to 1919. The text presents the criteria used when preparing the list and the diagrams show the fluctuations in registration and the number of Polish artists in particular ateliers in successive years. The observations contained in the article have a summary nature and are illustrated only with selected examples.

  18. Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary The paper discusses the presentation of neologisms in the recent version of the Bulgarian-Polish digital dictionary. We also continue the discussion of important problems related to the classifiers of the verbs as headwords of the digital dictionary entries. We analyze some examples from ongoing experimental version of the Bulgarian-Polish digital dictionary.

  19. [A study of different polishing techniques for amalgams and glass-cermet cement by scanning electron microscope (SEM)].

    Science.gov (United States)

    Kakaboura, A; Vougiouklakis, G; Argiri, G

    1989-01-01

    Finishing and polishing an amalgam restoration, is considered as an important and necessary step of the restorative procedure. Various polishing techniques have been recommended to success a smooth amalgam surface. The aim of this study was to investigate the influence of three different polishing treatments on the marginal integrity and surface smoothness of restorations made of three commercially available amalgams and a glass-cermet cement. The materials used were the amalgams, Amalcap (Vivadent), Dispersalloy (Johnson and Johnson), Duralloy (Degussa) and the glass-cermet Katac-Silver (ESPE). The occlusal surfaces of the restorations were polished by the methods: I) round bur, No4-rubber cup-zinc oxide paste in a small brush, II) round bur No 4-bur-brown, green and super green (Shofu) polishing cups and points successively and III) amalgam polishing bur of 12-blades-smooth amalgam polishing bur. Photographs from unpolished and polished surfaces of the restorations, were taken with scanning electron microscope, to evaluate the polishing techniques. An improvement of marginal integrity and surface smoothness of all amalgam restorations was observed after the specimens had been polished with the three techniques. Method II, included Shofu polishers, proved the best results in comparison to the methods I and III. Polishing of glass-cermet cement was impossible with the examined techniques.

  20. Adaptation of the ORTHO-15 test to Polish women and men.

    Science.gov (United States)

    Brytek-Matera, Anna; Krupa, Magdalena; Poggiogalle, Eleonora; Donini, Lorenzo Maria

    2014-03-01

    There is a lack of Polish tools to measure behaviour related to orthorexia nervosa. The purpose of the present study was to validate the Polish version of the ORTHO-15 test. 341 women and 59 men (N = 400) were recruited, whose age ranged from 18 to 35 years. Mean age was 23.09 years (SD = 3.14) in women and 24.02 years (SD = 3.87) in men. The ORTHO-15 test and the EAT-26 test were used in the present study. Factor analysis (exploratory and confirmatory analysis) was used in the present study. Exploratory factor analysis performed on the initial 15 items from a random split half of the study group suggested a nine-item two-factor structure. Confirmatory factor analysis performed on the second randomly selected half of the study group supported this two-factor structure of the ORTHO-15 test. The Polish version of the ORTHO-15 test demonstrated an internal consistency (Cronbach's alpha) equal to 0.644. The Polish version of the ORTHO-15 test is a reliable and valuable instrument to assess obsessive attitudes related to healthy and proper nutrition in Polish female and male population.

  1. Gloss and surface roughness produced by polishing kits on resin composites.

    Science.gov (United States)

    Sadidzadeh, Ramtin; Cakir, Deniz; Ramp, Lance C; Burgess, John O

    2010-08-01

    To compare in vitro the surface roughness (Ra) and gloss (G) produced by three conventional and one experimental polishing kits on four resin composites. 24 discs were prepared (d = 12 mm, t = 4 mm) for each resin composite: Filtek Supreme Plus Body/A2 (FSB), Yellow Translucent (FST), Heliomolar/A2 (HM), and EsthetX/A2 (EX) following the manufacturers' instructions. They were finished with 320 grit silicon carbide paper for 80 seconds each. Polishing systems: Sof-Lex, Enhance-Pogo, Astropol and Experimental Discs/EXL-695, were applied following manufacturers' instructions. Each specimen was ultrasonically cleaned with distilled water and dried. Gloss and Ra were measured with a small area glossmeter (Novo-curve) and non-contact profilometer (Proscan 2000) following ISO 4288, respectively. The results were evaluated by two-way ANOVA followed by separate one-way ANOVA and Tukey/Kramer test (P = 0.05). There was a significant interaction of surface roughness and gloss between the composites and polishing systems (P gloss was obtained for FSB composite polished with the Experimental kit. The experimental polishing system produced smoothest surfaces (P gloss (P < 0.05).

  2. [Adhesion of oral microorganisms on dental porcelain polished and glazed].

    Science.gov (United States)

    Wang, Yi-ning; Wen, Guo-jiang; Shi, Bin; Pan, Xin-hua

    2003-09-01

    This study compared the roughness of porcelain polished or glazed surfaces and the adhesion of oral streptococcus mutans to them in vitro. 30 porcelain samples were made. Porcelain samples in group A were polished with diamond paste. Porcelain samples were glazed in group B and were polished with Al2O3 (240#) bur in group C. Their roughness values were measured by profilometer. Standardized cell suspensions were incubated with test samples for one hour at 37 degrees C, then retained cells were counted by image analysis (percentage area of a microscopic field covered by cells). Roughness values of group A, B, C were respectively (0.1987 +/- 0.057) microm, (0.1990 +/- 0.091) microm, (0.4260 +/- 0.174) microm. There was no significantly difference between group A and group B. The roughness samples in group C were significantly rougher than that in the other groups. The amount of retained cells in group A, group B, group C was respectively (15.92 +/- 4.37)%, (16.39 +/- 6.31)% and (41.48 +/- 12.1)%. There was no significant difference between the cell adhesion on porcelain surface glazed and polished, but more bacteria adhered on the porcelain surface in group C. Porcelain surface polished treatment was clinically acceptable compared with its glazed. They all exhibited the least amount of bacteria adhesion. The more porcelain surface was rough, the more bacteria adhered on it.

  3. Electrochemical Polishing Applications and EIS of a Vitamin B4-Based Ionic Liquid

    International Nuclear Information System (INIS)

    Wixtrom, Alex I.; Buhler, Jessica E.; Reece, Charles E.; Abdel-Fattah, Tarek M.

    2013-01-01

    Modern particle accelerators require minimal interior surface roughness for Niobium superconducting radio frequency (SRF) cavities. Polishing of the Nb is currently achieved via electrochemical polishing with concentrated mixtures of sulfuric and hydrofluoric acids. This acid-based approach is effective at reducing the surface roughness to acceptable levels for SRF use, but due to acid-related hazards and extra costs (including safe disposal of used polishing solutions), an acid-free method would be preferable. This study focuses on an alternative electrochemical polishing method for Nb, using a novel ionic liquid solution containing choline chloride, also known as Vitamin B 4 (VB 4 ). Potentiostatic electrochemical impedance spectroscopy (EIS) was also performed on the VB4-based system. Nb polished using the VB4-based method was found to have a final surface roughness comparable to that achieved via the acid-based method, as assessed by atomic force microscopy (AFM). These findings indicate that acid-free VB 4 -based electrochemical polishing of Nb represents a promising replacement for acid-based methods of SRF cavity preparation

  4. Circular motion and Polish Doughnuts in NUT spacetime

    Science.gov (United States)

    Jefremov, Paul I.

    The astrophysical relevance of the NUT spacetime(s) is a matter of debate due to pathological properties exhibited by this solution. However, if it is realised in nature, then we should look for the characteristic imprints of it on possible observations. One of the major sources of data on black hole astrophysics is the accretion process. Using a simple but fully analytical ``Polish Doughnuts'' model of accretion disk one gets both qualitative and quantitative differences from the Kerr spacetime produced by the presence of the gravitomagnetic charge. The present paper is based on our work Jefremov & Perlick (2016).

  5. Stress-induced light scattering method for the detection of latent flaws on fine polished glass substrates.

    Science.gov (United States)

    Sakata, Y; Sakai, K; Nonaka, K

    2014-08-01

    Fine polishing techniques, such as the chemical mechanical polishing treatment, are one of the most important technique to glass substrate manufacturing. Mechanical interaction in the form of friction occurs between the abrasive and the substrate surface during polishing, which may cause formation of latent flaws on the glass substrate surface. Fine polishing-induced latent flaws may become obvious during a subsequent cleaning process if glass surfaces are corroded away by chemical interaction with the cleaning liquid. Latent flaws thus reduce product yield. In general, non-destructive inspection techniques, such as the light-scattering methods, used to detect foreign matters on the glass substrate surface. However, it is difficult to detect latent flaws by these methods because the flaws remain closed. Authors propose a novel inspection technique for fine polishing-induced latent flaws by combining the light scattering method with stress effects, referred to as the stress-induced light scattering method (SILSM). SILSM is able to distinguish between latent flaws and particles on the surface. In this method, samples are deformed by an actuator and stress effects are induced around the tips of latent flaws. Due to the photoelastic effect, the refractive index of the material around the tip of a latent flaw is changed. This changed refractive index is in turn detected by a cooled charge-coupled device camera as variations in light scattering intensity. In this report, surface latent flaws are detected non-destructively by applying SILSM to glass substrates, and the utility of SILSM evaluated as a novel inspection technique.

  6. Time-dependent protection of ground and polished Cu using graphene film

    International Nuclear Information System (INIS)

    Dong, Yuhua; Liu, Qingqing; Zhou, Qiong

    2015-01-01

    Highlights: • Graphene was deposited on polished and ground Cu sheets by CVD. • Graphene films provide better protection to polished Cu for short time. • Multilayer graphene films provide better protection for short time. - Abstract: Graphene was deposited on Cu sheets with different morphologies by chemical vapor deposition. Scanning electron microscopy (SEM) analysis indicated that the morphology of the Cu sheet affected the graphene film properties. Electrochemical impedance spectroscopy measurements showed that the graphene film did not effectively protect Cu against corrosion because of prolonged exposure to ionic environments (3.5 wt.% NaCl solution). For short durations, graphene films provided better protection to polished Cu than ground Cu. Prolonged electrolyte immersion of graphene-coated Cu samples showed that the graphene film from the polished Cu surface was detached more easily than that from ground Cu

  7. Effect of polishing time and pressure on quality characteristics of rice grain

    International Nuclear Information System (INIS)

    Karim, M.A.

    2002-01-01

    Since totally unpolished rice has poor cooking quality, a certain amount of polishing for the removal of the outermost bran layers is essential. To determine the best combination of polishing time and pressure with respect to obtaining optimum quantity/quality, the brown rice (head grains) of a rice strain 4048 was milled for 10, 20, 30 and 40 seconds against 1,2,3,4 and 5 lbs pressure in a Burrows McGill Polisher No. 3. the quality characteristics studied were: total milling recovery, head rice recovery, whiteness of milled rice, protein content of grain, grain length and bursting upon cooking. Both, total milled rice and head rice reduced while the whiteness of milled rice improved significantly with an increase in polishing time and or pressure. The protein content decreased gradually with an increase in time and pressure of milling but the effect was non significant. The cooked grain length increased with an increase in milling degree up to a stage, beyond which it declined. The increase in bursting of grains on cooking. A combination of 20 seconds polishing time with 2 lbs pressure or 20 seconds polishing time with 3 lbs pressure was found to be the best combination of obtaining the optimum quality as well as the quality of milled rice.(author)

  8. The pH-dependent thermal and storage stability of glycosylated caseinomacropeptide

    DEFF Research Database (Denmark)

    Siegert, Nadja; Tolkach, Alexander; Kulozik, Ulrich

    2012-01-01

    of gCMP is strongly influenced by pH. When the pH was decreased from 7 to 2, reduced stability was found even at low heating temperatures. Minimal destabilisation effects were found at neutral pH. Similar observations were found during storage of gCMP. Neu5Ac was released after six days of storage...... manufacturing gCMP can be modified due to processing. Processing conditions, which influence the degree of glycosylation of gCMP lead to alterations of bioactivity and techno-functional properties of gCMP and accordingly gCMP-containing products. Hence, gCMP was studied for its glycan stability during heat......, with a maximum release of 30% at pH 2. Acidic pH conditions were responsible for the hydrolysis of the glycans from the peptide backbone during heat treatment and storage....

  9. Mathematical model of temperature field distribution in thin plates during polishing with a free abrasive

    Directory of Open Access Journals (Sweden)

    Avilov Alex

    2017-01-01

    Full Text Available The purpose of this paper is to estimate the dynamic characteristics of the heating process of thin plates during polishing with a free abrasive. A mathematical model of the temperature field distribution in space and time according to the plate thickness is based on Lagrange equation of the second kind in the thermodynamics of irreversible processes (variation principle Bio. The research results of thermo elasticity of thin plates (membranes will allow to correct the modes of polishing with a free abrasive to receive the exact reflecting surfaces of satellites reflector, to increase temperature stability and the ability of radio signal reflection, satellite precision guidance. Calculations of temperature fields in thin plates of different thicknesses (membranes is held in the Excel, a graphical characteristics of temperature fields in thin plates (membranes show non-linearity of temperature distribution according to the thickness of thin plates (membranes.

  10. How to Investigate Polish Clusters’ Attractiveness for Inward FDI? Addressing Ambiguity Problem

    Directory of Open Access Journals (Sweden)

    Götz Marta

    2014-09-01

    Full Text Available The aim of the paper is to assess whether, and in what fashion, managers of Polish cluster organizations perceive the attractiveness of foreign direct investment in Polish clusters This research is exploratory and qualitative in nature. The complex nature of Polish clusters, which can benefit from and be competitively challenged by, FDI are identified and a conceptual framework for assessing that nature is proposed; specifically, research using the grounded theory method (GTM.

  11. Multi-objective optimization of circular magnetic abrasive polishing of SUS304 and Cu materials

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, NhatTan; Yin, ShaoHui; Chen, FengJun; Yin, HanFeng [Hunan University, Changsha (China); Pham, VanThoan [Hanoi University, Hanoi (Viet Nam); Tran, TrongNhan [Industrial University of Ho Chi Minh City, HCM City (Viet Nam)

    2016-06-15

    In this paper, a Multi-objective particle swarm optimization algorithm (MOPSOA) is applied to optimize surface roughness of workpiece after circular magnetic abrasive polishing. The most important parameters of polishing model, namely current, gap between pole and workpiece, spindle speed and polishing time, were considered in this approach. The objective functions of the MOPSOA depend on the quality of surface roughness of polishing materials with both simultaneous surfaces (Ra1, Ra2), which are determined by means of experimental approach with the aid of circular magnetic field. Finally, the effectiveness of the approach is compared between the optimal results with the experimental data. The results show that the new proposed polishing optimization method is more feasible.

  12. Etymology in the Polish Academy of Sciences Great Dictionary of ...

    African Journals Online (AJOL)

    The article offers an insight into etymological information provided in the Polish Academy of Sciences Great Dictionary of Polish (Pol. Wielki słownik języka polskiego PAN, WSJP PAN). The dictionary and the rules of producing the entries are briefly presented. These rules influence the way of working on etymology within ...

  13. Antecedents of Accelerated Internationalisation of Polish and Czech Small and Medium-Sized Enterprises

    Directory of Open Access Journals (Sweden)

    Izabela Kowalik

    2017-09-01

    Full Text Available Objective: The study goal was the investigation of relationships between accelerated internationalisation descriptors and antecedent firm- and entrepreneur-related factors in Polish and Czech SMEs. Research Design & Methods: In order to compare Polish and Czech companies, the data collected in two independent studies were used. The study of 233 Polish companies was conducted with the use of CATI method in 2014; 108 Czech companies were surveyed in 2013/2014 with the use of CAWI method. In both samples half of the surveyed SME-exporters were conforming to the accelerated internationalisation criteria. Findings: In both samples the “global vision” elements and company internationalisation scale and speed were interrelated. In the Polish companies this relationship was moderated by company size. There was the lack of strong positive relationships between innovativeness and internationalisation speed and scale in both samples. Implications & Recommendations:The managerial mindset has a decisive role for accelerated internationalisation in both Czech and Polish SMEs. The innovativeness of offering is not indispensable for fast foreign expansion. Larger companies may expand abroad faster if they have internationally oriented managers. Contribution & Value Added:This work addresses a research gap concerning idiosyncrasies of internationalisation antecedents in Polish and Czech enterprises. As the results show, there is no common internationalisation pattern for Polish and Czech SMEs.

  14. Effect of grinding and polishing on roughness and strength of zirconia.

    Science.gov (United States)

    Khayat, Waad; Chebib, Najla; Finkelman, Matthew; Khayat, Samer; Ali, Ala

    2018-04-01

    The clinical applications of high-translucency monolithic zirconia restorations have increased. Chairside and laboratory adjustments of these restorations are inevitable, which may lead to increased roughness and reduced strength. The influence of grinding and polishing on high-translucency zirconia has not been investigated. The purpose of this in vitro study was to compare the roughness averages (Ra) of ground and polished zirconia and investigate whether roughness influenced strength after aging. High-translucency zirconia disks were milled, sintered, and glazed according to the manufacturer's recommendations. Specimens were randomized to 4 equal groups. Group G received only grinding; groups GPB and GPK received grinding and polishing with different polishing systems; and group C was the (unground) control group. All specimens were subjected to hydrothermal aging in an autoclave at 134°C at 200 kPa for 3 hours. Roughness average was measured using a 3-dimensional (3D) optical interferometer at baseline (Ra1), after grinding and polishing (Ra2), and after aging (Ra3). A biaxial flexural strength test was performed at a rate of 0.5 mm/min. Statistical analyses were performed using commercial software (α=.05). Group G showed a significantly higher mean value of Ra3 (1.96 ±0.32 μm) than polished and glazed groups (P.05). Compared with baseline, the roughness of groups G and GPB increased significantly after surface treatments and after aging, whereas aging did not significantly influence the roughness of groups GPK or C. Group G showed the lowest mean value of biaxial flexural strength (879.01 ±157.99 MPa), and the highest value was achieved by group C (962.40 ±113.84 MPa); no statistically significant differences were found among groups (P>.05). Additionally, no significant correlation was detected between the Ra and flexural strength of zirconia. Grinding increased the roughness of zirconia restorations, whereas proper polishing resulted in smoothness

  15. Surface changes of metal alloys and high-strength ceramics after ultrasonic scaling and intraoral polishing.

    Science.gov (United States)

    Yoon, Hyung-In; Noh, Hyo-Mi; Park, Eun-Jin

    2017-06-01

    This study was to evaluate the effect of repeated ultrasonic scaling and surface polishing with intraoral polishing kits on the surface roughness of three different restorative materials. A total of 15 identical discs were fabricated with three different materials. The ultrasonic scaling was conducted for 20 seconds on the test surfaces. Subsequently, a multi-step polishing with recommended intraoral polishing kit was performed for 30 seconds. The 3D profiler and scanning electron microscopy were used to investigate surface integrity before scaling (pristine), after scaling, and after surface polishing for each material. Non-parametric Friedman and Wilcoxon signed rank sum tests were employed to statistically evaluate surface roughness changes of the pristine, scaled, and polished specimens. The level of significance was set at 0.05. Surface roughness values before scaling (pristine), after scaling, and polishing of the metal alloys were 3.02±0.34 µm, 2.44±0.72 µm, and 3.49±0.72 µm, respectively. Surface roughness of lithium disilicate increased from 2.35±1.05 µm (pristine) to 28.54±9.64 µm (scaling), and further increased after polishing (56.66±9.12 µm, P scaling (from 1.65±0.42 µm to 101.37±18.75 µm), while its surface roughness decreased after polishing (29.57±18.86 µm, P scaling significantly changed the surface integrities of lithium disilicate and zirconia. Surface polishing with multi-step intraoral kit after repeated scaling was only effective for the zirconia, while it was not for lithium disilicate.

  16. Emissions from the Polish power industry

    International Nuclear Information System (INIS)

    Uliasz-Bochenczyk, Alicja; Mokrzycki, Eugeniusz

    2007-01-01

    Poland is a country where power and heat energy production is based on conventional fuel combustion, above all hard coal and lignite. In power plants and combined heat and power plants, fossil fuel combustion results in emitting to the atmosphere first of all SO 2 , NO x , CO, particulate matter, greenhouse gases for instance N 2 O and CO 2 . For many years the Polish power industry has tried to reduce the emission of air contaminants to the atmosphere. The reduction is feasible on account of various methods of emission reduction, which have been advanced and applied for many years. The paper presents conventional fuels used in the Polish professional power industry, as well as the principal emission types occurring there for the last 10 years and the undertaken reduction measures

  17. Social Interest in The Polish Doctrine of Monument Preservation

    Science.gov (United States)

    Antoszczyszyn, Marek

    2017-10-01

    The paper discusses a controversy surrounding the latest amendment to the text of the Polish Parliament Act Conservation and the Care of Monuments (2015). It is a common dictum that any edifice cannot exist without good foundations. In this particular case of the mentioned above Act, definition of monument appears to be such a basis. A social interest is one of the constituent elements of the definition mentioned above. In the first part of the paper the notion of the social interest expression has been discussed, particularly from national and international points of view. The second part of the paper comprises some examples from the Polish monument preservation experience with the use of comparison method supported by case study. Only three big Polish cities: Warsaw, Wroclaw and Szczecin are involved in the presented case study but it must be emphasized that many other places on Polish territory experienced the same. Basing on the faith of these cities, the results and discussion chapter proves fundamental discrepancy in social interest notion between national and international scopes using some analytical methods. Finally, in the discussion chapter some proposals for the future amendment of monument definition in the Act of Conservation and the Care of Monuments have been provided.

  18. Scope of Nursing Care in Polish Intensive Care Units

    Directory of Open Access Journals (Sweden)

    Mariusz Wysokiński

    2013-01-01

    Full Text Available Introduction. The TISS-28 scale, which may be used for nursing staff scheduling in ICU, does not reflect the complete scope of nursing resulting from varied cultural and organizational conditions of individual systems of health care. Aim. The objective of the study was an attempt to provide an answer to the question what scope of nursing care provided by Polish nurses in ICU does the TISS-28 scale reflect? Material and Methods. The methods of working time measurement were used in the study. For the needs of the study, 252 hours of continuous observation (day-long observation and 3.697 time-schedule measurements were carried out. Results. The total nursing time was 4125.79 min. (68.76 hours, that is, 60.15% of the total working time of Polish nurses during the period analyzed. Based on the median test, the difference was observed on the level of χ2=16945.8, P<0.001 between the nurses’ workload resulting from performance of activities qualified into the TISS-28 scale and load resulting from performance of interventions within the scopes of care not considered in this scale in Polish ICUs. Conclusions. The original version of the TISS-28 scale does not fully reflect the workload among Polish nurses employed in ICUs.

  19. Polish Qualitative Sociology. Insight into the future of postdisciplinary research

    OpenAIRE

    Konecki, Krzysztof

    2014-01-01

    The paper desctibes the definitions of following concepts: multidisiplinarity, interdisciplinarity, transdysciplinarity, postdisciplinarity. MOreover it discuss the meanings of a concept of discipline. It describes the place of the Polish qualitative sociology in the context of postdisciplinary research. The main question of paper is: Does the POlish Qualitative Sociology has entered the postdisciplinary phase of research? DGS, UL Krzysztof Konecki

  20. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  1. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.; Holdener, F.R.; Heck, J.L. Jr.

    1994-04-01

    New machining and polishing techniques have been developed for large scintillating crystal arrays such as the Barium Fluoride Electromagnetic Calorimeter for the GEM Detector at SSCL, the Crystal Clear Collaboration's cerium fluoride or lead tungstenate calorimeter at the proposed LHC and CERN, the PHENIX Detector at RHIC (barium fluoride), and the cesium iodide Calorimeter for the BaBar Detector at PEP-2 B Factory at SLAC. The machining and polishing methods to be presented in this paper provide crystalline surfaces without sub-surface damage or deformation as verified by Rutherford Back-scattering (RBS) analysis. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large barium fluoride crystal samples. Mass production techniques have also been developed for machining the proper angled surfaces and polishing up to five 50 cm long crystals at one time. These techniques utilize kinematic mount technology developed at LLNL to allow precision machining and polishing of complex surfaces. They will present this technology along with detailed surface studies of barium fluoride and cerium fluoride crystals polished with this technique

  2. Benefits and costs of IFRS implementation in the opinion of Polish certified auditors

    Directory of Open Access Journals (Sweden)

    Anna Karmańska

    2017-12-01

    Full Text Available The article presents the results of a survey conducted among a carefully selected group of Polish certified auditors. The purpose of the study was to determine whether auditors, during the audit of financial state- ments, perceive certain costs and benefits resulting from the fact that the audited statements were pre- pared in accordance with IFRS. This survey was undertaken to demonstrate that the cost-benefit analysis of IFRS, after more than a decade of their use in Polish practice, could provide important observations for future legislative changes in this area. The study shows that, thanks to the implementation of IFRSs, auditors gain the benefit of lower audit workloads. At the same time, however, they identify six reasons why the audit process is prolonged, requiring special organization, prior special preparation and, as a result, higher auditing costs

  3. Effect of one-step polishing system on the color stability of nanocomposites.

    Science.gov (United States)

    Alawjali, S S; Lui, J L

    2013-08-01

    This study was to compare the effect of three different one-step polishing systems on the color stability of three different types of nanocomposites after immersion in coffee for one day and seven days and determine which nanocomposite material has the best color stability following polishing with each of the one-step polishing system. The nanocomposites tested were Tetric EvoCeram, Grandio and Herculite Précis. A total of 120 discs (40/nanocomposite, 8mm×2mm) were fabricated. Ten specimens for each nanocomposite cured under Mylar strips served as the control. The other specimens were polished with OptraPol, OneGloss and Occlubrush immersed in coffee (Nescafé) up to seven days. Color measurements were made with a spectrophotometer at baseline and after one and seven days. Two way repeated measure ANOVA, two way ANOVA and Bonferroni tests were used for statistical analyses (P<0.05). The immersion time was a significant factor in the discoloration of the nanocomposites. The effect of three one-step polishing systems on the color stability was also significant. The color change values of the materials cured against Mylar strips were the greatest. The lowest mean color change values were from the Occlubrush polished groups. The effect of the three different types of nanocomposite on the color change was significant. The highest color change values were with Tetric EvoCeram groups. The lowest color change values were with Herculite Précis groups. The color change of nanocomposite resins is affected by the type of composite, polishing procedure and the period of immersion in the staining agent. Copyright © 2012 Elsevier Ltd. All rights reserved.

  4. Evaluation of one-step micro polishers for residual resin removal after debonding on fluorosed teeth

    Directory of Open Access Journals (Sweden)

    Padmalatha Challa

    2014-01-01

    Full Text Available Aim and objectives: To evaluate the effectiveness of one step micro polishers for residual resin removal on fluorosed teeth using scanning electron microscope (SEM. Methods and Material: 55 teeth with mild to moderate fluorosis were selected with five teeth as control. Metal brackets were bonded onto 50 teeth which were divided into 5 groups. The finishing and polishing methods which were tested include tungsten carbide burs (TCB, multistep finishing system (Sof-Lex, one step polishers (PoGo and combination of TCB with multistep and one step polishing systems. After resin removal, all the samples were examined under SEM for assessment of the enamel surface. Results: The enamel surface was closest to untouched enamel in samples finished with the PoGo one step polishers followed by Sof-Lex multistep finishing system. However, they took the longest time to finish. TCB required the shortest time for residual resin removal. Conclusions: All polishing systems produce a certain degree of damage to the enamel surface with the smoothest surface being produced by one step polishers on fluorosed teeth.

  5. CHANGES IN THE LEVEL AND STRUCTURE OF UTILISATION OF SERVICES IN POLISH AND GERMAN AGRICULTURE IN 1998-2012

    Directory of Open Access Journals (Sweden)

    Małgorzata Kołodziejczak

    2014-03-01

    Full Text Available The aim of the paper was to present the differences in the level and structure of utilisation of services in Polish and German agriculture. An attempt to define the factors influencing these values was also done. The research covered the share of agricultural services, veterinary expenses and financial intermediation services in intermediate consumption, the value of the studied services per 1 hectare of agricultural land, 1 AWU or 1 DJP, as well as services absorptivity of agricultural production. It was proved that although a significant difference between the role of these services in Poland and Germany still exists, in many cases in 2012 it was not so considerable as in 1998. It can be also assumed that further absorption of funds from the European Union will stimulate investment processes in Polish agriculture, and progressive improvement in utilisation of services by Polish agriculture.

  6. Infestation of Polish Agricultural Soils by Plasmodiophora Brassicae Along The Polish-Ukrainian Border

    Directory of Open Access Journals (Sweden)

    Jędryczka Małgorzata

    2014-07-01

    Full Text Available There has been a rapid, worldwide increase in oilseed rape production that has resulted in enormous intensification of oilseed rape cultivation, leading to tight rotations. This in turn, has caused an accumulation of pests as well as foliar and soil-borne diseases. Recently, clubroot has become one of the biggest concerns of oilseed rape growers. Clubroot is caused by the soil-borne protist Plasmodiophora brassicae Woronin. The pathogen may be present in groundwater, lakes, and irrigation water used in sprinkling systems. It can be easily transmitted from one field to another not only by water, but also by soil particles and dust transmitted by wind and on machinery. The aim of our overall study was to check for P. brassicae infestation of Polish agricultural soils. This paper presents the 2012 results of a study performed along the Polish-Ukrainian border in two provinces: Lublin (Lubelskie Voivodeship and the Carpathian Foothills (Podkarpackie Voivodeship, in south-east Poland. Monitoring was done in 11 counties, including nine rural and two municipal ones. In total, 40 samples were collected, out of which 36 were collected from fields located in rural areas and four from municipal areas, with two per municipal region. Each sample was collected at 8-10 sites per field, using a soil auger. The biotest to detect the presence of P. brassicae was done under greenhouse conditions using seedlings of the susceptible Brassicas: B. rapa ssp. pekinensis and the Polish variety of oilseed rape B. napus cv. Monolit. Susceptible plants grown in heavily infested soils produced galls on their roots. A county was regarded as free from the pathogen, if none of the bait plants became infected. The pathogen was found in three out of 40 fields monitored (7.5% in the Carpathian Foothill region. The fields were located in two rural counties. The pathogen was not found in Lublin province, and was also not detected in any of the municipal counties. The detection with

  7. Analysis the complex interaction among flexible nanoparticles and materials surface in the mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Han Xuesong, E-mail: hanxuesongphd@yahoo.com.cn [School of Mechanical Engineering, Tianjin University, 300072 (China); Gan, Yong X. [Department of Mechanical, Industrial and Manufacturing Engineering, University of Toledo, OH 43606 (United States)

    2011-02-01

    Mechanical polishing (MP), being the important technique of realizing the surface planarization, has already been widely applied in the area of microelectronic manufacturing and computer manufacturing technology. The surface planarization in the MP is mainly realized by mechanical process which depended on the microdynamic behavior of nanoparticle. The complex multibody interaction among nanoparticles and materials surface is different from interaction in the macroscopic multibody system which makes the traditional classical materials machining theory cannot accurately uncover the mystery of the surface generation in the MP. Large-scale classical molecular dynamic (MD) simulation of interaction among nanoparticles and solid surface has been carried out to investigate the physical essence of surface planarization. The particles with small impact angle can generate more uniform global planarization surface but the materials removal rate is lower. The shear interaction between particle and substrate may induce large friction torque and lead to the rotation of particle. The translation plus rotation makes the nanoparticle behaved like micro-milling tool. The results show that the nanoparticles may aggregrate together and form larger cluster thus deteriorate surface the quality. This MD simulation results illuminate that the f inal planarized surface can only be acquired by synergic behavior of all particles using various means such as cutting, impacting, scratching, indentation and so on.

  8. Long Distance Solidarity: Polish Public Opinion and the Boer War 1899–1902

    Directory of Open Access Journals (Sweden)

    Szlanta Piotr

    2017-06-01

    Full Text Available The bloody conflict which was taking place in South Africa in the years 1899-1902 was followed with a great interest by Polish public opinion. Its greatest part strongly sympathized with the Boer republics. Their burgers were idealized and presented by the Polish press as brave fighters for independence, who dared to stand up against the world empire to defend their rights while Great Britain was attributed full responsibility for the outbreak of the war. For many Poles the Boers personified the general idea of freedom fighters and symbolized all suppressed nations. Their sad fate seemed to be quite similar to the Polish one and this similarity was the main source of sympathy toward defenders of the Transvaal and Free Orange State. Voices of few Polish intellectuals, who called for a more objective and not so emotional view on the war, could not change the pro-Boers stance of the greatest part of Polish public opinion.

  9. [Validation of the Polish version of The Authentic Leadership Questionnaire for the of evaluation purpose of nursing management staff in national hospital wards].

    Science.gov (United States)

    Sierpińska, Lidia

    2013-09-01

    The Authentic Leadership Questionnaire (ALQ) is a standardized research instrument for the evaluation of individual elements of leader's conduct which contribute to the authentic leadership. The application of this questionnaire in Polish conditions required to carry out the validation process. The aim of the study was to evaluate of validity and reliability of the Polish version of the American research instrument for the needs of evaluation of authenticity of leadership of the nursing management in Polish hospitals. The study covered 286 nurses (143 head nurses and 143 of their subordinates) employed in 45 hospitals in Poland. Theoretical validity of the instrument was evaluated using Fisher's transformation (r-Person correlation coefficient), while the criterion validity of the ALQ was evaluated using rho-Spearman correlation coefficient and the BOHIPSZO questionnaire. The reliability of the ALQ was assessed by means of the Cronbach-alpha coefficient. The ALQ questionnaire applied for the evaluation of authenticity of leadership of the nursing management in Polish hospital wards shows an acceptable theoretical and criterion validity and reliability (Cronbach-alpha coefficient 0.80). The Polish version of the ALQ is valid and reliable, and may be applied in studies concerning the evaluation of authenticity of leadership of the nursing management in Polish hospital wards.

  10. Efficacy of polishing kits on the surface roughness and color stability ...

    African Journals Online (AJOL)

    Objective: Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Materials and Methods: Thirty specimens were made for each composite ...

  11. Financial Performance in the Light of Corporate Governance in Polish Family Businesses

    Directory of Open Access Journals (Sweden)

    Socha Błażej

    2017-12-01

    Full Text Available The article presents a view (on the basis of theoretical and empirical analysis of corporate governance models used in Polish family businesses through financial performance. The empirical analysis covered a sample of 24,000 Polish family businesses in the period of 2008–2013. The use of linear regression has allowed the authors to verify the hypothesis concerning the occurrence of differences in profitability ratios in groups of family businesses using variant management models and allowed verifying the relationship between the degree of control and involvement of the owners in management and financial performance. The received results, though inconclusive, indicate that the involvement of the owner in the governance process can affect the financial aspect of a business. The prepared empirical analysis and conclusions of the article contribute to a better understanding of the measures taken on management and control decisions; what is more, they can provide guidance to the owners of family businesses in shaping the corporate governance model.

  12. Two planar polishing methods by using FIB technique: Toward ultimate top-down delayering for failure analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wang, D. D., E-mail: dandan.wang@globalfoundries.com; Huang, Y. M.; Tan, P. K.; Feng, H.; Low, G. R.; Yap, H. H.; He, R.; Tan, H.; Dawood, M. K.; Zhao, Y. Z.; Lam, J.; Mai, Z. H. [GLOBALFOUNDRIES Singapore Pte. Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)

    2015-12-15

    Presently two major limiting factors are hindering the failure analysis (FA) development during the semiconductor manufacturing process and technology improvement: (1) Impossibility of manual polishing on the edge dies due to the amenability of layer peeling off; (2) Abundant demand of multi-locations FA, especially focusing different levels of layers simultaneously. Aiming at resolving these limitations, here we demonstrate two unique high precision polishing methods by using focused ion beam (FIB) technique. One is the vertical top down chemical etching at the aimed location; the other one is the planar top down slicing. Using the FIB for delayering not only solves these problems mentioned above, but also offers significant advantages over physical planar polishing methods such as: (1) having a better control of the delayering progress, (2) enabling precisely milling at a region of interest, (3) providing the prevention of over-delayering and (4) possessing capability to capture images at the region of interest simultaneously and cut into the die directly to expose the exact failure without damaging other sections of the specimen.

  13. Optimizing Centrifugal Barrel Polishing For Mirror Finish SRF Cavity And RF Tests At Jefferson Lab

    International Nuclear Information System (INIS)

    Palczewski, Ari; Geng, Rongli; Tian, Hui

    2012-01-01

    We performed Centrifugal Barrel Polishing (CBP) on a 1.3 GHz fine grain TESLA single cell cavity and 1.5 GHz fine grain CEBAF high gradient superconducting radio frequency (SRF) single cell cavity following a modified recipe originally developed at Fermi National Accelerator Lab (FNAL). We were able to obtain a mirror like surface similar to that obtained at FNAL, while reducing the number of CBP steps and total processing time. This paper will discuss the change in surface and subsequent cavity performance post CBP, after a 800 C bake (no pre-bake chemistry) and minimal controlled electro-polishing (10 micron). In addition to Q vs. E ACC thermometry mapping with preheating characteristics and optical inspection of the cavity after CBP will also be shown.

  14. Family Enterprises in Polish Consumers' Mindset in the Light of International Tendencies

    Directory of Open Access Journals (Sweden)

    Joanna Bednarz

    2017-07-01

    Full Text Available Aim/purpose - The main aim of the paper is to fill in the gap in the existing literature as well as to propose a set of specific family enterprises' (FE attributes concerning certain socioeconomic conditions in Poland. The objectives of the article are interrelated with two theses. H1 assumes that in current literature there is a little attention paid to the demand side of the market, particularly to the individual consumers (including young buyers and their attitudes toward FEs. H2 indicates that the perception of Polish FEs changes considerably reflecting the international trends. Design/methodology/approach - The authors studied a consolidated profound review of recent international and Polish publications on FEs. The expert interviews and in-depth individual interviews were conducted. Both empirical studies brought a preliminary insight into overall consumer perception of the FEs in Poland. Findings - For several years Polish buyers have been dynamically changing their mindset, breaking the stereotype of FEs' owners. Nowadays, tradition and quality are two attributes which are associated closely with Polish FEs. Customers indicate that FEs are trustworthy, responsible, solid and dependable. They also highlight the ethnocentric attitude toward these companies - Polishness. All these attributes are evidently appreciated. FEs are correlated with traditional industries and products, especially with groceries, cosmetics, clothes, shoes, jewelry, furniture, windows and doors. Research implications/limitations - The identity of FEs is not always communicated properly. Consumers often cannot ascertain a provenance of their offer as many FEs do not emphasize their family identity. Additionally, on the Polish market, consumers are occasionally misled considering the family ownership of a business. Originality/value/contribution - The studies indicate a set of attributes typical of Polish FEs underpinning their strong identity which should be

  15. Polishers around the globe: an overview on the market of large astronomical mirrors

    Science.gov (United States)

    Döhring, Thorsten

    2014-07-01

    Astronomical mirrors are key elements in modern optical telescopes, their dimensions are usually large and their specifications are demanding. Only a limited number of skilled companies respectively institutions around the world are able to master the challenge to polish an individual astronomical mirror, especially in dimensions above one meter. This paper presents an overview on the corresponding market including a listing of polishers around the globe. Therefore valuable information is provided to the astronomical community: Polishers may use the information as a global competitor database, astronomers and project managers may get more transparency on potential suppliers, and suppliers of polishing equipment may learn about unknown potential customers in other parts of the world. An evaluation of the historical market demand on large monolithic astronomical mirrors is presented. It concluded that this is still a niche market with a typical mean rate of 1-2 mirrors per year. Polishing of such mirrors is an enabling technology with impact on the development of technical know-how, public relation, visibility and reputation of the supplier. Within a corresponding technical discussion different polishing technologies are described. In addition it is demonstrated that strategic aspects and political considerations are influencing the selection of the optical finisher.

  16. Heavy Metal Contamination of Popular Nail Polishes in Iran

    Directory of Open Access Journals (Sweden)

    Golnaz Karimi

    2015-06-01

    Full Text Available Background: Toxic and hazardous heavy metals like arsenic, lead, mercury, zinc, chromium and iron are found in a variety of personal care products, e.g. lipstick, whitening toothpaste, eyeliner and nail color. The nails absorb the pigments of nail polishes and vaporized or soluble metals can easily pass it. The goal of this survey was to assess whether the different colors of nail polishes comply with maximum concentrations of heavy metals in the EPA’s guidelines. Methods: 150 samples of different popular brands of nail polishes in 13 colors (yellow, beige, silver, pink, white, violet, brown, golden, green, black, colorless, red and blue were randomly purchased from beauty shops in Tehran City, Iran, in 2014. Microwave digestion EPA method 3051 was used by a microwave oven to determine the amount of 5 heavy metals; Nickel, Chromium, Lead, Arsenic and Cadmium. One-way ANOVA, Two-way ANOVA, hierarchical cluster, and principal component analyses were applied by Statistica 7.0 software. Results: The concentrations of chrome, lead, nickel and arsenic showed significant differences between the colors (p<0.05. In all studied samples, the level of cadmium was beyond the safe maximum permissible limit (MPS, but no significance difference in the cadmium content was identified. Conclusion: Due to the high concentrations of toxic metals in many brands of nail polishes, meticulous quality control is recommended for these beauty products.

  17. Holocaust Education in Polish Public Schools: Between Remembrance and Civic Education

    Science.gov (United States)

    Milerski, Boguslaw

    2010-01-01

    This article analyzes the historical and political context of Holocaust education, and its implementation in Polish schools. Perceptions of the Holocaust continue to change, influenced by Poland's social and political situation. The Polish historical context is quite specific; it includes the long history of Poles and Jews as neighbors, with local…

  18. Polish Standard of the Technical Safety of Transmission Gas Pipelines

    International Nuclear Information System (INIS)

    Tkacz, A.J.

    2006-01-01

    The document is presenting the idea of the CNGI Norm called The Polish Standard of the Technical Safety of Transmission Gas Pipelines and the way of using it by companies associated in the Chamber of the Natural Gas Industry in the business activity. It will be applied to improve the quality and reliability of gas transmission after full opening of Polish natural gas market. (author)

  19. Abstracts Book of 3. All-Polish Conference on Radiochemistry and Nuclear Chemistry

    International Nuclear Information System (INIS)

    2001-01-01

    The development of radiochemistry and nuclear chemistry in Poland have been presented during the 3. All-Polish Conference on Radiochemistry and Nuclear Chemistry held in Kazimierz Dolny in May 2001. The broad range of problems connected with radiochemistry and nuclear chemistry application in environmental protection and quality control, nuclear medicine and radiation protection, radioactive waste processing and many other scientific and everyday problems solution have been extensively presented and discussed

  20. Reusing Ceramic Tile Polishing Waste In Paving Block Manufacturing

    OpenAIRE

    Giordano Penteado; Carmenlucia Santos; de Carvalho; Eduardo Viviani; Cecche Lintz; Rosa Cristina

    2016-01-01

    Ceramic companies worldwide produce large amounts of polishing tile waste, which are piled up in the open air or disposed of in landfills. These wastes have such characteristics that make them potential substitutes for cement and sand in the manufacturing of concrete products. This paper investigates the use of ceramic tile polishing waste as a partial substitute for cement and sand in the manufacturer of concrete paving blocks. A concrete mix design was defined and then the sand was replaced...

  1. Life cycle assessment study on polishing units for use of treated wastewater in agricultural reuse.

    Science.gov (United States)

    Büyükkamacı, Nurdan; Karaca, Gökçe

    2017-12-01

    A life cycle assessment (LCA) approach was used in the assessment of environmental impacts of some polishing units for reuse of wastewater treatment plant effluents in agricultural irrigation. These alternative polishing units were assessed: (1) microfiltration and ultraviolet (UV) disinfection, (2) cartridge filter and ultrafiltration (UF), and (3) just UV disinfection. Two different energy sources, electric grid mix and natural gas, were considered to assess the environmental impacts of them. Afterwards, the effluent of each case was evaluated against the criteria required for irrigation of sensitive crops corresponding to Turkey regulations. Evaluation of environmental impacts was carried out with GaBi 6.1 LCA software. The overall conclusion of this study is that higher electricity consumption causes higher environmental effects. The results of the study revealed that cartridge filter and UF in combination with electric grid mix has the largest impact on the environment for almost all impact categories. In general, the most environmentally friendly solution is UV disinfection. The study revealed environmental impacts for three alternatives drawing attention to the importance of the choice of the most appropriate polishing processes and energy sources for reuse applications.

  2. Slab-coupled optical sensor fabrication using side-polished Panda fibers.

    Science.gov (United States)

    King, Rex; Seng, Frederick; Stan, Nikola; Cuzner, Kevin; Josephson, Chad; Selfridge, Richard; Schultz, Stephen

    2016-11-01

    A new device structure used for slab-coupled optical sensor (SCOS) technology was developed to fabricate electric field sensors. This new device structure replaces the D-fiber used in traditional SCOS technology with a side-polished Panda fiber. Unlike the D-fiber SCOS, the Panda fiber SCOS is made from commercially available materials and is simpler to fabricate. The Panda SCOS interfaces easier with lab equipment and exhibits ∼3  dB less loss at link points than the D-fiber SCOS. The optical system for the D-fiber is bandwidth limited by a transimpedance amplifier (TIA) used to amplify to the electric signal. The Panda SCOS exhibits less loss than the D-fiber and, as a result, does not require as high a gain setting on the TIA, which results in an overall higher bandwidth range. Results show that the Panda sensor also achieves comparable sensitivity results to the D-fiber SCOS. Although the Panda SCOS is not as sensitive as other side-polished fiber electric field sensors, it can be fabricated much easier because the fabrication process does not require special alignment techniques, and it is made from commercially available materials.

  3. Internalisation of external costs in the Polish power generation sector: A partial equilibrium model

    International Nuclear Information System (INIS)

    Kudelko, Mariusz

    2006-01-01

    This paper presents a methodical framework, which is the basis for the economic analysis of the mid-term planning of development of the Polish energy system. The description of the partial equilibrium model and its results are demonstrated for different scenarios applied. The model predicts the generation, investment and pricing of mid-term decisions that refer to the Polish electricity and heat markets. The current structure of the Polish energy sector is characterised by interactions between the supply and demand sides of the energy sector. The supply side regards possibilities to deliver fuels from domestic and import sources and their conversion through transformation processes. Public power plants, public CHP plants, industry CHP plants and municipal heat plants represent the main producers of energy in Poland. Demand is characterised by the major energy consumers, i.e. industry and construction, transport, agriculture, trade and services, individual consumers and export. The relationships between the domestic electricity and heat markets are modelled taking into account external costs estimates. The volume and structure of energy production, electricity and heat prices, emissions, external costs and social welfare of different scenarios are presented. Results of the model demonstrate that the internalisation of external costs through the increase in energy prices implies significant improvement in social welfare

  4. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    OpenAIRE

    Gerda Vaitkūnaitė; Vladislav Markovič; Olegas Černašėjus

    2015-01-01

    The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS) method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treat...

  5. Polish credit institutions within the European Union: a cross-country survey

    Directory of Open Access Journals (Sweden)

    Mariusz Dybał

    2011-06-01

    Full Text Available The paper presents an analysis of the Polish financial system, with regard to both the number of financial institutions and their assets over the last two decades. Data on the structure of household assets are also presented. According to the study, banks are the most important institutions in the Polish financial system. Analyzed in order to compare Polish credit institutions with all other members of the European Union were total assets of credit institutions, assets of the 25 largest banks in the European Union, as well as in Central and East Europe, share of the five largest credit institutions in total assets (CR5, asset share of credit institutions with majority foreign equity ownership, number of credit institutions, number of local units (branches, number of residents per credit institution local unit, number of employees of credit institutions, assets of credit institutions per employee and GDP per capita in PPS. The data demonstrate that over the past decade Polish credit institutions have largely strengthened their position within the European Union.

  6. The Factor Structure of the Polish-Language Version of the Romantic Beliefs Scale

    Directory of Open Access Journals (Sweden)

    Katarzyna Adamczyk

    2014-07-01

    Full Text Available The aim of the present study was to investigate the factor structure and psychometric properties of the Polish adaptation of Romantic Beliefs Scale (RBS; Sprecher & Metts, 1989. In a sample of 414 Polish university students aged 19-25 (227 females and 187 males, the factor structure of the original English version was confirmed for the four subscales: Love Finds a Way, One and Only, Idealization, and Love at First Sight. The present study provides evidence that the 15-item version of the Polish adaptation of the (RBS possesses a factor structure and psychometric properties comparable to the English-language version of RBS. It was shown to be a reliable self-report measure for romantic beliefs within a sample of the Polish population. The development of a new Polish measure of romantic beliefs has provided further validation for the RBS, and provided evidence in support of the ideology of romanticism in various populations, and indicated the importance of differentiating between the different types of romantic beliefs.

  7. [Comparison of surface roughness of nanofilled and microhybrid composite resins after curing and polishing].

    Science.gov (United States)

    Jiang, Hong; Lv, Da; Liu, Kailei; Zhang, Weisheng; Yao, Yao; Liao, Chuhong

    2014-05-01

    To compare the surface roughness of nanofilled dental composite resin and microhybrid composite resins after curing and polishing. A nanofilled composite (Z350) and 4 microhybrid composites (P60, Z250, Spectrum, and AP-X) were fabricated from the lateral to the medial layers to prepare 8 mm×8 mm×5 mm cubical specimens. The 4 lateral surfaces of each specimens were polished with abrasive disks (Super-Snap). Profilometer was used to test the mean surface roughness (Ra) after polishing. P60 had the lowest Ra (0.125∓0.030 µm) followed by Z250 and Spectrum. The Ra of Z350 (0.205∓0.052 µm) was greater than that of the other 3 resins, and AP-X had the roughest surfaces. Under scanning electron microscope, the polished faces of P60 resin were characterized by minor, evenly distributed particles with fewer scratches; the polished faces of Z350 presented with scratches where defects of the filling material could be seen. The nanofilled composite Z350 has smooth surface after polishing by abrasive disks, but its smoothness remains inferior to that of other micro-hybrid composite resins.

  8. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  9. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  10. Original article Validation of the Polish version of the Collective Self-Esteem Scale

    Directory of Open Access Journals (Sweden)

    Róża Bazińska

    2015-07-01

    Full Text Available Background The aim of this article is to present research on the validity and reliability of the Collective Self-Esteem Scale (CSES for the Polish population. The CSES is a measure of individual differences in collective self-esteem, understood as the global evaluation of one’s own social (collective identity. Participants and procedure Participants from two samples (n = 466 and n = 1,009 completed a paper-pencil set of questionnaires which contained the CSES and the Rosenberg Self-Esteem Scale (RSES, and subsets of participants completed scales related to a sense of belonging, well-being and psychological distress (anxiety and depression. Results Like the original version, the Polish version of the CSES comprises 16 items which form the four dimensions of collective self-esteem: Public collective self-esteem, Private collective self-esteem, Membership esteem and Importance of Identity. The results confirm the four-factor structure of the Polish version of the CSES, support the whole Polish version of the CSES as well as its subscales, which represent satisfactory reliability and stability, and provide initial evidence of construct validity. Conclusions As the results of the study indicate, the Polish version of the CSES is a valid and reliable self-report measure for assessing the global self-esteem derived from membership of a group and has proved to be useful in the Polish context.

  11. Secure Software Configuration Management Processes for nuclear safety software development environment

    International Nuclear Information System (INIS)

    Chou, I.-Hsin

    2011-01-01

    Highlights: → The proposed method emphasizes platform-independent security processes. → A hybrid process based on the nuclear SCM and security regulations is proposed. → Detailed descriptions and Process Flow Diagram are useful for software developers. - Abstract: The main difference between nuclear and generic software is that the risk factor is infinitely greater in nuclear software - if there is a malfunction in the safety system, it can result in significant economic loss, physical damage or threat to human life. However, secure software development environment have often been ignored in the nuclear industry. In response to the terrorist attacks on September 11, 2001, the US Nuclear Regulatory Commission (USNRC) revised the Regulatory Guide (RG 1.152-2006) 'Criteria for use of computers in safety systems of nuclear power plants' to provide specific security guidance throughout the software development life cycle. Software Configuration Management (SCM) is an essential discipline in the software development environment. SCM involves identifying configuration items, controlling changes to those items, and maintaining integrity and traceability of them. For securing the nuclear safety software, this paper proposes a Secure SCM Processes (S 2 CMP) which infuses regulatory security requirements into proposed SCM processes. Furthermore, a Process Flow Diagram (PFD) is adopted to describe S 2 CMP, which is intended to enhance the communication between regulators and developers.

  12. Fabrication of nano-sized magnetic tunnel junctions using lift-off process assisted by atomic force probe tip.

    Science.gov (United States)

    Jung, Ku Youl; Min, Byoung-Chul; Ahn, Chiyui; Choi, Gyung-Min; Shin, Il-Jae; Park, Seung-Young; Rhie, Kungwon; Shin, Kyung-Ho

    2013-09-01

    We present a fabrication method for nano-scale magnetic tunnel junctions (MTJs), employing e-beam lithography and lift-off process assisted by the probe tip of atomic force microscope (AFM). It is challenging to fabricate nano-sized MTJs on small substrates because it is difficult to use chemical mechanical planarization (CMP) process. The AFM-assisted lift-off process enables us to fabricate nano-sized MTJs on small substrates (12.5 mm x 12.5 mm) without CMP process. The e-beam patterning has been done using bi-layer resist, the poly methyl methacrylate (PMMA)/ hydrogen silsesquioxane (HSQ). The PMMA/HSQ resist patterns are used for both the etch mask for ion milling and the self-aligned mask for top contact formation after passivation. The self-aligned mask buried inside a passivation oxide layer, is readily lifted-off by the force exerted by the probe tip. The nano-MTJs (160 nm x 90 nm) fabricated by this method show clear current-induced magnetization switching with a reasonable TMR and critical switching current density.

  13. The prevalence of burnout syndrome in Polish anaesthesiologists.

    Science.gov (United States)

    Misiołek, Aleksandra; Gorczyca, Piotr; Misiołek, Hanna; Gierlotka, Zbigniew

    2014-01-01

    Burnout syndrome is a psychological response to chronic work-related stress characterized by low enthusiasm towards the job, high psychological exhaustion, indolence and guilt. Being a medical doctor, both in Poland and in other countries, is one of the most stressful occupations and anaesthesiology is considered one of the most stressful specializations, which justify carrying out of the study on Polish anaesthesiologists. The aim of the study was to determine the prevalence of burnout syndrome in Polish anaesthesiologists Non-randomized cross-sectional study was carried out and data were gathered through a self-administrated questionnaire. The sample consisted of 373 Polish anaesthesiologists, 57.6% were women and 42.4% were men. A 66% response rate was achieved. The Burnout Syndrome was measured by the Spanish Burnout Inventory. The prevalence burnout risk was almost 70%. The percentage of participants who indicated very high levels of burnout was 18%; 5.9% of whom fell into profile 2 considered to be clinical. The instrument applied was reliable with Cronbach's alphas exceeding 0.70. The sample is characterized by high burnout risk with 5.9% of clinical cases. Participation in prevention programs is recommended.

  14. The effect of different polishing systems on surface roughness and gloss of various resin composites.

    Science.gov (United States)

    Da Costa, Juliana; Ferracane, Jack; Paravina, Rade D; Mazur, Rui Fernando; Roeder, Leslie

    2007-01-01

    The purpose of this in vitro study was to evaluate the surface finish and gloss of five direct resin composites polished with six polishing systems. One hundred and fifty disk-shaped composite specimens (D=10.0 mm, 2-mm-thick, N=30 per material) were made. One side of each specimen was finished with a 16-fluted carbide finishing bur and then polished. Five specimens of each resin composite were randomly assigned to one of the six polishing systems. The surface roughness and gloss were measured with a surface profilometer and a glossmeter. The results were analyzed by two-way analysis of variance and Tukey's t-test (pgloss values between the composites and the polishing systems (p gloss value was recorded for Supreme + Pogo; the lowest was recorded for Z100 + Jiffy. Pogo showed the highest gloss values for all composites. The nanofill (Supreme) and minifill (Esthet-X) composites presented a surface roughness comparable to a microfill (Durafill), independent of the polishing system used, and a gloss comparable to a microfill, when polished with a one-step system (Pogo). As compared with the multiple-step systems, the smoothest surfaces and the highest gloss values were achieved using the one-step system (Pogo) for all the evaluated composites.

  15. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  16. Numerical Simulation Analysis of Five-Step Variable-Diameter Pipe with Solid-Liquid Two-Phase Abrasive Flow Polishing

    Science.gov (United States)

    Li, Junye; Zhang, Hengfu; Wu, Guiling; Hu, Jinglei; Liu, Yang; Sun, Zhihui

    2018-01-01

    In many areas of precision machining abrasive flow polishing technology has an important role. In order to study the influence of abrasive flow on the polishing effect of variable diameter parts, the fifth step variable diameter tube was taken as the research object to analyze the dynamic pressure and turbulent kinetic energy distribution of inlet velocity on the fifth-order variable diameter tube influences. Through comparative analysis, the abrasive flow polished variable diameter pipe parts have very effective and significant polishing effect and the higher the inlet speed, the more significant the polishing effect.

  17. Anglo-Saxon experience in the implementation of correctional treatment and the Polish individual rehabilitation program

    Directory of Open Access Journals (Sweden)

    Maciej Bernasiewicz

    2012-12-01

    Full Text Available The authors cite American and British research on the effectiveness of correctional treatment. On that basis, they describe several crucial factors that affect the success of rehabilitation (e.g., educators’ skills, working with families of juvenile offenders. The article broadly discusses the specific juvenile residential treatment program that has been implemented in Florida. The conclusions of this program are drawn from the research conducted through interviews with juvenile offenders (young adults who have effectively completed the process of rehabilitation in correctional facilities. Furthermore, the authors discuss an individual program of rehabilitation (IPR implemented in Polish correctional facilities. Using the experience and the impact of correctional treatment in the West, it is proposed to introduce certain modifications in the planning and implementation of Polish correctional treatment programs (IPR s.

  18. Microstructure of pulsed-laser deposited PZT on polished and annealed MGO substrates

    NARCIS (Netherlands)

    King, S.L.; Coccia, L.G.; Gardeniers, Johannes G.E.; Boyd, I.W.

    1996-01-01

    Thin films of Lead-Zirconate-Titanate (PZT) have been grown by pulsed-laser-deposition (PLD) onto polished MgO substrates both with and without pre-annealing. The surface morphology of polished MgO substrates, which are widely used for deposition, is examined by AFM. Commercially available,

  19. Polish normalization of the Body Esteem Scale

    Directory of Open Access Journals (Sweden)

    Małgorzata Lipowska

    2014-02-01

    Full Text Available Background Physical attractiveness plays an important part in one’s social functioning. The interest in one’s own appearance have been documented as widespread among the female population, but over the recent years it is more and more often emphasized that concentrating on body appearance concerns men as well. Franzoi and Shields (1984 created the Body Esteem Scale which allows to qualify the subject’s attitude towards his or her own body. The aim of the study was to create a Polish version of the Body Esteem Scale along with the norms for age and sex clusters. Participants and procedure The normalization sample consisted of 4298 participants: 1865 women aged 16 to 80 (M = 29.92; SD = 12.85 and 2433 men aged 16 to 78 (M = 28.74; SD = 11.50. Education levels among the participants were also controlled for. In order to create a Polish version of the Body Esteem Scale, translation was adopted as the adaptation strategy. Like the original one, the Polish scale comprises 35 items grouped into three gender specific subscales. The subscales for women include Sexual Attractiveness, Weight Concern, and Physical Condition, whereas the body esteem of is examined with regards to Physical Attractiveness, Upper Body Strength, and Physical Condition. Results Reliability of subscales was high both for females (Cronbach’s alpha from 0.80 to 0.89 and males (Cronbach’s alpha from 0.85 to 0.88. The given coefficients of reliability cover the original division into subscales adopted by the authors of BES. Conclusions We confirmed high reliability of the Polish version of the Body Esteem Scale, thus we recommend it as a diagnostic tool. Created norms allowed to refer results obtained in the course of research carried out on people with various disorders (e.g. eating disorders or body dysmorphic disorder with population data for corresponding age brackets.

  20. [Józef Heller-one of organizers of Polish biochemistry in 1942-1973].

    Science.gov (United States)

    Zarebska, Zofia

    2011-01-01

    The article commemorates the activity of Józef Heller starting in 1921 with Jakub Parnas's group in Lvov which investigated the phosphorolysis of glycogen. The unknown events of His biography were disclosed, like military service in the Piłsudski's Legions at the rebirth of the Polish State and, subsequently, during the Nazi occupation of Poland--participation in the clandestine teaching of medical students. In the post-war times Józef Heller undertook teaching of medical students in Wrocław and next in Warsaw. In 1954 He begun to organize the Institute of Biochemistry and Biophysics of the Polish Academy of Sciences--it now continues its activity. Professor Heller initiated the publication in Poland of three major biochemical journals, including Postepy Biochemii (1954). Thanks to His leadership the first Polish Medical Dictionary was published (1981). The article summarizes the pursuit of Józef Heller in various branches of academic life, which were and still are appreciated by subsequent generations of Polish biochemists.

  1. Surface roughness of zirconia for full-contour crowns after clinically simulated grinding and polishing.

    Science.gov (United States)

    Hmaidouch, Rim; Müller, Wolf-Dieter; Lauer, Hans-Christoph; Weigl, Paul

    2014-12-01

    The aim of this study was to evaluate the effect of controlled intraoral grinding and polishing on the roughness of full-contour zirconia compared to classical veneered zirconia. Thirty bar-shaped zirconia specimens were fabricated and divided into two groups (n=15). Fifteen specimens (group 1) were glazed and 15 specimens (group 2) were veneered with feldspathic ceramic and then glazed. Prior to grinding, maximum roughness depth (Rmax) values were measured using a profilometer, 5 times per specimen. Simulated clinical grinding and polishing were performed on the specimens under water coolant for 15 s and 2 N pressure. For grinding, NTI diamonds burs with grain sizes of 20 µm, 10 µm, and 7.5 µm were used sequentially. The ground surfaces were polished using NTI kits with coarse, medium and fine polishers. After each step, Rmax values were determined. Differences between groups were examined using one-way analysis of variance (ANOVA). The roughness of group 1 was significantly lower than that of group 2. The roughness increased significantly after coarse grinding in both groups. The results after glazing were similar to those obtained after fine grinding for non-veneered zirconia. However, fine-ground veneered zirconia had significantly higher roughness than venerred, glazed zirconia. No significant difference was found between fine-polished and glazed zirconia, but after the fine polishing of veneered zirconia, the roughness was significantly higher than after glazing. It can be concluded that for full-contour zirconia, fewer defects and lower roughness values resulted after grinding and polishing compared to veneered zirconia. After polishing zirconia, lower roughness values were achieved compared to glazing; more interesting was that the grinding of glazed zirconia using the NTI three-step system could deliver smooth surfaces comparable to untreated glazed zirconia surfaces.

  2. Effects of finishing/polishing techniques on microleakage of resin-modified glass ilonomer cement restorations.

    Science.gov (United States)

    Yap, Adrian U J; Yap, W Y; Yeo, Egwin J C; Tan, Jane W S; Ong, Debbie S B

    2003-01-01

    This study investigated the effect of finishing/polishing techniques on the microleakage of resin-modified glass ionomer restorations. Class V preparations were made on the buccal and lingual/palatal surfaces of freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (FT [GC]) and Photac-Fil Quick (PF [3M-ESPE]) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with eight-fluted tungsten carbide burs. The teeth were then randomly divided into four groups and finishing/polishing was done with one of the following systems: (a) Robot Carbides (RC); (b) Super-Snap system (SS); (c) OneGloss (OG) and (d) CompoSite Polishers (CS). The sample size for each material-finishing/polishing system combination was eight. After finishing/polishing, the teeth were stored in distilled water at 37 degrees C for one week. The root apices were then sealed with acrylic and two coats of varnish was applied 1 mm beyond the restoration margins. The teeth were subsequently subjected to dye penetration testing (0.5% basic fuchsin), sectioned and scored. Data was analyzed using Kruskal-Wallis and Mann-Whitney U tests at a significance level of 0.05. Results of statistical analysis were as follows: Enamel margins: PF-OGpolishing technique, leakage at dentin margins was significantly greater than at enamel margins for FT. For PF, no significant difference in leakage scores was observed between dentin and enamel with the exception of finishing/polishing with OG. FT restorations had significantly less enamel and dentin leakage than PF restorations when treated with OG. The effect of finishing/polishing techniques on microleakage was both tissue and material dependent.

  3. A new view on dam lines in Polish Arabian horses based on mtDNA analysis

    Directory of Open Access Journals (Sweden)

    Sell Jerzy

    2007-09-01

    Full Text Available Abstract Polish Arabian horses are one of the oldest and the most important Arab populations in the world. The Polish Arabian Stud Book and the Genealogical Charts by Skorkowski are the main sources of information on the ancestors of Polish Arabs. Both publications were viewed as credible sources of information until the 1990s when the data regarding one of the dam lines was questioned. The aim of the current study was to check the accuracy of the pedigree data of Polish dam lines using mtDNA analysis. The analyses of a 458 bp mtDNA D-loop fragment from representatives of 15 Polish Arabian dam lines revealed 14 distinct haplotypes. The results were inconsistent with pedigree data in the case of two lines. A detailed analysis of the historical sources was performed to explain these discrepancies. Our study revealed that representatives of different lines shared the same haplotypes. We also noted a genetic identity between some lines founded by Polish mares of unknown origin and lines established by desert-bred mares.

  4. Polish Housing Policy in Com parison with the Housing Policy in the UE – Selected Issues

    Directory of Open Access Journals (Sweden)

    Anna Szelągowska

    2011-07-01

    Full Text Available The purpose of this article is to present the main principles of Polish housing policy and to compare it with the housing policy in the European Union. Poland, as an UE member, did not hammer out successful solutions which could facilitate the process of building new low-rental dwellings. The authors aim is to find the answer to research question: is it worth concentrating on fulfilling housing needs of low-income households in Poland and if yes, why? In order to do this the following hypothesis is put forward: on account of long-standing neglect connected with the Polish social housing finance there is an urgent need of housing reform oriented to the low-income housing growth.

  5. Great Britain and German-Polish Relations, 1929–1931

    Directory of Open Access Journals (Sweden)

    Zotova Ekaterina

    2014-12-01

    Full Text Available The article deals with the study of British-German relations during the period of exacerbation of territorial disputes between Germany and Poland in 1929-1931. Germany was making plans for revising the eastern borders. It sought to enlist the support of the new Labour government. Britain didn’t only approve of Germany's intention to carry out revision of the Treaty of Versailles (reparation issue, the evacuation of the Rhineland and the change in the German-Polish border, but also supported the strengthening of the political and economic situation in Germany as a whole. Assistance to Germany was advantageous for Britain. Firstly, the British support helped to improve the capacity of the purchasing power of the German market as one of the traditional markets of the English sale. Secondly, the strengthening of the position of the German cabinet meant failure of the French policy in Europe at this stage. The defeat of France in a dispute on the issue of early evacuation of the Rhineland would seriously devalue the foreign policy initiatives of Paris. Thirdly, the British government hoped that Germany will go into orbit of British political influence. The identity of the positions of the German and the British cabinets were considered as the components of British policy success not only in relations with France and Italy, but also with Czechoslovakia and Poland. Since the formation of the MacDonald’s cabinet, anti-Polish moods increased in the British course for the settlement of German-Polish relations. However, the threat of an attack on Poland by Germany was regarded by the government of Britain as unacceptable way of resolving the German-Polish conflict. Britain tried to follow the traditional foreign policy concept of “balance of power”, but the inefficiency of British policy “mediation” in European affairs was clearly shown in times of growing financial and economic crisis. The issue of the German-Polish border remained unsolved.

  6. E-TOURISM SERVICES IN POLISH TOURISTS' OPINIONS

    Directory of Open Access Journals (Sweden)

    Joanna Papińska-Kacperek

    2013-07-01

    Full Text Available E-tourism is most often related only with web pages of travel agencies or aggregators offering many services, where it is possible to make transactions electronically. Whereas many applications and devices are applied in tourism, like the audio guides and mobile guides (sometimes with augmented reality functions, electronic cards in museums, 2D codes systems, web pages for individual tourists, geographic information systems (e.g. Google Street View, social network sites recommendation, and profiles of cities on social networks sites like Facebook. Polish tourists' opinions about mentioned innovative tools will be presented as the report from the survey conducted among students and graduates from three Polish universities. The objectives of the study are: investigating the popularity of e-tourist services in Poland and verifying whether municipal authorities promote e-tourism initiatives.

  7. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  8. Preventing pollution from plutonium processing

    International Nuclear Information System (INIS)

    Pillay, K.K.S.

    1993-01-01

    The plutonium processing facility at Los Alamos has adopted the strategic goal of becoming a facility that processes plutonium in a way that produces only environmentally benign waste streams. Pollution prevention through source reduction and environmentally sound recycling are being pursued. General approaches to waste reductions are administrative controls, modification of process technologies, and additional waste polishing. Recycling of waste materials, such as spent acids and salts, are technical possibilities and are being pursued to accomplish additional waste reduction. Liquid waste stream polishing to remove final traces of plutonium and hazardous chemical constituents is accomplished through (a) process modifications, (b) use of alternative chemicals and sorbents for residue removal, (c) acid recycling, and (d) judicious use of a variety of waste polishing technologies. Technologies that show promise in waste minimization and pollution prevention are identified. Working toward this goal of pollution prevention is a worthwhile endeavor, not only for Los Alamos, but for the Nuclear Complex of the future

  9. Preventing pollution from plutonium processing

    International Nuclear Information System (INIS)

    Pillay, K.K.S.

    1995-01-01

    The plutonium processing facility at Los Alamos has adopted the strategic goal of becoming a facility that processes plutonium in a way that produces only environmentally benign waste streams. Pollution prevention through source reduction and environmentally sound recycling are being pursued. General approaches to waste reductions are administrative controls, modification of process technologies, and additional waste polishing. Recycling of waste materials, such as spent acids and salts, are technical possibilities and are being pursued to accomplish additional waste reduction. Liquid waste stream polishing to remove final traces of plutonium and hazardous chemical constituents is accomplished through process modifications, use of alternative chemicals and sorbents for residue removal, acid recycling, and judicious use of a variety of waste polishing technologies. Technologies that show promise in waste minimization and pollution prevention are identified. Working toward this goal of pollution prevention is a worthwhile endeavor , not only for Los Alamos, but for the Nuclear Complex of the future. (author) 12 refs.; 2 figs

  10. POLLUTION PREVENTION IN THE SEMICONDUCTOR INDUSTRY THROUGH RECOVERY AND RECYCLING OF GALLIUM AND ARSENIC FROM GAAS POLISHING WASTES

    Science.gov (United States)

    A process was developed for the recovery of both arsenic and gallium from gallium arsenide polishing wastes. The economics associated with the current disposal techniques utilizing ferric hydroxide precipitation dictate that sequential recovery of toxic arsenic and valuble galliu...

  11. Gemstone Grinding Process Improvement by using Impedance Force Control

    Directory of Open Access Journals (Sweden)

    Hamprommarat Chumpol

    2015-01-01

    Full Text Available Chula Automatic Faceting Machine has been developed by The Advance Manufacturing Research Lab, Chulalongkorn University to support Thailand Gems-Industry. The machine has high precision motion control by using position and force control. A contact stiffness model is used to estimate grinding force. Although polished gems from the Faceting Machine have uniform size and acceptable shape, the force of the grinding and polishing process cannot be maintain constant and has some fluctuation due to indirect force control. Therefor this research work propose a new controller for this process based on an impedance direct force control to improve the gemstone grinding performance during polishing process. The grinding force can be measured through motor current. The results show that the polished gems by using impedance direct force control can maintain uniform size as well as good shape and high quality surface.

  12. Strategy of the Polish policy in the final phase of the Second world war

    Directory of Open Access Journals (Sweden)

    L. P. Shvab

    2014-09-01

    Full Text Available The problems of strategy formation of the Polish government, which was in emigration, during the Second World War in the period of the eastern front approaching to the Polish borders, are found out in the article. The author confirms that the success of the Red Army made London government to rise a question about the Polish eastern border and legitimacy of London government on the liberated territories. Both questions did not have the solution in the way of traditional Polish policy. Joseph Stalin expected concession in the issue of the eastern border instead of loyalty to the London government. But Polish government did not accept accomplished facts. The ambassadors Stanislav Kot, later Tadeush Romer negotiated and insisted on returning of the western Ukrainian and Byelorussian lands. They proposed military cooperation with the Polish Home Army, which supposed to be strong enough for diversionary acts. After the battle of Stalingrad victory and that resonance, which it had made in the world, Stalin refused from such cooperation, he thought that Poland was too weak partner. Stalin continued the escalation of the relations, he consciously stopped all diplomatic relations, flatly refused to continue discussion about borders and changed the way of discussion about the legitimacy of the authentic authority in Poland.

  13. Effect of prophylactic polishing protocols on the surface roughness of esthetic restorative materials.

    Science.gov (United States)

    Neme, A L; Frazier, K B; Roeder, L B; Debner, T L

    2002-01-01

    Many polishing protocols have been evaluated in vitro for their effect on the surface roughness of restorative materials. These results have been useful in establishing protocols for in vivo application. However, limited research has focused on the subsequent care and maintenance of esthetic restorations following their placement. This investigation evaluated the effect of five polishing protocols that could be implemented at recall on the surface roughness of five direct esthetic restorative materials. Specimens (n=25) measuring 8 mm diameter x 3 mm thick were fabricated in an acrylic mold using five light-cured resin-based materials (hybrid composite, microfilled composite, packable composite, compomer and resin-modified glass ionomer). After photopolymerization, all specimens were polished with Sof-Lex Disks to produce an initial (baseline) surface finish. All specimens were then polished with one of five prophylactic protocols (Butler medium paste, Butler coarse paste, OneGloss, SuperBuff or OneGloss & SuperBuff). The average surface roughness of each treated specimen was determined from three measurements with a profilometer (Surface 1). Next, all specimens were brushed 60,000 times at 1.5 Hz using a brush-head force of 2 N on a Manly V-8 cross-brushing machine in a 50:50 (w/w) slurry of toothpaste and water. The surface roughness of each specimen was measured after brushing (Surface 2) followed by re-polishing with one of five protocols, then final surface roughness values were determined (Surface 3). The data were analyzed using repeated measures ANOVA. Significant differences (p=0.05) in surface roughness were observed among restorative materials and polishing protocols. The microfilled and hybrid resin composite yielded significantly rougher surfaces than the other three materials following tooth brushing. Prophylactic polishing protocols can be used to restore a smooth surface on resin-based esthetic restorative materials following simulated tooth

  14. Electroluminescence Analysis by Tilt Polish Technique of InP-Based Semiconductor Lasers

    Science.gov (United States)

    Ichikawa, Hiroyuki; Sasaki, Kouichi; Hamada, Kotaro; Yamaguchi, Akira

    2010-03-01

    We developed an effective electroluminescence (EL) analysis method to specify the degraded region of InP-based semiconductor lasers. The EL analysis method is one of the most important methods for failure analysis. However, EL observation was difficult because opaque electrodes surround an active layer. A portion of each electrode had to be left intact for wiring to inject the current. Thus, we developed a partial polish technique for the bottom electrode. Tilt polish equipment with a rotating table was introduced; a flat polished surface and a sufficiently wide remaining portion of the bottom electrode were obtained. As a result, clear EL from the back surface of the laser was observed.

  15. Sword of Christ. Christian inspirations of Polish socialism before the January Uprising

    Directory of Open Access Journals (Sweden)

    Piotr Kuligowski

    2012-06-01

    Full Text Available The article presents the history of the Christian fraction of Polish socialism against the background of the era, from the very beginning until its end after the January Uprising. On the basis of the texts from the era the understanding of socialism, the principles of the program of Clusters of the Polish People and the anatomy of Fr. Piotr Ściegienny’s conspiracy have been reconstructed. The text reproduces the utopian ideas of social reconstruction by Zenon Świętosławski and Ludwik Królikowski and based on these the text also shows the place of Christian socialism in the Polish socialist thought.

  16. Relationship Between Competitive Strategies and the Success Perception of Polish Born Globals

    Directory of Open Access Journals (Sweden)

    Baranowska-Prokop Ewa

    2014-09-01

    Full Text Available The key objective of this paper is to describe and evaluate the competitive strategies applied by Polish born global enterprises. To reveal these strategies, two competitive models developed by M.E. Porter are applied to an original data set obtained from 256 small and medium Polish enterprises through a survey employing the CATI technique. The outcomes of these strategies, as perceived by the companies applying them, are also evaluated against two hypotheses. We conclude that Polish firms apply both basic strategies of competition, i.e. cost leadership strategies and differentiation strategies and that a substantial majority of companies perceive themselves to have succeeded on the market.

  17. Polish students’ opinion about medicinal cannabis

    Directory of Open Access Journals (Sweden)

    Rafał Mazur

    2017-09-01

    Conclusions: Polish students seem to be ready for introduction into medical marijuana and are aware of its therapeutic potential. The majority is in favor of sales in a controlled manner. The task of researchers should be accurate examination of the effectiveness of specific cannabinoids in the treatment of diseases.

  18. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits

    Directory of Open Access Journals (Sweden)

    DOMINIKA GUZEK

    2016-06-01

    Full Text Available Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  19. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits.

    Science.gov (United States)

    Guzek, Dominika; Głąbska, Dominika; Głąbski, Krzysztof; Wierzbicka, Agnieszka

    2016-05-31

    Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  20. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    Directory of Open Access Journals (Sweden)

    Gerda Vaitkūnaitė

    2015-03-01

    Full Text Available The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treated and untreated areas of the material has been made.

  1. [Fifty years of the Polish School of Medicine at the University of Edinburgh (1941-1991)].

    Science.gov (United States)

    Tomaszewski, W

    1994-01-01

    The Polish School of Medicine at the University of Edinburgh started in 1941 on the initiative of the University. It was destined for soldier-students in the Polish Forces in Great Britain. This academic institution, unique in the history of universities, was a joint Scottish-Polish enterprise. An Agreement was concluded between the Polish Government in London and the University of Edinburgh. The School was an independent Polish academic institution and, at the same time, an integral part of the University of Edinburgh. The students matriculated at the University. The University provided all the laboratory and clinical facilities necessary for teaching. Due to a lack of Polish professors for some chairs a few of them were held by Scottish professors. Attached to them were Polish lecturers but the examinations were then held in English. The diploma, originally valid only in Poland, became recognised in Great Britain following an Act of Parliament in 1947. There were 337 students, a number of them women. 227 obtained the degree M.B., Ch.B. The war ended in 1945. The School continued up till 1949. Poland was not free. The Nazi occupation of Poland was replaced by Soviet domination which was to last for over 40 years. Only 22 of the graduates returned home, about 100 settled in G. Britain, another 100 dispersed world wide. The "magnanimous gesture" of the University of Edinburgh was thereafter remembered with gratitude by the members of the Polish School. In 1961, on the occasion of the 20th anniversary of the School, the first reunion of the graduates was organised in Birmingham for those settled in Gr. Britain. The success of the reunion prompted decision on organising annual "English" gatherings of the Polish graduates in Gr. Britain. The first world reunion of the graduates took place in Edinburgh in 1966, attracting a large number of participants on this occasion of the 25th anniversary of the School. That immensely successful anniversary of the Polish School

  2. Recurrent APC gene mutations in Polish FAP families

    Directory of Open Access Journals (Sweden)

    Pławski Andrzej

    2007-12-01

    Full Text Available Abstract The molecular diagnostics of genetically conditioned disorders is based on the identification of the mutations in the predisposing genes. Hereditary cancer disorders of the gastrointestinal tracts are caused by mutations of the tumour suppressor genes or the DNA repair genes. Occurrence of recurrent mutation allows improvement of molecular diagnostics. The mutation spectrum in the genes causing hereditary forms of colorectal cancers in the Polish population was previously described. In the present work an estimation of the frequency of the recurrent mutations of the APC gene was performed. Eight types of mutations occurred in 19.4% of our FAP families and these constitute 43% of all Polish diagnosed families.

  3. Strategies for sustainable development of the Polish electric power system

    International Nuclear Information System (INIS)

    Janiczek, R.

    1996-01-01

    The key features of sustainable development of the Polish electric power industry are discussed. Priorities and limitations for changes and power demand forecasts are described. Results of least-cost planning for existing power plants' modernization and generation expansion with environmental impacts are presented. The least-cost strategy is given by the optimal upgrades of older plants until year 2002 and by expansion of gas-fired units. The limited availability of gas after 2010 will lead to the construction of new coal-fired plants. For the next planning process, an integrated resource planning methodology is proposed, which includes dynamic optimization of supply and demand side options. Innovative mechanisms are shown to overcome barriers of development like long-terms contracts and decentralization of the power system planning process. (author)

  4. Clear and compelling evidence: the Polish tribunal on abortion rights.

    Science.gov (United States)

    Girard, Françoise; Nowicka, Wanda

    2002-05-01

    On 25 July 2001 the Polish Federation for Women and Family Planning organised a Tribunal on Abortion Rights in Warsaw, to publicize the negative consequences of the criminalization of abortion in Poland. A panel of Polish and foreign experts heard the testimonials of seven Polish women's experiences under the 1993 "Anti-Abortion Act". Only two of the seven women were able to tell their stories in person. One died in 2001, at the age of 21, of an unsafe abortion. One is legally blind after having carried her last pregnancy to term. One is in prison for infanticide, which in all likelihood was committed by her boyfriend. National and foreign journalists were in attendance, as well as observers from all walks of life--writers, students, mothers, activists, feminists, husbands. The evidence was clear and compelling. Restrictive abortion laws make abortion unsafe by pushing it underground, endanger women's health, create a climate where even those services that are allowed by law-become unavailable, and contravene standards set by international human rights law. The restrictive abortion law in Poland has not increased the number of births; it has only caused women and their families suffering. The Tribunal brought the issue of abortion into the media prior to an election campaign and galvanised Polish and other Eastern European women's groups to become more active in defence of abortion rights.

  5. Fracking in the Polish press: Geopolitics and national identity

    International Nuclear Information System (INIS)

    Jaspal, Rusi; Nerlich, Brigitte; Lemańcyzk, Szczepan

    2014-01-01

    In a context of resource scarcity and political instability, new energy sources and technologies are being explored in many parts of the world and exploited in some. One of these new energy sources is shale gas and one of the countries seeking to decrease its energy dependence and increase its energy security is Poland which is largely dependent on gas and oil imports from Russia. This article presents the results of a thematic content analysis of articles reporting on shale gas/fracking published in Gazeta Wyborcza and Rzeczpospolita, two leading Polish newspapers, from 1 January 2010 to 31 December 2012. Findings suggest that in media reporting the geopolitical dimension of fracking overrides the technological/scientific dimension and that representations are overwhelmingly positive. Positive representations are bolstered through particular linguistic framings. It is argued that the Polish press has polarized the debate on fracking in a particular (positive) direction, which has silenced an open and constructive debate concerning energy policy in Poland and constructed criticism of fracking as counter-normative and “un-Polish.” The potential socio-political and policy implications of these media representations are discussed. - Highlights: • The general tone of Polish media reporting is positive and emphatic about the benefits of fracking. • The geopolitical dimension of fracking overrides the technological/scientific dimension. • The implementation of fracking is constructed as a national priority. • Opposition to fracking is constructed as counter-normative and “anti-Polish”. • Representations impede open and constructive dialogue about energy policy

  6. Effect of finishing and polishing procedures on surface roughness, gloss and color of resin-based composites.

    Science.gov (United States)

    Paravina, Rade D; Roeder, Leslie; Lu, Huan; Vogel, Karin; Powers, John M

    2004-08-01

    To evaluate the effects of different finishing and polishing procedures on surface roughness, gloss and color of five resin composites: two experimental microhybrid composites - FZ-Dentin (FZD) and FZ-Enamel (FZE), one commercial microhybrid composite - Esthet-X (EX), and two microfilled composites - Heliomolar (HM) and Renamel Microfill (RM). Surface roughness, gloss and color of the disc-shaped specimens (10 mm in diameter and 2-mm thick) were measured as Mylar (baseline), 16-fluted carbide bur and polishing were completed. Sixteen specimens of each composite were randomized to four groups of four. After finishing with a 16-fluted finishing bur, each group was polished by a different system: 1. Astropol (A), 2. Sof-lex disc (S), 3. Po-Go (P), 4. Enhance (E). Average surface roughness (Ra) was measured with a profilometer. Gloss measurements were performed using small-area glossmeter, while color coordinate values were recorded using a spectrophotometer. A deltaE*abgloss ranked according to polishing system (for all five composites together) was: P > E > A > S. The order of gloss values for the polished composites (for each of four polishing systems) was: RM > FZD > FZE > HM > EX. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of surface roughness among five composites and four polishing systems were 0.01 and 0.01 microm, respectively. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of gloss among five composites and four polishing systems were 6 and 5 GU, respectively. Color differences (deltaE*ab) among five composites and four polishing methods were found to range from 0.2 to 1.1.

  7. Genetic medicine: Polish deontological guidelines and the ethical practice of research studies with children.

    Science.gov (United States)

    Niebrój, Lesław T

    2006-01-01

    The purpose of the article is: (1) to describe deontological guidelines which regulate medical research involving human subjects in Poland, and (2) to clarify the understanding of the fundamental ethical concepts presented in these documents. To do this, two main documents are analysed: (1) the Polish Medical Ethics Code, which was approved by the 7th Extraordinary National Doctors' Convention in September 2003 after a long and difficult process of revision, and (2) the Good Practice in Science, a set of rules concerning scientists, approved by the Committee of Ethics of the Polish Academy of Science in 2001. The pluralism of contemporary ethics and of medical ethics in particular, results in various interpretations of the understanding of the crucial terms used in the deontological statements of these codes. The paper suggests that clarity in the understanding of the terms may be achieved by exploring the 'intellectual' context in which the analysed documents have been appearing and in which they are presently functioning. In order to examine such a context, the following aspects are taken into account: (1) the development of bioethics as a discipline in Poland, (2) international deontological documents which are universally accepted and are in use in Poland, (3) legal regulations regarding medical research involving persons which are currently in force in Poland, and (4) the practice of Polish Institutional Review Boards (IRBs).

  8. Word Boundaries in L2 Speech: Evidence from Polish Learners of English

    Science.gov (United States)

    Schwartz, Geoffrey

    2016-01-01

    Acoustic and perceptual studies investgate B2-level Polish learners' acquisition of second language (L2) English word-boundaries involving word-initial vowels. In production, participants were less likely to produce glottalization of phrase-medial initial vowels in L2 English than in first language (L1) Polish. Perception studies employing word…

  9. Study types and reliability of Real World Evidence compared with experimental evidence used in Polish reimbursement decision-making processes.

    Science.gov (United States)

    Wilk, N; Wierzbicka, N; Skrzekowska-Baran, I; Moćko, P; Tomassy, J; Kloc, K

    2017-04-01

    The aim of this study was to identify the relationship and impact between Real World Evidence (RWE) and experimental evidence (EE) in Polish decision-making processes for the drugs from selected Anatomical Therapeutic Chemical (ATC) groups. Descriptive study. A detailed analysis was performed for 58 processes from five ATC code groups in which RWE for effectiveness, or effectiveness and safety were cited in Agency for Health Technology Assessment and Tariff System's (AOTMiT) documents published between January 2012 and September 2015: Verification Analysis of AOTMiT, Statement of the Transparency Council of AOTMiT, and Recommendation of the President of AOTMiT. In 62% of the cases, RWE supported the EE and confirmed its main conclusions. The majority of studies in the EE group showed to be RCTs (97%), and the RWE group included mainly cohort studies (89%). There were more studies without a control group within RWE compared with the EE group (10% vs 1%). Our results showed that EE are more often assessed using Jadad, NICE or NOS scale by AOTMiT compared with RWE (93% vs 48%). When the best evidence within a given decision-making process is analysed, half of RWE and two-thirds of EE are considered high quality evidence. RWE plays an important role in the decision-making processes on public funding of drugs in Poland, contributing to nearly half (45%) of all the evidence considered. There exist such processes in which the proportion of RWE is dominant, with one process showing RWE as the only evidence presented. Copyright © 2016 The Royal Society for Public Health. Published by Elsevier Ltd. All rights reserved.

  10. Optimized pre-thinning procedures of ion-beam thinning for TEM sample preparation by magnetorheological polishing.

    Science.gov (United States)

    Luo, Hu; Yin, Shaohui; Zhang, Guanhua; Liu, Chunhui; Tang, Qingchun; Guo, Meijian

    2017-10-01

    Ion-beam-thinning is a well-established sample preparation technique for transmission electron microscopy (TEM), but tedious procedures and labor consuming pre-thinning could seriously reduce its efficiency. In this work, we present a simple pre-thinning technique by using magnetorheological (MR) polishing to replace manual lapping and dimpling, and demonstrate the successful preparation of electron-transparent single crystal silicon samples after MR polishing and single-sided ion milling. Dimples pre-thinned to less than 30 microns and with little mechanical surface damage were repeatedly produced under optimized MR polishing conditions. Samples pre-thinned by both MR polishing and traditional technique were ion-beam thinned from the rear side until perforation, and then observed by optical microscopy and TEM. The results show that the specimen pre-thinned by MR technique was free from dimpling related defects, which were still residual in sample pre-thinned by conventional technique. Nice high-resolution TEM images could be acquired after MR polishing and one side ion-thinning. MR polishing promises to be an adaptable and efficient method for pre-thinning in preparation of TEM specimens, especially for brittle ceramics. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. OSSM2008 Polish Moessbauer Community Meeting: Program, Abstracts and List of Participants

    International Nuclear Information System (INIS)

    2008-01-01

    The Polish Moessbauer Community Meeting - OSSM2008 has been organized by Polish scientists using the Moessbauer spectroscopy in their research. Main subjects of the meeting are investigations of modern materials electronic structure by means of Moessbauer effect (ME), using ME in biological test of tissue diseases and ME investigation of physical properties of different steels

  12. Mechanical polishing as an improved surface treatment for platinum screen-printed electrodes

    Directory of Open Access Journals (Sweden)

    Junqiao Lee

    2016-07-01

    Full Text Available The viability of mechanical polishing as a surface pre-treatment method for commercially available platinum screen-printed electrodes (SPEs was investigated and compared to a range of other pre-treatment methods (UV-Ozone treatment, soaking in N,N-dimethylformamide, soaking and anodizing in aqueous NaOH solution, and ultrasonication in tetrahydrofuran. Conventional electrochemical activation of platinum SPEs in 0.5 M H2SO4 solution was ineffective for the removal of contaminants found to be passivating the screen-printed surfaces. However, mechanical polishing showed a significant improvement in hydrogen adsorption and in electrochemically active surface areas (probed by two different redox couples due to the effective removal of surface contaminants. Results are also presented that suggest that SPEs are highly susceptible to degradation by strong acidic or caustic solutions, and could potentially lead to instability in long-term applications due to continual etching of the binding materials. The ability of SPEs to be polished effectively extends the reusability of these traditionally “single-use” devices. Keywords: Screen-printed electrodes, Polishing, Platinum, Activation, Pre-treatment, Cyclic voltammetry

  13. ECONOMIC CRISES – GLOBAL AND LOCAL – IN REFLECTION OF POLISH PRESS

    Directory of Open Access Journals (Sweden)

    Krzysztof Gibek

    2010-01-01

    Full Text Available One of the sociological features of the current economic crises had been its beginning as a media fact rather than economic reality for ordinary people in Poland. Until the very end of 2008 the offi cial strategy of Polish government was denying of present of any economic crises in Poland: “The Polish economy as well as Polish banking system are used to be healthy and in good conditions and we will be suffer a little slow done because we are not an island”. Unfortunately it occurs not to be true.Based on discourse analysis of two major Polish daily and two weekly we would like to show the evolution of narrative describing crises in global terms and particularly at the domestic market. What was the picture of global crises at the beginning of this discussion, how it supposed to affect Poland, who serve as experts and opinion givers and finally how through the language we can observe the change from ‘slow down’ to ‘crises’? This are basic questions we would like to answer in our text.

  14. Profilometric analysis of two composite resins' surface repolished after tooth brush abrasion with three polishing systems.

    Science.gov (United States)

    Uppal, Mudit; Ganesh, Arathi; Balagopal, Suresh; Kaur, Gurleen

    2013-07-01

    To evaluate the effect of three polishing protocols that could be implemented at recall on the surface roughness of two direct esthetic restorative materials. Specimens (n = 40) measuring 8 mm (length) × 5 mm (width) × 4 mm (height) were fabricated in an acrylic mold using two light-cured resin-based materials (microfilled composite and microhybrid composite). After photopolymerization, all specimens were finished and polished with one of three polishing protocols (Enhance, One Gloss, and Sof-Lex polishing systems). The average surface roughness of each treated specimen was determined using 3D optical profilometer. Next all specimens were brushed 60,000 times with nylon bristles at 7200 rpm using crosshead brushing device with equal parts of toothpaste and water used as abrasive medium. The surface roughness of each specimen was measured after brushing followed by repolishing with one of three polishing protocols, and then, the final surface roughness values were determined. The data were analyzed using one-way and two-factor analysis of variance (ANOVA) and Tukey's honestly significant difference (HSD). Significant difference (P < 0.05) in surface roughness was observed. Simulated brushing following initial polishing procedure significantly roughened the surface of restorative material (P < 0.05). Polishing protocols can be used to restore a smooth surface on esthetic restorative materials following simulated tooth brushing.

  15. Soft tissue adhesion of polished versus glazed lithium disilicate ceramic for dental applications.

    Science.gov (United States)

    Brunot-Gohin, C; Duval, J-L; Azogui, E-E; Jannetta, R; Pezron, I; Laurent-Maquin, D; Gangloff, S C; Egles, C

    2013-09-01

    Ceramics are widely used materials for prosthesis, especially in dental fields. Despite multiple biomedical applications, little is known about ceramic surface modifications and the resulting cell behavior at its contact. The aim of this study is to evaluate the biological response of polished versus glazed surface treatments on lithium disilicate dental ceramic. We studied a lithium disilicate ceramic (IPS e.max(®) Press, Ivoclar Vivadent) with 3 different surface treatments: raw surface treatment, hand polished surface treatment, and glazed surface treatment (control samples are Thermanox(®), Nunc). In order to evaluate the possible modulation of cell response at the surface of ceramic, we compared polished versus glazed ceramics using an organotypic culture model of chicken epithelium. Our results show that the surface roughness is not modified as demonstrated by equivalent Ra measurements. On the contrary, the contact angle θ in water is very different between polished (84°) and glazed (33°) samples. The culture of epithelial tissues allowed a very precise assessment of histocompatibility of these interfaces and showed that polished samples increased cell adhesion and proliferation as compared to glazed samples. Lithium disilicate polished ceramic provided better adhesion and proliferation than lithium disilicate glazed ceramic. Taken together, our results demonstrate for the first time, how it is possible to use simple surface modifications to finely modulate the adhesion of tissues. Our results will help dental surgeons to choose the most appropriate surface treatment for a specific clinical application, in particular for the ceramic implant collar. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  16. "We Don't See Things as They Are, We See Things as We Are": Questioning the "Outsider" in Polish Migration Research

    Directory of Open Access Journals (Sweden)

    Katherine Botterill

    2015-05-01

    Full Text Available This article offers a reflexive account of conducting research on Polish migration to Scotland from the perspective of the "outsider." The contribution argues for a revision to the insider/outsider dichotomy viewing it as inadequately nuanced in relation to the multiple intersectionalities performed through the research encounter. It is based on data collected from biographical-narrative interviews with Polish young people living in Edinburgh, Scotland. The article explores the interview encounter between an English researcher and Polish young people about the experience of EU mobility and argues that as migration narratives unfold the distinctions between the "researcher" and the "researched" blur. In particular, I focus on the intersections of gender, class and nationality to show how different positionalities are negotiated and confronted through reflexivity. The interview is a creative process involving co-construction of narratives through dialogue, embodied performances and non-cognitive associations that draw out the multiple intersectionalities of both parties. Through this process the binary of insider/outsider is called into question and this article examines the usefulness of this dichotomy as a framework for understanding the research relationship. URN: http://nbn-resolving.de/urn:nbn:de:0114-fqs150249

  17. Is hypochondriasis a significant problem among polish adolescents? An attempt of assessment of severe form of health anxiety in polish population

    Directory of Open Access Journals (Sweden)

    Janusz Kocjan

    2017-08-01

    [Conclusions] The study provides evidence about moderate intensification of health anxiety among polish adolescent. Health anxiety level was significantly higher among medical students versus non-medical students group.

  18. Globes and Teaching Aids Manufactured by Jan Felkl Company for the Polish Market

    Directory of Open Access Journals (Sweden)

    Malgorzata Taborska

    2016-05-01

    Full Text Available Jan Felkl company from Roztoky (Roztok near Prague manufactured globes in seventeen language versions, since 1861 also in Polish language. The company was active until 1952, but it ceased to manufacture Polish-language globes as early as in 1914. In the aftermath of the First World War, and with the development of printing business, the demand for Czech globes shrank. It is difficult to estimate the overall output of Polish- language globes manufactured by Felkl’s company throughout the 53 years it operated. From catalogues and the surviving globes we know that terrestrial globes in six sizes, folding globes in two sizes, celestial globes (probably in four sizes, as well as telluria, lunaria and planetaria were manufactured for the Polish market. It is difficult to decide how many editions of individual types of globes were issued. Polish names were compiled by Franciszek Waligórski (one globe and Mirosław Suchecki. Only 28 globes have survived to this day, including one celestial globe. Most of them are globes of an 8-inch diameter, approved by the Austrian ministries as teaching aids for schools. Nearly half of the surviving globes date from the years 1894–1914. Only ten items are in museums.

  19. Are Polish Primary School Pupils in Favor of Wearing Uniforms? Snapshot Comment

    Science.gov (United States)

    Asotska, Julia; Butler, Norman L.; Davidson, Barry S.; Griffith, Kimberly Grantham; Brown, Veda E.; Kritsonis, Wiilliam Allan

    2007-01-01

    The purpose of this article is to discuss whether Polish primary school pupils want to wear uniforms, and it is motivated by the Polish government's recently proposed policy: Zero Tolerance for Violence at School. Seventy one pupils, who attend Podstawowka Nr30 school in Cracow, were surveyed, and the authors found that most pupils are not in…

  20. 16th French-German-Polish Conference on Optimization

    CERN Document Server

    Korytowski, Adam; Maurer, Helmut; Szymkat, Maciej

    2016-01-01

    This book contains extended, in-depth presentations of the plenary talks from the 16th French-German-Polish Conference on Optimization, held in Kraków, Poland in 2013. Each chapter in this book exhibits a comprehensive look at new theoretical and/or application-oriented results in mathematical modeling, optimization, and optimal control. Students and researchers involved in image processing, partial differential inclusions, shape optimization, or optimal control theory and its applications to medical and rehabilitation technology, will find this book valuable. The first chapter by Martin Burger provides an overview of recent developments related to Bregman distances, which is an important tool in inverse problems and image processing. The chapter by Piotr Kalita studies the operator version of a first order in time partial differential inclusion and its time discretization. In the chapter by Günter Leugering, Jan Sokołowski and Antoni Żochowski, nonsmooth shape optimization problems for variational inequa...

  1. Production of Copper as a Complex Mining and Metallurgical Processing System in Polish Copper Mines of the Legnica-Glogów Copper Belt

    Science.gov (United States)

    Malewski, Jerzy

    2017-12-01

    Geological and technological conditions of Cu production in the Polish copper mines of the Legnica-Glogów Copper Belt are presented. Cu production is recognized as a technological fractal consisting of subsystems for mineral exploration, ore extraction and processing, and metallurgical treatment. Qualitative and quantitative models of these operations have been proposed, including estimation of their costs of process production. Numerical calculations of such a system have been performed, which allow optimize the system parameters according to economic criteria under variable Cu mineralization in the ore deposit. The main objective of the study is to develop forecasting tool for analysis of production efficiency in domestic copper mines based on available sources of information. Such analyses are primarily of social value, allowing for assessment of the efficiency of management of local mineral resources in the light of current technological and market constraints. At the same time, this is a concept of the system analysis method to manage deposit exploitation on operational and strategic level.

  2. Issue of productivity versus strategies for the development of Polish agricultural sector

    Directory of Open Access Journals (Sweden)

    Zbigniew Floriańczyk

    2012-09-01

    Full Text Available In the article assessment of economic efficiency of agriculture is discussed from the sustainable development perspective. Basic definitions are outlined and spheres of sustainability related to Polish agriculture characteristics. Concepts of productivity and efficiency measurement are illustrated with the use of recently conducted research. Ability of different measures to reflect most important processes in agriculture sector is discussed. Following, development policies for economy and agricultural sector are reviewed to suggest concept of economic efficiency measurement form the sustainable agriculture perspective.

  3. Problems in laser repair welding of polished surfaces

    Directory of Open Access Journals (Sweden)

    A. Skumavc

    2014-10-01

    Full Text Available This paper presents problems in laser repair welding of the tools for injection moulding of plastics and light metals. Tools for injection moulding of the car headlamps are highly polished in order to get a desirable quality of the injected part. Different light metals, glasses, elastomers, thermoplastics and thermosetting polymers are injected into the die cavity under high pressures resulting in the surface damages of the tool. Laser welding is the only suitable repair welding technique due to the very limited sputtering during deposition of the filler metal. Overlapping of the welds results in inhomogeneous hardness of the remanufactured surface. Results have shown strong correlation between hardness and surface waviness after final polishing of the repair welded surface.

  4. Natural immunity factors in Polish mixed breed rabbits.

    Science.gov (United States)

    Tokarz-Deptuła, B; Niedźwiedzka-Rystwej, P; Adamiak, M; Hukowska-Szematowicz, B; Trzeciak-Ryczek, A; Deptuła, W

    2015-01-01

    Mixed-breed rabbits in Poland are widely used for diagnostic and scientific research and as utility animals, therefore there is a need to know their immunological status, as well as their haematological status. In this study natural immunity factors were analyzed in Polish mixed-breed rabbits and Polish mixed-breed rabbits with addition of blood of meet-breed, considering the impact of sex and season of the year (spring, summer, autumn, winter) using measurement of non-specific cellular and humoral immunity parameters in peripheral blood. The study has revealed that there is a variety between the two commonly used mixed-breed types of rabbits, especially when sex and season is concerned, which is crucial for using these animals in experiments.

  5. Africa and Its People in the Polish Media

    Directory of Open Access Journals (Sweden)

    Średziński Paweł

    2017-06-01

    Full Text Available The African continent is treated by the Polish media marginally and usually seen through the lens of four domains of stereotypical perceptions that are associated with difficult life conditions, threats and dangers, beautiful and wild nature, as well as original and diverse cultures. Monitoring of the Polish media has become very important in this situation. That is why the results of first media monitoring report were published in 2011 by ‘Africa Another Way’ Foundation. Five years later the monitoring was repeated. It is hard to resist the impression that Africa is still viewed as this poor, underdeveloped and dangerous continent. And the way it is presented translates into the way individuals of African descent are perceived.

  6. The effect of polishing technique on 3-D surface roughness and gloss of dental restorative resin composites.

    Science.gov (United States)

    Ereifej, N S; Oweis, Y G; Eliades, G

    2013-01-01

    The aim of this study was to compare surface roughness and gloss of resin composites polished using different polishing systems. Five resin composites were investigated: Filtek Silorane (FS), IPS Empress Direct (IP), Clearfil Majesty Posterior (CM), Premise (PM), and Estelite Sigma (ES). Twenty-five disk specimens were prepared from each material, divided into five groups, each polished with one of the following methods: Opti1Step (OS), OptiDisc (OD), Kenda CGI (KD), Pogo (PG), or metallurgical polishing (ML). Gloss and roughness parameters (Sa, Sz, Sq, and St) were evaluated by 60°-angle glossimetry and white-light interferometric profilometry. Two-way analysis of variance was used to detect differences in different materials and polishing techniques. Regression and correlation analyses were performed to examine correlations between roughness and gloss. Significant differences in roughness parameters and gloss were found according to the material, type of polishing, and material/polishing technique (pgloss was recorded for PM/ML (88.4 [2.3]) and lowest for FS/KD (30.3 [5.7]). All roughness parameters were significantly correlated with gloss (r= 0.871, 0.846, 0.713, and 0.707 for Sa, Sq, Sz, St, and gloss, respectively). It was concluded that the polishing procedure and the type of composite can have significant impacts on surface roughness and gloss of resin composites.

  7. Scaling properties of Polish rain series

    Science.gov (United States)

    Licznar, P.

    2009-04-01

    Scaling properties as well as multifractal nature of precipitation time series have not been studied for local Polish conditions until recently due to lack of long series of high-resolution data. The first Polish study of precipitation time series scaling phenomena was made on the base of pluviograph data from the Wroclaw University of Environmental and Life Sciences meteorological station located at the south-western part of the country. The 38 annual rainfall records from years 1962-2004 were converted into digital format and transformed into a standard format of 5-minute time series. The scaling properties and multifractal character of this material were studied by means of several different techniques: power spectral density analysis, functional box-counting, probability distribution/multiple scaling and trace moment methods. The result proved the general scaling character of time series at the range of time scales ranging form 5 minutes up to at least 24 hours. At the same time some characteristic breaks at scaling behavior were recognized. It is believed that the breaks were artificial and arising from the pluviograph rain gauge measuring precision limitations. Especially strong limitations at the precision of low-intensity precipitations recording by pluviograph rain gauge were found to be the main reason for artificial break at energy spectra, as was reported by other authors before. The analysis of co-dimension and moments scaling functions showed the signs of the first-order multifractal phase transition. Such behavior is typical for dressed multifractal processes that are observed by spatial or temporal averaging on scales larger than the inner-scale of those processes. The fractal dimension of rainfall process support derived from codimension and moments scaling functions geometry analysis was found to be 0.45. The same fractal dimension estimated by means of the functional box-counting method was equal to 0.58. At the final part of the study

  8. Grinding and polishing of conformal windows and domes

    Science.gov (United States)

    Fess, Edward; Ross, James; Matthews, Greg

    2017-05-01

    Conformal optics require special manufacturing techniques to produce them to optical tolerances. In many cases the materials used are very hard optical ceramics that present additional manufacturing challenges due to their hardness and grain structure. OptiPro has developed grinding technologies such as OptiSonic grinding, as well as sub-aperture polishing technologies like UltraForm Finishing (UFF) to manufacture these challenging components. We have also developed a custom computer aided manufacturing (CAM) software package, ProSurf, to generate the complex tool paths for both grinding and polishing processes. One of the main advantages of ProSurf over traditional CAM software packages is that it uses metrology feedback for deterministic corrections. The metrology input can be obtained from OptiPro's 5-axis UltraSurf metrology system, which is capable of measuring these complex shapes to sub-micron accuracies. Through the development of these technologies much work has been performed in creating, measuring and analyzing the alignment fiducials or datum's used to qualify the location of the optical surfaces. Understanding the sensitivity of the optical surface to any datum misalignment is critical to knowing not only where the part is in space, but how good the optical surfaces are to each other. Working with the optical designer to properly tolerance surfaces to these datums is crucial. This paper will present the technologies developed by OptiPro to manufacture conformal windows as well as information related to the optical surfaces sensitivity to datums and how accurately those datums can be measured.

  9. Directory of Polish Officials: A Reference Aid

    Science.gov (United States)

    1989-04-01

    Jan 86 Deputy Director Mondalski, Janusz Aug 83 Polish Steamship Company (Polski Zegluga Morska ) (PZM) Director Andruczyk, Mieczyslaw Jan...2<> Polski Kosciol Chrzescijan Baptystow 12° Polski Linie Oceaniczne ’"- Polski Towarzystwo Ekonomiczne ’’° Polski Zegluga Morska 102 Polski

  10. Effects of delayed finishing/polishing on surface roughness, hardness and gloss of tooth-coloured restorative materials.

    Science.gov (United States)

    Yazici, A Ruya; Tuncer, Duygu; Antonson, Sibel; Onen, Alev; Kilinc, Evren

    2010-01-01

    The aim of this study was to investigate the effect of delayed finishing/polishing on the surface roughness, hardness and gloss of tooth-coloured restorative materials. Four different tooth-coloured restoratives: a flowable resin composite- Tetric Flow, a hybrid resin composite- Venus, a nanohybrid resin composite- Grandio, and a polyacid modified resin composite- Dyract Extra were used. 30 specimens were made for each material and randomly assigned into three groups. The first group was finished/polished immediately and the second group was finished/polished after 24 hours. The remaining 10 specimens served as control. The surface roughness of each sample was recorded using a laser profilometer. Gloss measurements were performed using a small-area glossmeter. Vickers microhardness measurements were performed from three locations on each specimen surface under 100g load and 10s dwell time. Data for surface roughness and hardness were analyzed by Kruskal Wallis test and data for gloss were subjected to one-way ANOVA and Tukey test (P gloss values were recorded under Mylar strip for all materials. While delayed finishing/polishing resulted in a significantly higher gloss compared to immediate finishing/polishing in Venus samples (P .05). The lowest hardness values were found under Mylar strip. Delayed finishing/polishing significantly increased the hardness of all materials. The effect of delayed finishing/polishing on surface roughness, gloss and hardness appears to be material dependent.

  11. Slower nicotine metabolism among postmenopausal Polish smokers.

    Science.gov (United States)

    Kosmider, Leon; Delijewski, Marcin; Koszowski, Bartosz; Sobczak, Andrzej; Benowitz, Neal L; Goniewicz, Maciej L

    2018-06-01

    A non-invasive phenotypic indicator of the rate of nicotine metabolism is nicotine metabolite ratio (NMR) defined as a ratio of two major metabolites of nicotine - trans-3'-hydroxycotinine/cotinine. The rate of nicotine metabolism has important clinical implications for the likelihood of successful quitting with nicotine replacement therapy (NRT). We conducted a study to measure NMR among Polish smokers. In a cross-sectional study of 180 daily cigarette smokers (42% men; average age 34.6±13.0), we collected spot urine samples and measured trans-3'-hydroxycotinine (3-HC) and cotinine levels with LC-MS/MS method. We calculated NMR (molar ratio) and analyzed variations in NMR among groups of smokers. In the whole study group, an average NMR was 4.8 (IQR 3.4-7.3). The group of women below 51 years had significantly greater NMR compared to the rest of the population (6.4; IQR 4.1-8.8 vs. 4.3; IQR 2.8-6.4). No differences were found among group ages of male smokers. This is a first study to describe variations in nicotine metabolism among Polish smokers. Our findings indicate that young women metabolize nicotine faster than the rest of population. This finding is consistent with the known effects of estrogen to induce CYP2A6 activity. Young women may require higher doses of NRT or non-nicotine medications for most effective smoking cessation treatment. Copyright © 2017 Institute of Pharmacology, Polish Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  12. Upgrade of pipelines operated in a Polish conditions in accordance with European Standards

    International Nuclear Information System (INIS)

    Witek, M.

    2007-01-01

    This paper presents some aspects of changing polish technical requirements concerning high pressure gas pipelines in accordance with European Norm 1594 '' Gas supply system. Pipelines with maximum operating pressure over 16 bar. Functional requirements ''. An additional class location of the steel pipelines was analyzed and supported by the results on numerous pipeline cases in Poland. Minimum distances between pipelines and buildings are given as a proposal to upgrading polish technical law in the area of the gas grid. Special attention in analysis was given to the polish existing high pressure gas network and calculation examples of existing types of steel used in pipeline construction in the past. (author)

  13. Apparatus and method for deterministic control of surface figure during full aperture polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Dennis; Steele, William Augustus

    2013-11-19

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  14. Polish Literature of the Holocaust. The First Instalment: 1939-1968

    Directory of Open Access Journals (Sweden)

    Katarzyna Kuczyńska-Koschany

    2015-01-01

    Full Text Available The text is a critical attempt discussing the compendium Literatura polska wobec Zagłady, (“Polish Literature in the Face of the Holocaust” edited and published by three prominent scholarly experts on the subject: Sławomir Buryła, Dorota Krawczyńska and Jacek Leociak. This is the first of the three volumes of the series Reprezentacje Zagłady w kulturze polskiej (“Representations of the Holocaust in Polish Culture” – an endeavour which is imposing already in its first instalment concerning the years 1939-1968. The time frame of the abovementioned volume is marked by the date of the beginning of World War II (1939, resulting in the Holocaust of the Jews of Europe, and a “dry pogrom”, that is was the anti-Semitic campaign in Poland in 1968 (the campaign itself and its writings shall be examined in the following volume. A comprehensive and very carefully prepared monograph has been divided into two fundamental parts: concerning the literature reacting to the Holocaust conducted by Nazi Germany during the war (1939-1945 and discussing the literary echoes of that genocide in the years 1945-1968. The study and invaluable interpretational effort have been focused on personal document literature (Marta Janczewska, Jacek Leociak, the prose (Sławomir Buryła, Dorota Krawczyńska, the poetry (Piotr Matywiecki and the press (Ewa Koźmińska-Frejlak. A separate chapter has been devoted to a the “global text”, i.e., Archiwum Ringelbluma (“Ringelblum’s Archives”. Highly appreciating the entire volume as well as its individual fragments, recalling fundamental considerations and the ones concerning details, finally, proposing small corrections and pointing to minor shortcomings, the author of the critical review suggests the use of the formula “Polish literature of the Holocaust” (analogous to the formula coined by Grzegorz Niziołek “Polish theatre of the Holocaust” as the one principally necessary to be contrasted with the

  15. Professional Training of Economists at Polish Universities

    Science.gov (United States)

    Ogienko, Olena

    2016-01-01

    Polish experience in professional training of economists at university has been generalized. Structural, content and procedural peculiarities of the training have been defined. It has been proved that key factors for reforming economic education in Poland are globalization, internationalization, integration, technologization and informatization.…

  16. Spray-dried Powder Granulometry: Influence on the Porous Microstructure of Polished Porcelain Tile

    Directory of Open Access Journals (Sweden)

    Boschi, A. O.

    2010-10-01

    Full Text Available The low porosity of porcelain tile is the result of strict control of the material’s processing conditions (milling of raw materials, compaction and sintering and the characteristics of the raw materials used in its formulation (formation of liquid phases. Sealed pores remaining after the manufacturing process are revealed at the surface after polishing and are the main factor responsible for staining the product. The porous microstructure of the sintered material depends on the characteristics of the porous microstructure of the green compact and on how the densification process evolves during sintering. The present work evaluated how the size distribution of spray-dried granules acts upon the porous microstructure of green compacts and of polished porcelain tile. The results revealed that minor adjustments in the granulometric distribution curve can reduce the visibility of stains on the polished surface, thus improving this property.

    La baja porosidad de los revestimientos porcelánicos, es el resultado del estricto control que se ejerce sobre los distintos parámetros que condicionan el procesamiento de los materiales ( molienda de la materias primas, compactación y sinterización y de las características de las materias primas empleadas en su formulación (formación de fases líquidas. Los poros cerrados que se generan durante la fabricación, y que se abren en la superficie durante el proceso de pulido, son los principales responsables de las manchas que aparecen en la superficie del material. La porosidad microestructural de los materiales sinterizados está condicionada por la porosidad microstrucutural de la pieza en verde y por la evolución del proceso de densificación durante la sinterización. E l trabajo presente evalúa la influencia de la distribución granulométrica del granulado procedente del atomizador sobre la porosidad granulométrica de las piezas en verde y de la pieza ya pulida. Los resultados revelan como

  17. Polish media and public opinion on NPP Mochovce commissioning

    International Nuclear Information System (INIS)

    Latek, Stanislaw

    1999-01-01

    The so called 'Mochovce Problem' was one of the major topics in Polish media in the period from May to July 1998. The nuclear power plant commissioning caused an unexpectedly strong reaction, especially in the newspapers, slightly less so in electronic media. Faced with clearly hostile media reaction to Mochovce NPP, the National Atomic Energy Agency representatives, together with atomic and nuclear experts, undertook to change these attitudes. In numerous interviews, letters to the editors and talks with journalists, they attempted to correct the mistakes, explain the true safety situation in the nuclear power plant, by whom it was constructed, who supervised and tested the systems and so on. The completion of Mochovce NPP construction improved significantly the electricity balance in Slovakia, thus decreasing the pressure for continuing the operation of older Bohunice V1 units beyond their design lifetime. For this reason, as well as in view of striving for improvement in environmental factors beyond Polish southern border, especially after Kyoto/97 decisions on greenhouse gases emissions, the public opinion in Poland should support the Mochovce NPP construction. In 1996 Poland has signed with Slovakia a bilateral inter-governmental agreement on the prompt notification on nuclear accidents and on the cooperation in the nuclear safety and radiological protection matters. On the basis of this agreement the experts from Polish National Atomic Energy Agency are in perpetual contact with Slovakian Nuclear Regulatory Body and in each and every moment can obtain full and comprehensive information on the plant parameters iportant for nuclear safety. The experts explanations, together with the NAEA top management visit to the plant itself, brought some results. The media became less aggressive, and Polish public and authorities - contrary to the Austrians - do not protest loudly against the commissioning of this newest European NPP. Now, in December 1998, the tune of

  18. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing time.

    Science.gov (United States)

    Yap, A U J; Ong, S B; Yap, W Y; Tan, W S; Yeo, J C

    2002-01-01

    This study compared the surface texture of resin-modified glass ionomer cements after immediate and delayed finishing with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of 64 freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (3M-ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-fluted tungsten carbide burs. The teeth were then randomly divided into four groups of 16 teeth. Half of the teeth in each group were finished immediately, while the remaining half were finished after one-week storage in distilled water at 37 degrees C. The following finishing/polishing systems were employed: (a) Robot Carbides; (b) Super-Snap system; (c) OneGloss and (d) CompoSite Polishers. The mean surface roughness (microm; n=8) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Ra values were generally lower in both vertical and horizontal axis with delayed finishing/polishing. Although significant differences in RaV and RaH values were observed among several systems with immediate finishing/polishing, only one (Fuji II LC: RaH - Super-Snap < Robot Carbides) was observed with delayed finishing.

  19. Degradation rates of alachlor, atrazine and bentazone in the profiles of Polish Luvisols

    Science.gov (United States)

    Paszko, Tadeusz; Muszyński, Paweł

    2017-07-01

    The degradation rates of three herbicides (alachlor, atrazine, and bentazone) were examined according to OECD Guideline 307 in three profiles of grey-brown podzolic soil (Luvisol) in a laboratory experiment. The aim of the experiment was to determine herbicide degradation parameters and their relationships with soil properties. Degradation processes were effectively described by a first-order model. However, in some cases, the best results were produced by bi-phasic kinetics (hockey-stick and bi-exponential model). The degradation rates of the tested herbicides at 25°C and 40% maximum water holding capacity, established based on half-life values in the Ap horizon, increased in the following order: atrazine (32.6-42.8 days) herbicide degradation rates and the organic matter content of soils. The depth-dependent degradation factors obtained for topsoil and two subsoil horizons (1: 0.42: 0.11 - based on average values, and 1: 0.31: 0.12 - based on median values) reflect the degradation abilities of Polish Luvisols. The values noted are soil-specific; therefore, they can also be applied to other pesticides in Polish Luvisols.

  20. On the generation of surface depressions in polishing polycrystalline diamond compacts

    International Nuclear Information System (INIS)

    Tang, Fengzai; Chen, Yiqing; Zhang, Liangchi

    2014-01-01

    This paper investigates the surface depressions generated during the polishing of the (1 1 1) surfaces of polycrystalline diamond (PCD) compacts when using the dynamic friction polishing (DFP) method. It was found that surface depressions of six-sided faces along octahedral planes were the typical features created by the DFP. Although the size of the well-developed depressions can vary significantly, the rectilinear edges are always aligned with the directions. Pronounced {1 1 1} planar defects (i.e., twins) were revealed underneath a depression apex. The interception of the defect plane with the polished surface accounts for the generation of the aligned depressions and for the discernible asymmetry of the pyramidal faces with respect to the (1 1 1) plane. It was revealed that the attached debris layer on the PCD surfaces contained sp 2 -bounded amorphous carbon and nano-sized crystals. (paper)

  1. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  2. Detection of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan.

    Science.gov (United States)

    Korzeniewski, Krzysztof; Konior, Monika; Augustynowicz, Alina; Lass, Anna; Kowalska, Ewa

    2016-01-01

    Members of the Polish Military Contingent (PMC) have been stationed in Afghanistan since 2002. They typically serve in areas characterised by low standards of sanitation which often leads to the development of food- and waterborne diseases. The aim of the study was to evaluate the prevalence of Giardia intestinalis infections among Polish soldiers deployed to Afghanistan. The research study was conducted as part of a programme for prevention of parasitic diseases of the gastrointestinal tract run by the Polish Armed Forces. The study was carried out in August 2011; it involved 630 asymptomatic Polish soldiers serving in the Forward Operational Base (FOB) Ghazni in eastern Afghanistan. Stool specimens obtained from members of the PMC were first tested in FOB Ghazni (detection of Giardia intestinalis by Rida Quick Giardia immunochromatographic tests and Ridascreen Giardia immunoenzymatic tests - single samples). Next, the same biological material and two other faecal specimens fixed in 10% formalin were transported to the Military Institute of Medicine in Poland, where they were tested for Giardia intestinalis under light microscopy (direct smear, decantation in distilled water). Parasitological tests performed under light microscopy showed that 2.7% (17/630) of the study group were infected with Giardia intestinalis. Some of these results were confirmed by immunochromatographic tests (6/630). In contrast, immunoenzymatic tests (ELISA) demonstrated a significantly higher detection rate reaching 18.1% (114/630). Immunoenzymatic tests confirmed all the positive results given by light microscopy and by immunochromatographic tests. The prevalence rate of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan was found to be high. Microscopic methods exhibit low sensitivity and therefore may result in the underestimation of the true parasite prevalence. Immunoenzymatic tests (ELISA) showing a much higher sensitivity in comparison to light microscopy

  3. [Health literacy as an element of the Polish occupational health system].

    Science.gov (United States)

    Dobras, Maciej

    Nowadays it is believed that a comprehensive approach towards one's health requires the development and subsequent mastering of health literacy. Although this term has no Polish equivalent, it applies to the ability of individuals to access, analyze and understand information necessary to make informed health decisions. In this publication it is suggested that 'biegłość zdrowotna' can be used as a corresponding Polish term. This publication is based on the review of the available literature (in Polish and in English) on health literacy. To illustrate the hypothetical level of health literacy among Polish employers and employees reports of the Chief Labour Inspectorate and individual items from the Second European Survey of Enterprises on New and Emerging Risks (ESENER-2) were used. The analysis proves that health literacy is a multidimensional concept which has been studied and investigated so far only in relation to chosen nosological units, but practically it does not appear in relation to occupational health. There are reasons to believe that in Poland the low level of health literacy among both employers and employees, lies at the forefront of a passive approach towards the safeguarding of workers health. The concept of health literacy needs further dissemination in Poland, whereas the main area of future research should be the design of the Polish tool for assessing health literacy. The national system of occupational health seems to offer a possible ground for implementing such a concept, especially bearing in mind that within the current system there are several entities and services, which have the legal mandate to undertake informative and advisory duties - exactly those, which help build and master health literacy skills. Med Pr 2016;67(5):681-689. This work is available in Open Access model and licensed under a CC BY-NC 3.0 PL license.

  4. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  5. Droughts in historical times in Polish territory

    Science.gov (United States)

    Limanowka, Danuta; Cebulak, Elzbieta; Pyrc, Robert; Doktor, Radoslaw

    2015-04-01

    Climate change is one of the key environmental, social and economical issues, and it is also followed by political consequences. Impact of climate conditions on countries' economy is increasingly recognized, and a lot of attention is given, both in the global scale and by the individual national governments. In years 2008-2010, at the Poland -Institute of Meteorology and Water Management-National Research Institute was realized the KLIMAT Project on Impact of climate change on environment, economy and society (changes, effects and methods of reducing them, conclusions for science, engineering practice and economic planning) No. POIG01-03-01-14-011/08. The project was financed by the European Union and Polish state budget in frame of Innovative Economy Operational Programme. A very wide range of research was carried out in the different thematic areas. One of them was "Natural disasters and internal safety of the country (civil and economical)." The problem of drought in Poland was developed in terms of meteorology and hydrology. "Proxy" Data Descriptions very often inform about dry years and seasons, hot periods without precipitation. Analysis of historical material allowed to extract the years that have experienced prolonged periods of high temperatures and rainfall shortages. Weather phenomenon defined as drought belongs to extreme events. This information was very helpful in the process of indexing and thus to restore the course and intensity of climatic elements in the past. The analysis covered the period from year 1000 to modern times. Due to the limited information from the period of 1000-1500 the authors focused primarily on the period from 1500 to 2010. Analysis of the collected material has allowed the development of a highly precise temporal structure of the possible occurrence of dry periods to Polish territory.

  6. Association study of folate-related enzymes (MTHFR, MTR, MTRR genetic variants with non-obstructive male infertility in a Polish population

    Directory of Open Access Journals (Sweden)

    Mateusz Kurzawski

    2015-03-01

    Full Text Available Spermatogenesis is a process where an important contribution of genes involved in folate-mediated one-carbon metabolism is observed. The aim of the present study was to investigate the association between male infertility and the MTHFR (677C > T; 1298A > C, MTR (2756A > G and MTRR (66A > G polymorphisms in a Polish population. No significant differences in genotype or allele frequencies were detected between the groups of 284 infertile men and of 352 fertile controls. These results demonstrate that common polymorphisms in folate pathway genes are not major risk factors for non-obstructive male infertility in the Polish population.

  7. Evaluation of the Appearance of Nail Polish Following Daily Treatment of Ex Vivo Human Fingernails With Topical Solutions of Tavaborole or Efinaconazole.

    Science.gov (United States)

    Vlahovic, Tracey C; Coronado, Dina; Chanda, Sanjay; Merchant, Tejal; Zane, Lee T

    2016-01-01

    Patients with onychomycosis may mask infected nails with polish. Tavaborole topical solution, 5% is a boron-based, small-molecule pharmaceutical approved for the treatment of toenail onychomycosis caused by Trichophyton rubrum and Trichophyton mentagrophytes; efinaconazole topical solution, 10% is approved for the same indication. Nail polish appearance after application of tavaborole (dropper) or efinaconazole (brush); respective applicator appearance; presence of color transfer from respective applicators; and color transfer to remaining solutions after dosing of polished nails were evaluated. Twelve ex vivo human cadaver fingernails were cleaned, polished with two coats of L'Oréal® Nail Color, Devil Wears Red #420, and mounted on floral foam. Nails were treated with tavaborole or efinaconazole solutions once daily for 7 days. Dropper and brush applicators were applied to white watercolor paper immediately after dosing to evaluate color transfer from polished nails. On day 7, remaining solutions were transferred to clear glass vials to evaluate color transfer from applicators to solutions. Nails, applicators, and papers were photographed daily following application; remaining solutions were photographed after 7 days of dosing. Tavaborole-treated polished nails showed no polish discoloration, and tavaborole applicators did not change in appearance during treatment. No color transfer from polished nails was evident to applicator, paper, or remaining solution. Efinaconazole-treated polished nails showed substantial polish changes after the first day of treatment, with polish appearance and discoloration progressively worsening over 7 days of treatment. Color transfer from nails was evident to applicator, paper, and remaining solution. Daily dropper application of tavaborole to ex vivo polished nails did not alter polish appearance. Brush application of efinaconazole produced visible changes in polish appearance and color transfer to applicators, paper, and

  8. Abstracts Book of 41. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    1998-01-01

    Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry is the most important scientific forum of Polish Chemists. The state of the art in many basic, fundamental and applied investigations has been presented and discussed. The following scientific sessions and microsymposia have been proposed: theoretical chemistry; molecular interactions; metal compounds - chemical, physical, electronic and biological aspects; catalysis and surface physico-chemistry; polymers - radiochemistry, modifications, physics and analytical methods; organic and bioorganic chemistry; physico-chemistry of condensed matter; chemical metallurgy; environmental protection; inorganic technology; chemistry and technology of coal; radiation chemistry; analytical chemistry; chemical engineering; young scientists forum; chemical didactics; petrochemistry; energetic materials; membranes and membrane processes; medical chemistry

  9. The corpus-driven revolution in Polish Sign Language: the interview with Dr. Paweł Rutkowski

    Directory of Open Access Journals (Sweden)

    Iztok Kosem

    2018-02-01

    Full Text Available Dr. Paweł Rutkowski is head of the Section for Sign Linguistics at the University of Warsaw. He is a general linguist and a specialist in the field of syntax of natural languages, carrying out research on Polish Sign Language (polski język migowy — PJM. He has been awarded a number of prizes, grants and scholarships by such institutions as the Foundation for Polish Science, Polish Ministry of Science and Higher Education, National Science Centre, Poland, Polish–U.S. Fulbright Commission, Kosciuszko Foundation and DAAD. Dr. Rutkowski leads the team developing the Corpus of Polish Sign Language and the Corpus-based Dictionary of Polish Sign Language, the first dictionary of this language prepared in compliance with modern lexicographical standards. The dictionary is an open-access publication, available freely at the following address: http://www.slownikpjm.uw.edu.pl/en/. This interview took place at eLex 2017, a biennial conference on electronic lexicography, where Dr. Rutkowski was awarded the Adam Kilgarriff Prize and gave a keynote address entitled Sign language as a challenge to electronic lexicography: The Corpus-based Dictionary of Polish Sign Language and beyond. The interview was conducted by Dr. Victoria Nyst from Leiden University, Faculty of Humanities, and Dr. Iztok Kosem from the University of Ljubljana, Faculty of Arts.

  10. Audit Committee Practice in the Polish Listed Stock Companies. Present Situation and Development Perspectives

    Directory of Open Access Journals (Sweden)

    Piotr Szczepankowski

    2012-06-01

    Full Text Available The audit committee is one of the parts of corporate governance mechanism, which is understood as the relationship between corporate managers, directors and the providers of equity, people and institutions who save and invest their capital to earn the return. This study presents survey research results of audit committee activity in Polish public stock companies quoted on the Warsaw Stock Exchange (WSE. The purpose of this paper is to present the audit committee practice in Poland after 2009. The paper shows that the audit committee practice is still the most problematic issue of transitional Polish corporate governance rules. The survey has shown that the corporate needs and its implementation, and communication with listed companies leave a lot of room for improvement. The paper is based on the documents prepared in 2010 by PricewaterhouseCoopers, the Polish Association of Listed Companies and the Polish Institute of Directors.

  11. Farmers' pensions and the Polish economic crisis.

    Science.gov (United States)

    Simanis, J G

    1983-04-01

    The Polish Government, in 1977, inaugurated a new pension program that made old-age and invalidity benefits available for the first time to most farmers in that country. The evolution and eventual failure of that program were closely intertwined with a growing national economic crisis, manifested in widespread popular unrest and culminating in emergence of the Solidarity movement. The farmers' pension program was originally presented as both a social security measure and a vehicle for improving agricultural efficiency. The economic situation was expected to benefit as farms of older owners were passed to younger, presumably more efficient, successors, with the state sometimes acting as intermediary. A further step to bind the social security concept to agricultural efficiency came through relating the pension amount to the quantity of produce the individual farmer sold to the state over a number of years. The failure of these provisions and other unpopular features of the new program was aggravated by inflation and continuing deterioration of the Polish economy.

  12. Effect of grinding and polishing on near-surface phase transformations in zirconia

    International Nuclear Information System (INIS)

    Reed, J.S.; Lejus, A.M.

    1977-01-01

    The transformation of near-surface material on grinding and polishing has been investigated in sintered zirconia of 1 μm grain size and 99 percent density containing 4.5 and 7.0 mole percent Y 2 O 3 . Rough wet and dry grinding transformed about 20 percent cubic phase into 18 percent tetragonal and 2 percent monoclinic in material initially 47 percent cubic and 53 percent tetragonal (4.5 mole percent Y 2 O 3 ) but no change of phase in material that was fully cubic (7.0 mole percent Y 2 O 3 ). Annealing and polishing reduced lattice strain but only polishing reduced the concentration of monoclinic and tetragonal phases. Microhardness studies indicated that lattice strain and the phase transformations increased the penetration hardness to a depth of about 4 μm

  13. Plaque, gingival bleeding and calculus formation after supragingival scaling with and without polishing: a randomised clinical trial.

    Science.gov (United States)

    Zanatta, Fabricio Batistin; Pinto, Tatiana Militz; Kantorski, Karla Zanini; Rösing, Cassiano Kuchenbecker

    2011-01-01

    The aim of this study was to compare the effect of polishing after scaling and root planing on supragingival plaque, calculus formation, and gingival bleeding. The study was designed as a split-mouth randomised clinical trial. Seventy-six patients were submitted to supragingival scaling on the six mandibular anterior teeth with manual curettes until a smooth surface was achieved. Subsequently, quadrants were randomly selected to be polished (test) or not (control) with a rubber cup and pumice. One, two and three weeks following treatment, a blinded examiner evaluated the visible plaque index, gingival bleeding index and the presence of supragingival calculus on the lingual tooth surfaces. The results showed that unpolished surfaces exhibited higher mean percentages of visible plaque in the third week. No statistically significant differences were observed between unpolished and polished sites related to gingival bleeding. Calculus formation was higher on unpolished sites than on polished sites at 2 and 3 weeks. Dental polishing after supragingival scaling contributed to reducing plaque and calculus formation. Polishing exerts an inhibitory effect on plaque and calculus formation.

  14. An Exploratory Study of the Factors That May Affect Female Consumers’ Buying Decision of Nail Polishes

    Directory of Open Access Journals (Sweden)

    Chen Sun

    2015-06-01

    Full Text Available The objective of this study was to determine what factors female consumers valued more when they buy nail polish. Ninety-eight female consumers participated in a nail polish consumer study at the Sensory Analysis Center, Kansas State University. A questionnaire containing a check-all-that-apply (CATA question, behavior questions and demographic questions was presented to each consumer. In the CATA question, the factors that may affect consumers’ decision to buy a nail polish were asked, including both sensory and non-sensory factors. The frequency in percent for the factors was calculated. Sensory appeal, price and convenience of usage were the top factors that affected consumers’ buying decisions. Consumers valued sensory appeal and convenience of usage; this suggested that a nail polish company’s product development and advertising departments may want to focus on these two areas, primarily. The information presented in this study could help a nail polish company understand more about consumer segmentation and advertising strategy.

  15. Careers of young Polish chemists

    OpenAIRE

    Kosmulski, Marek

    2014-01-01

    Typical young Polish scientist is an alumnus of doctoral studies at the same university and department where he/she completed his/her Master degree. The career is continued by receiving a habilitation at the same university and department. Then a holder of habilitation is promoted to a tenured position at the same university and department. Detailed analysis of scientific careers of 154 recent Ph.D. recipients and of 16 habilitation candidates in chemistry from University of Warsaw is present...

  16. APPROACHES TO STANDARDIZATION OF STUDENTS INFORMATION AND COMMUNICATION COMPETENCE DEVELOPMENT: THE POLISH EXPERIENCE

    Directory of Open Access Journals (Sweden)

    Mariya P. Leshchenko

    2014-09-01

    Full Text Available In the article foreign, in particular, the Polish experience of diagnostic of students information and communication competencies is characterized. Foreign scientists focuse their modern searches on identifying students skills of using the Internet. Standards defined by Polish researchers are multifunctional and enable the determination of the cognitive, appraisal, creative and social students skills to function in the network. Structure of seven standards (literate and successful information search, critical evaluation of information, creation, transformation and presentation of information content, legal principles of creation and distribution of information content, empathy and imagemaking, security and privacy; participation in the online communities, their components and related parameters are characterized. General results of Polish scientists researches are presented.

  17. Poland, a workforce in transition: Exploring leadership styles and effectiveness of Polish vs. Western expatriate managers

    OpenAIRE

    Eisenberg, Jacob; Pieczonka, Artur; Eisenring, Martin; Mironski, Jacek

    2015-01-01

    Given the cultural differences between Western Europe and Poland, differences are expected in leadership styles and behaviours between Polish and Western managers. Our study explored Polish employees’ perceptions and attitudes toward expatriate Western versus local managers. The main method was surveying Polish employees working under Western managers in three mid-size companies. We supplemented the survey with in-depth interviews with five West European middle managers working in Poland. We ...

  18. Air-powder polishing on self-ligating brackets after clinical use: effects on debris levels.

    Science.gov (United States)

    Aragón, Mônica L S Castro; Lima, Leandro Santiago; Normando, David

    2016-01-01

    Debris buildup on brackets and arch surfaces is one of the main factors that can influence the intensity of friction between bracket and orthodontic wire. This study sought to evaluate the effect of air-powder polishing cleaning on debris levels of self-ligating ceramic brackets at the end of orthodontic treatment, compared to the behavior of conventional brackets. Debris levels were evaluated in metal conventional orthodontic brackets (n = 42) and ceramic self-ligating brackets (n = 42) on canines and premolars, arranged in pairs. There were brackets with and without air-powder polishing. At the end of orthodontic treatment, a hemiarch served as control and the contralateral hemiarch underwent prophylaxis with air-powder polishing. Debris buildup in bracket slots was assessed through images, and Wilcoxon test was used to analyze the results. The median debris levels were statistically lower in the conventional metal brackets compared to self-ligating ones (p = 0.02), regarding brackets not submitted to air-powder polishing. Polishing significantly reduced debris buildup to zero in both systems, without differences between groups. Ceramic self-ligating brackets have a higher debris buildup in comparison to conventional metal brackets in vivo, but prophylaxis with sodium bicarbonate jet was effective in reducing debris levels in self-ligating and also in conventional brackets.

  19. Knowledge of chronic total occlusion among Polish interventional cardiologists.

    Science.gov (United States)

    Bryniarski, Krzysztof L; Zabojszcz, Michał; Dębski, Grzegorz; Marchewka, Jakub; Legutko, Jacek; Surowiec, Sławomir; Siudak, Zbigniew; Żmudka, Krzysztof; Dudek, Dariusz; Bryniarski, Leszek

    2015-01-01

    Chronic total occlusion (CTO) recanalization is indicated in patients with symptoms and evidence of ischemia, but in most cases those types of lesions are still treated medically. In the last few years CTO angioplasty technique has changed dramatically due to considerable advances in techniques and dedicated equipment. An attempt to assess the state of knowledge of technical aspects of CTO angioplasty of coronary arteries among Polish interventional cardiologists. Questionnaire survey performed during two major Polish invasive cardiology workshops. In the study there participated 113 physicians with an average length of work experience of 13 years, most of them cardiologists certified as independent primary operators. The majority of respondents recognized the need of prevention of thrombotic complications through control of activated coagulation time during the CTO procedures. Prevention of renal complications and X-ray protection are also recognized as a significant part of the procedures. The benefits from the use of over-the-wire microcatheters and balloons, the proper choice of dedicated guidewires, contralateral injections and retrograde technique are underestimated. Despite satisfactory knowledge about indications and qualification for the CTO procedure, the awareness of procedural aspects (particularly the retrograde technique) as well as the dedicated CTO equipment among Polish interventional cardiologists is still insufficient.

  20. Changes in Polish foreign trade in agri-food products under accession to the European Union Zmainy w polskim handlu zagranicznym produktami rolno-spożywczymi w warunkach integracji z Unią Europejską

    Directory of Open Access Journals (Sweden)

    Karolina Pawlak

    2007-12-01

    Full Text Available The aim of the paper is to assess main trends and changes in commodity structure of Polish agri-food trade in 2003-2006. Joining in the Common Market and adopting the Common Trade Policy rules caused the significant growth of Polish agri-food trade values and improvement in the positive trade balance. Taking into account loss or reduction of some cost advantages, as well as liberalization of world agricultural trade, next years can be much more difficult for Polish exporters. The highest export potential applies to trade in animal origin products. Considering commodity structure of Polish agri-food trade, it should be said that processed products dominate both in export and in import.

  1. Density determination of nail polishes and paint chips using magnetic levitation

    Science.gov (United States)

    Huang, Peggy P.

    Trace evidence is often small, easily overlooked, and difficult to analyze. This study describes a nondestructive method to separate and accurately determine the density of trace evidence samples, specifically nail polish and paint chip using magnetic levitation (MagLev). By determining the levitation height of each sample in the MagLev device, the density of the sample is back extrapolated using a standard density bead linear regression line. The results show that MagLev distinguishes among eight clear nail polishes, including samples from the same manufacturer; separates select colored nail polishes from the same manufacturer; can determine the density range of household paint chips; and shows limited levitation for unknown paint chips. MagLev provides a simple, affordable, and nondestructive means of determining density. The addition of co-solutes to the paramagnetic solution to expand the density range may result in greater discriminatory power and separation and lead to further applications of this technique.

  2. The beginnings of the reception of Ludwik Fleck’s ideas in Polish (1936-1989

    Directory of Open Access Journals (Sweden)

    Paweł Jarnicki

    2016-12-01

    Full Text Available The article discusses the beginnings of the reception of Ludwik Fleck’s theory of thought styles and thought collectives in Polish, from his first polemics with Izydora Dąmbska in 1936 to the first edition of the Polish translation of Entstehung und Entwicklung

  3. Tax harmonization of UCI – opportunities and threats for EU and Polish entities since 2011

    Directory of Open Access Journals (Sweden)

    Rafał Płókarz

    2011-12-01

    Full Text Available The article presents the new tax and legal framework for Polish and international undertakings for collective investments (UCI that came into force in January 2011. Prior to 2011, there were some tax obstacles within the Polish tax system that made competitive advantage in favor of domestic UCIs, and therefore were not in line with European regulations. The tax harmonization voted at the end of 2010 by Polish Parliament lifted those barriers, but at the same time gave birth to new threats and opportunities.

  4. Chromatic stability of acrylic resins of artificial eyes submitted to accelerated aging and polishing.

    Science.gov (United States)

    Goiato, Marcelo Coelho; Santos, Daniela Micheline dos; Souza, Josiene Firmino; Moreno, Amália; Pesqueira, Aldiéris Alves

    2010-12-01

    Esthetics and durability of materials used to fabricate artificial eyes has been an important issue since artificial eyes are essential to restore esthetics and function, protect the remaining tissues and help with patients' psychological therapy. However, these materials are submitted to degrading effects of environmental agents on the physical properties of the acrylic resin. This study assessed the color stability of acrylic resins used to fabricate sclera in three basic shades (N1, N2 and N3) when subjected to accelerated aging, mechanical and chemical polishing. Specimens of each resin were fabricated and submitted to mechanical and chemical polishing. Chromatic analysis was performed before and after accelerated aging through ultraviolet reflection spectrophotometry. All specimens revealed color alteration following polishing and accelerated aging. The resins presented statistically significant chromatic alteration (p<0.01) between the periods of 252 and 1008 h. Both polishing methods presented no significant difference between the values of color derivatives of resins.

  5. [Bogdan Suchodolski--initiator and editor-in-chief of the publication History of Polish Science].

    Science.gov (United States)

    Kuźnicka, Barbara; Kuźnicki, Leszek

    2011-01-01

    Among numerous and distinguished author's and editorial works of Bogdan Suchodolski a particular value presents a publication in eight volumes entitled 'History of Polish Science' (including two biographical and bibliographic volumes), which was published in the years 1970-1992 on Professor's own initiative and edited by himself. This is the first synthesis of the history of science in Poland, from the beginning of the Middle Ages till the present time (to 1952). In the conception of the initiator and editor the work presents the development of scientific thought and achievements of the scholars in relation to national culture and in connexions with the trends in science in the world. 'History of Polish Science' is the work written by several dozen authors, representing different domains of the knowledge. Scientific, organizational and editorial patronate was possible by dint of History of Science and Technology Establishment of Polish Academy of Sciences (presently the Institute for the History of Science of Polish Academy of Sciences), which was managed by Bogdan Suchodolski.

  6. Tracking Performance of Upgraded "Polished Panel" Optical Receiver on NASA's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor

    2013-01-01

    There has been considerable interest in developing and demonstrating a hybrid "polished panel" optical receiver concept that would replace the microwave panels on the Deep Space Network's (DSN) 34 meter antennas with highly polished aluminum panels, thus enabling simultaneous opticaland microwave reception. A test setup has been installed on the 34 meter research antenna at DSS-13 (Deep Space Station 13) at NASA's Goldstone Deep Space Communications Complex in California in order to assess the feasibility of this concept. Here we describe the results of a recent effort todramatically reduce the dimensions of the point-spread function (PSF) generated by a custom polished panel, thus enabling improved optical communications performance. The latest results are compared to the previous configuration in terms of quantifiable PSF improvement. In addition, the performance of acquisition and tracking algorithms designed specifically for the polished panel PSF are evaluated and compared, based on data obtained from real-time tracking of planets and bright stars with the 34 meter research antenna at DSS-13.

  7. The determinants of the changes in polish food industry based on the financial results

    Directory of Open Access Journals (Sweden)

    Malwina Białas

    2016-07-01

    Full Text Available For the last two decades Polish food sector has undergone significant transformations. It was one of the quickest branch to reborn after the crisis related to the changes of political system in Poland. Since then, it has become an important stimulator of economic growth. Due to  the constant technical, technological and organizational development of the sector, Poland has become one of the leading modern and innovative European food producers. The food industry development contributed to the remarkable export success of Polish businesses. The considerable growth of the sector was triggered by the need for adaptation of Polish companies to the EU standards, as well as joining to the EU structures. The above research paper was created on the basis of the comparative analysis and it summerises the financial results of the financial industry in selected areas, which have been discussed owing to the changes in the functioning of Polish economy.

  8. Note: Automated electrochemical etching and polishing of silver scanning tunneling microscope tips.

    Science.gov (United States)

    Sasaki, Stephen S; Perdue, Shawn M; Rodriguez Perez, Alejandro; Tallarida, Nicholas; Majors, Julia H; Apkarian, V Ara; Lee, Joonhee

    2013-09-01

    Fabrication of sharp and smooth Ag tips is crucial in optical scanning probe microscope experiments. To ensure reproducible tip profiles, the polishing process is fully automated using a closed-loop laminar flow system to deliver the electrolytic solution to moving electrodes mounted on a motorized translational stage. The repetitive translational motion is controlled precisely on the μm scale with a stepper motor and screw-thread mechanism. The automated setup allows reproducible control over the tip profile and improves smoothness and sharpness of tips (radius 27 ± 18 nm), as measured by ultrafast field emission.

  9. Employability management practices in the Polish ICT sector

    NARCIS (Netherlands)

    Marzec, I.; van der Heijden, Beatrice; Scholarios, D.; van der Schoot, E.; Jedrzejowicz, P.; Bozionelos, N.; Epitropaki, O.; Knauth, P.; Mikkelsen, A.; van der Heijde, C.M.

    2009-01-01

    This article examines current career thinking and employability management practices within the Polish Information and Communication Technology (ICT) sector. The aim of this contribution is to identify career management problems and to determine obstacles for implementing employability management

  10. Polish Adult Reading Test (PART) - construction of Polish test for estimating the level of premorbid intelligence in schizophrenia.

    Science.gov (United States)

    Karakuła-Juchnowicz, Hanna; Stecka, Mariola

    2017-08-29

    In view of unavailability in Poland of the standardized methods to measure PIQ, the aim of the work was to develop a Polish test to assess the premorbid level of intelligence - PART(Polish AdultReading Test) and to measureits psychometric properties, such as validity, reliability as well as standardization in the group of schizophrenia patients. The principles of PART construction were based on the idea of popular worldwide National Adult Reading Test by Hazel Nelson. The research comprised a group of 122 subjects (65 schizophrenia patients and 57 healthy people), aged 18-60 years, matched for age and gender. PART appears to be a method with high internal consistency and reliability measured by test-retest, inter-rater reliability, and the method with acceptable diagnostic and prognostic validity. The standardized procedures of PART have been investigated and described. Considering the psychometric values of PART and a short time of its performance, the test may be a useful diagnostic instrument in the assessment of premorbid level of intelligence in a group of schizophrenic patients.

  11. Ferocious Enemies and Noble Heroes: Images of “Us” and “Them” in Polish American Textbooks (until the 1930s

    Directory of Open Access Journals (Sweden)

    Adam Walaszek

    2003-12-01

    Full Text Available Ethnic schools had an important role to play in propagating profiles of “ideal” heroes and members of society among immigrant communities. Consequently, it was often the role of school coursebooks to inform pupils of the messages adults wanted to transmit to the younger generation. In this paper the author attempts to describe contents of various textbooks used in Polish-American parochial schools. Invention of national mythology and simplification of facts was necessary to facilitate the memorizing process as required. But to form group solidarity one also had to identify common enemies. Various textbooks published in the U.S.A. before World War I did not help immigrants’ children to acculturate to their American realities. Being very didactic, they focused mainly on Polish realities and Polish history. Only after World War I did an American presence become more visible in books used in the first grade. In thirties Felicians predominantly used textbooks written in the U.S.A., mainly by S. M. Cyryla (Magdalena Tobaka. The heroes they presented were characterized schematically, and the ideals found therein were alien to Polish-American children. Polska by S. Mary Cyryla, was the book on which this analysis was based. The image of Poles and “other” nations is surprisingly defined in black and white. Foreign nations are depicted as cruel, monstrous, villain, pagan, barbaric, etc. “Us”, the Poles, are seen as brave, just, good, wise, honest, faithful, etc., they “have loved faith, country, and language” etc. Cyryla’s textbook tried to engender feelings of community by dividing the world into “us” and “them”, thereby provoking antagonism towards “them.” Polish personality traits were very much in keeping with the images and self-stereotypes which Polish society had about itself. In constructing a positive and ideal self-image, it was useful for “others” and “strangers” to be depicted as “enemies”.

  12. Mathematical modelling of a self-polishing antifouling paint exposed to seawater: A parameter study

    DEFF Research Database (Denmark)

    Kiil, Søren; Pedersen, M. S.; Dam-Johansen, Kim

    2002-01-01

    , and to suggest ways of controlling biocide release rates. A case study with an antifouling paint based on the well-known tributyltin self-polishing copolymer system showed that the rate of paint polishing was influenced, to various degrees, by the following parameters: seawater pH and concentration of Na...

  13. A diagnosis of strangeness. Brzozowski’s Anti-Sienkiewicz campaign as a dispute about Polish identity

    Directory of Open Access Journals (Sweden)

    Krzysztof Fiołek

    2016-03-01

    Full Text Available This essay discusses the Anti-Sienkiewicz campaign briefly. The affair happened in 1903 in the Warsaw weekly magazine “Głos”, but was written up in many Polish newspapers. Stanisław Brzozowski (1878-1911, the beginning publicist, literary critic and novelist spoke against Sienkiewicz’s famous works. Sienkiewicz’s main novels have been criticised for their conservatism and lack of social progressiveness, although they display great narrative power and contain vivid characterisations in a style full of imagery. The lack of national independence created greater reverence towards national history in 19th century Polish literature. Sienkiewicz felt a close bond with the Polish nobility. Brzozowski tried to put his own philosophy of culture and his concept of the “philosophy of labour” into practice. He spoke as am exponent of Polish modernism and socialism.

  14. Polish model of electric energy market-bulk energy tariff

    International Nuclear Information System (INIS)

    Malysa, H.

    1994-01-01

    The key problem of electric energy supply industry reform is gradually launching a competitive wholesale generation market since 1994. In process of this transformation the important role plays bulk energy supply tariff in electricity transactions between Polish Power Grid Company and distribution and retail supply companies (distributors). Premises, factors and constrains having influence on shaping of the bulk energy supply tariff are presented. A brief outline of economic foundation for calculation of demand charges and energy rate is given. Particular attention has been paid to description of bulk energy supply tariff structure. The scope and manner of adjustment of this tariff to circumstances and constrains in the initial stage of the wholesale electric energy market have been described as well. (author). 8 refs

  15. Corporate Politics on Polish Millennials

    OpenAIRE

    Natalia Roślik

    2017-01-01

    In the very beginning of this particular paper, an author is trying to determine and describe who Millennials actually are. Then, the basis of Millennials definition is analysing corporation’s activity over the past years regarding this age group. The main goal of the thesis is to bring their specific futures out and describe what corporations on Polish job market are doing to encourage them to work in their offices. Especially in Poland within the last years, it is observed that big multinat...

  16. Surface polishing of niobium for superconducting radio frequency (SRF) cavity applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States)

    2014-08-01

    Niobium cavities are important components in modern particle accelerators based on superconducting radio frequency (SRF) technology. The interior of SRF cavities are cleaned and polished in order to produce high accelerating field and low power dissipation on the cavity wall. Current polishing methods, buffered chemical polishing (BCP) and electro-polishing (EP), have their advantages and limitations. We seek to improve current methods and explore laser polishing (LP) as a greener alternative of chemical methods. The topography and removal rate of BCP at different conditions (duration, temperature, sample orientation, flow rate) was studied with optical microscopy, scanning electron microscopy (SEM), and electron backscatter diffraction (EBSD). Differential etching on different crystal orientations is the main contributor to fine grain niobium BCP topography, with gas evolution playing a secondary role. The surface of single crystal and bi-crystal niobium is smooth even after heavy BCP. The topography of fine grain niobium depends on total removal. The removal rate increases with temperature and surface acid flow rate within the rage of 0~20 °C, with chemical reaction being the possible dominate rate control mechanism. Surface flow helps to regulate temperature and avoid gas accumulation on the surface. The effect of surface flow rate on niobium EP was studied with optical microscopy, atomic force microscopy (AFM), and power spectral density (PSD) analysis. Within the range of 0~3.7 cm/s, no significant difference was found on the removal rate and the macro roughness. Possible improvement on the micro roughness with increased surface flow rate was observed. The effect of fluence and pulse accumulation on niobium topography during LP was studied with optical microscopy, SEM, AFM, and PSD analysis. Polishing on micro scale was achieved within fluence range of 0.57~0.90 J/cm2, with pulse accumulation adjusted accordingly. Larger area treatment was proved possible by

  17. Strategy for development of the Polish electricity sector

    Energy Technology Data Exchange (ETDEWEB)

    Dybowski, J. [Polish Power Grid Co., Warsaw (Poland)

    1995-12-01

    This paper represents the strategy for development of the Polish Electricity Sector dealing with specific problems which are common for all of East Central Europe. In 1990 Poland adopted a restructuring program for the entire energy sector. Very ambitious plans were changed several times but still the main direction of change was preserved. The most difficult period of transformation is featured by several contradictions which have to be balanced. Electricity prices should increase in order to cover the modernization and development program but the society is not able to take this burden in such a short time. Furthermore the new environment protection standards force the growth of capital investment program which sooner or later has to be transferred through the electricity prices. New economic mechanisms have to be introduced to the electricity sector to replace the old ones noneffective, centrally planned. This process has to follow slow management changes. Also, introduction of new electricity market is limited by those constraints. However, this process of change would not be possible without parallel governmental initiation like preparation of new energy law and regulatory frames.

  18. Material removal and surface figure during pad polishing of fused silica

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, T I; Feit, M D; Steele, W A

    2009-05-04

    The material removal and surface figure after ceria pad polishing of fused silica glass have been measured and analyzed as a function of kinematics, loading conditions, and polishing time. Also, the friction at the workpiece/lap interface, the slope of the workpiece relative to the lap plane, and lap viscoelastic properties have been measured and correlated to material removal. The results show that the relative velocity between the workpiece & lap (determined by the kinematics) and the pressure distribution determine the spatial and temporal material removal and hence the final surface figure of the workpiece. In the case where the applied loading and relative velocity distribution over the workpiece are spatially uniform, a significant non-uniform spatial material removal from the workpiece surface is observed. This is due to a non-uniform pressure distribution resulting from: (1) a moment caused by a pivot point and interface friction forces; (2) viscoelastic relaxation of the polyurethane lap; and (3) a physical workpiece/lap interface mismatch. Both the kinematics and these contributions to the pressure distribution are quantitatively described, and then combined to form a spatial and temporal Preston model & code for material removal (called Surface Figure or SurF{copyright}). The surface figure simulations are consistent with the experiment for a wide variety of polishing conditions. This study is an important step towards deterministic full-aperture polishing, which would allow optical glass fabrication to be performed in a more repeatable, less iterative, and hence more economical manner.

  19. Effects of laser polishing on surface microstructure and corrosion resistance of additive manufactured CoCr alloys

    Science.gov (United States)

    Wang, W. J.; Yung, K. C.; Choy, H. S.; Xiao, T. Y.; Cai, Z. X.

    2018-06-01

    Laser polishing of 3D printed metal components has drawn great interest in view of its potential applications in the dental implant industries. In this study, corrosion resistance, surface composition and crystalline structure of CoCr alloys were investigated. The corrosion resistance, micromorphology, composition, phase transformations and crystalline structures of samples were characterized using an electrochemical analyzer, scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD) and transmission electron microscope (TEM), respectively. The results indicate that high laser powers and low object distances within a certain range can facilitate the formation of complex oxide films, which exhibits high corrosion resistance. Further, object distances have a significant influence on cooling rates during the solidification of the melt pool in laser polishing, and fast cooling generates vast amounts of vacancies and defects, which result in the crystalline phase transformation from γ to ε. Consequently, the formed oxides play an important role in corrosion resistance on the outer layer, and inner layer with γ phase also helps keep the CoCr alloys in a stable structure with high resistant to corrosion. The two process parameters in laser polishing, laser power and object distances, are demonstrated as being important for controlling the surface microstructures and corrosion resistance of the additive manufactured CoCr alloy components.

  20. Apparatus and method for deterministic control of surface figure during full aperture pad polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Douglas; Steele, William Augustus

    2017-10-10

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.