WorldWideScience

Sample records for polished silicon surface

  1. Polishing of silicon based advanced ceramics

    Science.gov (United States)

    Klocke, Fritz; Dambon, Olaf; Zunke, Richard; Waechter, D.

    2009-05-01

    Silicon based advanced ceramics show advantages in comparison to other materials due to their extreme hardness, wear and creep resistance, low density and low coefficient of thermal expansion. As a matter of course, machining requires high efforts. In order to reach demanded low roughness for optical or tribological applications a defect free surface is indispensable. In this paper, polishing of silicon nitride and silicon carbide is investigated. The objective is to elaborate scientific understanding of the process interactions. Based on this knowledge, the optimization of removal rate, surface quality and form accuracy can be realized. For this purpose, fundamental investigations of polishing silicon based ceramics are undertaken and evaluated. Former scientific publications discuss removal mechanisms and wear behavior, but the scientific insight is mainly based on investigations in grinding and lapping. The removal mechanisms in polishing are not fully understood due to complexity of interactions. The role of, e.g., process parameters, slurry and abrasives, and their influence on the output parameters is still uncertain. Extensive technological investigations demonstrate the influence of the polishing system and the machining parameters on the stability and the reproducibility. It is shown that the interactions between the advanced ceramics and the polishing systems is of great relevance. Depending on the kind of slurry and polishing agent the material removal mechanisms differ. The observed effects can be explained by dominating mechanical or chemo-mechanical removal mechanisms. Therefore, hypotheses to state adequate explanations are presented and validated by advanced metrology devices, such as SEM, AFM and TEM.

  2. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Combined Ultrasonic Elliptical Vibration and Chemical Mechanical Polishing of Monocrystalline Silicon

    Directory of Open Access Journals (Sweden)

    Liu Defu

    2016-01-01

    Full Text Available An ultrasonic elliptical vibration assisted chemical mechanical polishing(UEV-CMP is employed to achieve high material removal rate and high surface quality in the finishing of hard and brittle materials such as monocrystalline silicon, which combines the functions of conventional CMP and ultrasonic machining. In theultrasonic elliptical vibration aided chemical mechanical polishingexperimental setup developed by ourselves, the workpiece attached at the end of horn can vibrate simultaneously in both horizontal and vertical directions. Polishing experiments are carried out involving monocrystalline silicon to confirm the performance of the proposed UEV-CMP. The experimental results reveal that the ultrasonic elliptical vibration can increase significantly the material removal rate and reduce dramatically the surface roughness of monocrystalline silicon. It is found that the removal rate of monocrystalline silicon polished by UEV-CMP is increased by approximately 110% relative to that of conventional CMP because a passive layer on the monocrystalline silicon surface, formed by the chemical action of the polishing slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic vibration action. It indicates that the high efficiency and high quality CMP of monocrystalline silicon can be performed with the proposed UEV-CMP technique.

  4. Characterisation and stabilisation of the surface region of a highly polished silicon crystal sphere

    International Nuclear Information System (INIS)

    Kenny, M.J.; Wielunski, L.S.

    1999-01-01

    Full text: Typically a single crystal silicon wafer has a native oxide layer a few nm thick which changes slowly with time. A number of parameters such as hydrocarbons, water vapour, storage environment can affect this layer. The thickness of the layer is also orientation dependent. In the case of a silicon sphere the situation becomes more complex, because all orientations are present and the process of polishing involves a higher pressure and also high local temperatures. A highly polished single crystal sphere 93.6 mm in diameter is being used to determine the Avogadro constant with an uncertainty of ≤ 1 x 10 -8 . This will then be used to obtain an atomic definition of the kilogram. The composition and structure of the surface oxide layer play an important role in this measurement. Firstly the density of the oxide layer is different from that of silicon. Secondly since the diameter is measured by optical interferometry, corrections must be applied for the phase change in the reflected light beam due to the surface layer. Thirdly the orientation dependence of the layer complicated the corrections to be applied. Fourthly if measurements are made over a period of time, any changes in the surface layer must be taken into account. Given the accuracy required in the determination, the surface layer is a determining factor in the final result. A number of techniques such as spectroscopic ellipsometry and ion beam analysis are being used to study the composition and structure of the surface of a silicon sphere. Cleaning techniques such as HF and low temperature ultraviolet (ozone) are being developed to produce a clean surface. The next step involves deposition of a stable and uniform surface oxide layer a few nm thick. Techniques being investigated for this include ultra violet ozone deposition at 450 deg C and plasma deposition. The paper describes work at the NML in achieving an appropriate stable surface on the silicon sphere

  5. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  6. Damage-free polishing of monocrystalline silicon wafers without chemical additives

    International Nuclear Information System (INIS)

    Biddut, A.Q.; Zhang, L.C.; Ali, Y.M.; Liu, Z.

    2008-01-01

    This investigation explores the possibility and identifies the mechanism of damage-free polishing of monocrystalline silicon without chemical additives. Using high resolution electron microscopy and contact mechanics, the study concludes that a damage-free polishing process without chemicals is feasible. All forms of damages, such as amorphous Si, dislocations and plane shifting, can be eliminated by avoiding the initiation of the β-tin phase of silicon during polishing. When using 50 nm abrasives, the nominal pressure to achieve damage-free polishing is 20 kPa

  7. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  8. Surface qualities after chemical-mechanical polishing on thin films

    International Nuclear Information System (INIS)

    Fu, Wei-En; Lin, Tzeng-Yow; Chen, Meng-Ke; Chen, Chao-Chang A.

    2009-01-01

    Demands for substrate and film surface planarizations significantly increase as the feature sizes of Integrated Circuit (IC) components continue to shrink. Chemical Mechanical Polishing (CMP), incorporating chemical and mechanical interactions to planarize chemically modified surface layers, has been one of the major manufacturing processes to provide global and local surface planarizations in IC fabrications. Not only is the material removal rate a concern, the qualities of the CMP produced surface are critical as well, such as surface finish, defects and surface stresses. This paper is to examine the CMP produced surface roughness on tungsten or W thin films based on the CMP process conditions. The W thin films with thickness below 1000 nm on silicon wafer were chemical-mechanical polished at different down pressures and platen speeds to produce different surface roughness. The surface roughness measurements were performed by an atomic force microscope (DI D3100). Results show that the quality of surface finish (R a value) is determined by the combined effects of down pressures and platen speeds. An optimal polishing condition is, then, possible for selecting the down pressures and platen speeds.

  9. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  10. Strain of laser annealed silicon surfaces

    Science.gov (United States)

    Nemanich, R. J.; Haneman, D.

    1982-05-01

    High resolution Raman scattering measurements have been carried out on pulse and continuous-wave laser annealed silicon samples with various surface preparations. These included polished and ion-bombarded wafers, and saw-cut crystals. The pulse annealing treatments were carried out in ultrahigh vacuum and in air. The residual strain was inferred from the frequency shift of the first-order Raman active mode of Si, and was detectable in the range 10-2-10-3 in all except the polished samples.

  11. Gloss and surface roughness produced by polishing kits on resin composites.

    Science.gov (United States)

    Sadidzadeh, Ramtin; Cakir, Deniz; Ramp, Lance C; Burgess, John O

    2010-08-01

    To compare in vitro the surface roughness (Ra) and gloss (G) produced by three conventional and one experimental polishing kits on four resin composites. 24 discs were prepared (d = 12 mm, t = 4 mm) for each resin composite: Filtek Supreme Plus Body/A2 (FSB), Yellow Translucent (FST), Heliomolar/A2 (HM), and EsthetX/A2 (EX) following the manufacturers' instructions. They were finished with 320 grit silicon carbide paper for 80 seconds each. Polishing systems: Sof-Lex, Enhance-Pogo, Astropol and Experimental Discs/EXL-695, were applied following manufacturers' instructions. Each specimen was ultrasonically cleaned with distilled water and dried. Gloss and Ra were measured with a small area glossmeter (Novo-curve) and non-contact profilometer (Proscan 2000) following ISO 4288, respectively. The results were evaluated by two-way ANOVA followed by separate one-way ANOVA and Tukey/Kramer test (P = 0.05). There was a significant interaction of surface roughness and gloss between the composites and polishing systems (P gloss was obtained for FSB composite polished with the Experimental kit. The experimental polishing system produced smoothest surfaces (P gloss (P < 0.05).

  12. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  13. Polishing and toothbrushing alters the surface roughness and gloss of composite resins.

    Science.gov (United States)

    Kamonkhantikul, Krid; Arksornnukit, Mansuang; Takahashi, Hidekazu; Kanehira, Masafumi; Finger, Werner J

    2014-01-01

    This study aimed to investigate the surface roughness and gloss of composite resins after using two polishing systems and toothbrushing. Six composite resins (Durafill VS, Filtek Z250, Filtek Z350 XT, Kalore, Venus Diamond, and Venus Pearl) were evaluated after polishing with two polishing systems (Sof-Lex, Venus Supra) and after toothbrushing up to 40,000 cycles. Surface roughness (Ra) and gloss were determined for each composite resin group (n=6) after silicon carbide paper grinding, polishing, and toothbrushing. Two-way ANOVA indicated significant differences in both Ra and gloss between measuring stages for the composite resins tested, except Venus Pearl, which showed significant differences only in gloss. After polishing, the Filtek Z350 XT, Kalore, and Venus Diamond showed significant increases in Ra, while all composite resin groups except the Filtek Z350 XT and Durafill VS with Sof-Lex showed increases in gloss. After toothbrushing, all composite resin demonstrated increases in Ra and decreases in gloss.

  14. Effect of different polishing methods on surface roughness of provisional prosthetic materials.

    Science.gov (United States)

    Tupinambá, Ívian Verena Maia; Giampá, Priscila Couy Corrêa; Rocha, Isadora Almeida Rios; Lima, Emilena Maria Castor Xisto

    2018-01-01

    To evaluate the surface roughness of bis-acrylic and acrylic resins submitted to different methods of polishing. Fifty samples of each provisional restorative material (Structur 2, Protemp 4, Duralay, and Dencrilay) were fabricated (10 mm × 2 mm) and divided into five groups ( n = 10): (1) positive control group - polyester strip; (2) negative control - unpolished; (3) abrasive tips (Exa-Technique-Edenta); (4) goat hair brush and diamond polishing paste; and (5) silicone tips (Enhance). Each material was mixed and polymerized according to manufacturer's instructions. The parameter evaluated was the arithmetic mean of the surface roughness (Ra) determined using the rugosimeter SJ 301 (Mitutoyo, Japan). The data were analyzed with two-way analysis of variance ( post hoc Tukey's test) ( P < 0.05). The lowest surface roughness values (0,22-0,90 μm) were observed in the Group 4 - goat hair brush and diamond paste, while the highest values (1,17-1,44 μm) were found in the Group 5 - silicone tips (enhance), with statistically significant differences between them, except for Dencrilay acrylic resin. There was statistically significant difference between bis-acrylic and acrylic resins in the Groups 1, 2, and 4. Within the limitations of this study, it was concluded that the most effective polishing system was the goat hair brush with diamond paste for both bis-acrylic and acrylic resins. The bis-acrylic resins exhibited significantly smoother surfaces than the acrylic resins.

  15. Surface Roughness, Microhardness, and Microleakage of a Silorane-Based Composite Resin after Immediate or Delayed Finishing/Polishing

    Directory of Open Access Journals (Sweden)

    Fernanda Carvalho Rezende Lins

    2016-01-01

    Full Text Available Objective. This study evaluated the effect of immediate or delayed finishing/polishing using different systems on the surface roughness, hardness, and microleakage of a silorane-based composite. Material and Methods. Specimens were made with silorane-based composite (Filtek P90, 3M ESPE and assigned to the treatments: control (light-cured; aluminum oxide discs (Sof-Lex, 3M ESPE; diamond-impregnated silicone tips (Astropol, Ivoclar Vivadent; aluminum oxide-impregnated silicone tips (Enhance, Dentsply. Half of the specimens were finished/polished immediately and the rest after 7 days. Surface roughness (Ra, μm; n=20 and Vickers microhardness (50 g; 45 s; n=10 were measured. Cavities were prepared in bovine incisors and filled with Filtek P90. The fillings received immediate or delayed finishing/polishing (n=10 and were subjected to dye penetration test (0.5% basic fuchsin, 24 h. Data were analyzed by ANOVA and Scheffe, Kruskal-Wallis, and Mann-Whitney tests (p<0.05. Results. The finishing/polishing system significantly influenced roughness and microhardness (p<0.0001. For enamel, microleakage was not affected by the finishing/polishing system (p=0.309. For dentin, Sof-Lex discs and Astropol points promoted greater microleakage than Enhance points (p=0.033. Conclusion. Considering roughness, microhardness, and microleakage together, immediate finishing/polishing of a silorane-based composite using aluminum oxide discs may be recommended.

  16. Effects of polishing on surface roughness, gloss, and color of resin composites.

    Science.gov (United States)

    Hosoya, Yumiko; Shiraishi, Takanobu; Odatsu, Tetsuro; Nagafuji, Junichi; Kotaku, Mayumi; Miyazaki, Masashi; Powers, John M

    2011-09-01

    This study evaluated the effects of polishing on surface roughness, gloss, and color of regular, opaque, and enamel shades for each of three resin composites. Two-mm-thick resin disks made with Estelite Σ Quick, Clearfil Majesty, and Beautifil II were final polished with 180-, 1000-, and 3000-grit silicon carbide paper. Surface roughness, gloss, and color were measured one week after curing. Estelite Σ Quick had significantly lower roughness values and significantly higher gloss values as compared with Clearfil Majesty and Beautifil II. The effects of surface roughness and gloss on color (L*a*b*) differed among resin composites and by shade. Correlation coefficients between surface roughness and L*a*b* color factors were generally high for Clearfil Majesty, partially high (i.e., between roughness and L*) for Beautifil II, and low for Estelite Σ Quick. Correlation coefficients between gloss and L*a*b* color parameters were generally high for Beautifil II and low for Estelite Σ Quick and Clearfil Majesty. However, for all resin composites, the values of the color differences between 3000-grit and 180-grit polishing groups for all shades were imperceptible by the naked eye.

  17. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  18. Effects of polishing on surface roughness, gloss and color of surface reaction type pre-reacted glass-ionomer filled resin composite.

    Science.gov (United States)

    Hosoya, Yumiko; Shiraishi, Takanobu; Odatsu, Tetsuro; Miyazaki, Masashi; García-Godoy, Franklin

    2011-06-01

    To evaluate the effects of polishing on surface roughness, gloss and color of different shades of surface reaction type pre-reacted glass-ionomer (S-PRG) filled nano-hybrid resin composite. Resin disks of 15 mm diameter and 2 mm thickness and final polish with 1000-grit SiC paper, super fine cut diamond (FG) point, silicon (MFR) point and Super-Snap mini-disk red (SNAP) were made with Beautifil II shades: A2, A20, Inc). One week after curing, the surface roughness, gloss and color were measured. Data was analyzed with ANOVA and Fisher's PLSD with alpha= 0.05 For all shades, the order of roughness (Ra) ranked according to groups of 1000-grit SiC > FG > MFR > SNAP with significant differences among all groups. For all shades, the order of gloss ranked according to groups of SNAP > MFR > FG > 1000-grit SiC with significant differences among the groups except for between MFR and FG without significant difference. The influence of the surface roughness on color differed among the polishing groups and shades. However, the values of the color differences (deltaE*ab) between the polishing groups of all shades were imperceptible to the naked eye.

  19. Effect of polishing instruments and polishing regimens on surface topography and phase transformation of monolithic zirconia: An evaluation with XPS and XRD analysis.

    Science.gov (United States)

    Al-Haj Husain, Nadin; Camilleri, Josette; Özcan, Mutlu

    2016-12-01

    Polishing procedures might alter monolithic zirconia (MZ) surface resulting in phase changes that can be deleterious for clinical performance and antagonist tooth wear. This study investigated the topographical features and phase transformation in MZ after polishing with different regimens simulating the clinical workflow. ​ MZ specimens (Katana Zirconia HT, Kuraray-Noritake) (12×12×1.8 mm(3)) were grinded and polished using one of the five systems assessed: BG: Silicone carbide polishers (Brownie, Greenie, Super Greenie); CG: Diamond impregnated ceramic polisher kit (Ceragloss); EV: Synthetically bonded grinder interspersed with diamond (EVE Kit); SL: Urethane coated paper with aluminium oxide grits (Soflex Finishing and Polishing System Kit) and DB: Diamond bur (8 µm). Polished specimens were initially roughened with 220 µm diamond burs (Grinding Bur-GB) (10 s, 160.000160,000 rpm) and considered for baseline measurements. Polishing regimens were performed for 10 s using a slow-speed hand piece under water-cooling except for SL, in a custom made device (750 g; 5000 and 75,000 rpm). Surface roughnesses, phase changes (XRD) were assessed, surface characterization was performed (SEM, EDS). The highest roughness was obtained with the EV system (1.11 µm) compared to those of other systems (0.13-0.4 µm) (pθ and minor peak at 34.94°2θ. While GB, CG, EV, SL and DB exhibited a peak shift to the left, BG demonstrated a right peak shift on the 2θ scale. Monoclinic phase change was not noted in any of the groups. All polishing methods, except BG, exhibited a peak shift towards the lower angles of the 2-theta scale. Since the peak shifts were in the order of fractions of an angle they are attributed to stress formation rather than a phase change in the material. Thus, all polishing systems tested may not be detrimental for the phase transformation of MZ. EV system resulted in the highest roughness and none of the polishing regimens restored the polishability to the

  20. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  1. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  2. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  3. Ellipsometry measurements of thickness of oxide and water layers on spherical and flat silicon surfaces

    International Nuclear Information System (INIS)

    Kenny, M.J.; Netterfield, R.; Wielunski, L.S.

    1998-01-01

    Full text: Ellipsometry has been used to measure the thickness of oxide layers on single crystal silicon surfaces, both flat and spherical and also to measure the extent of adsorption of moisture on the surface as a function of partial water vapour pressure. The measurements form part of an international collaborative project to make a precise determination of the Avogadro constant (ΔN A /N A -8 ) which will then be used to obtain an absolute definition of the kilogram, rather than one in terms of an artefact. Typically the native oxide layer on a cleaned silicon wafer is about 2 nm thick. On a polished sphere this oxide layer is typically 8 to 10 nm thick, the increased thickness being attributed to parameters related to the polishing process. Ellipsometry measurements on an 89 mm diameter polished silicon sphere at both VUW and CSIRO indicated a SiO 2 layer at 7 to 10 nm thick. It was observed that this thickness varied regularly. The crystal orientation of the sphere was determined using electron patterns generated from an electron microscope and the oxide layer was then measured through 180 arcs of great circles along (110) and (100) planes. It was observed that the thickness varied systematically with orientation. The minimum thickness was 7.4 nm at the axis (softest direction in silicon) and the greatest thickness was 9.5 nm at the axis (hardest direction in silicon). This is similar to an orientation dependent cubic pattern which has been observed to be superimposed on polished silicon spheres. At VUW, the sphere was placed in an evacuated bell jar and the ellipsometry signal was observed as the water vapour pressure was progressively increased up to saturation. The amount of water vapour adsorbed at saturation was one or two monolayers, indicating that the sphere does not wet

  4. Doping profile measurement on textured silicon surface

    Science.gov (United States)

    Essa, Zahi; Taleb, Nadjib; Sermage, Bernard; Broussillou, Cédric; Bazer-Bachi, Barbara; Quillec, Maurice

    2018-04-01

    In crystalline silicon solar cells, the front surface is textured in order to lower the reflection of the incident light and increase the efficiency of the cell. This texturing whose dimensions are a few micrometers wide and high, often makes it difficult to determine the doping profile measurement. We have measured by secondary ion mass spectrometry (SIMS) and electrochemical capacitance voltage profiling the doping profile of implanted phosphorus in alkaline textured and in polished monocrystalline silicon wafers. The paper shows that SIMS gives accurate results provided the primary ion impact angle is small enough. Moreover, the comparison between these two techniques gives an estimation of the concentration of electrically inactive phosphorus atoms.

  5. Fabrication of multi-functional silicon surface by direct laser writing

    Science.gov (United States)

    Verma, Ashwani Kumar; Soni, R. K.

    2018-05-01

    We present a simple, quick and one-step methodology based on nano-second laser direct writing for the fabrication of micro-nanostructures on silicon surface. The fabricated surfaces suppress the optical reflection by multiple reflection due to light trapping effect to a much lower value than polished silicon surface. These textured surfaces offer high enhancement ability after gold nanoparticle deposition and then explored for Surface Enhanced Raman Scattering (SERS) for specific molecular detection. The effect of laser scanning line interval on optical reflection and SERS signal enhancement ability was also investigated. Our results indicate that low optical reflection substrates exhibit uniform SERS enhancement with enhancement factor of the order of 106. Furthermore, this methodology provide an alternative approach for cost-effective large area fabrication with good control over feature size.

  6. The Covalent Binding of Photosensitive Dyes to Monocrystalline Silicon Surface and Their Spectral Response

    Institute of Scientific and Technical Information of China (English)

    郭志新; 郝纪祥; 张祖训; 曹子祥

    1993-01-01

    A chemical method is proposed to bond photo-sensitive dyes directly to the surface of polished monocrystalline silicon. A methincyanine dye and a trimethincyanine dye have been bonded covalently onto silicon surface through Si—N bond, which are characterized by XPS technique and laser Raman spectra. Photovoltaic effect has been observed with the In/dye/n-Si sandwich devices composed of the dye-bonded n-Si wafers. Significant spectral response shows the characteristic absorptance maxima of the bonded dyes.

  7. Evaluation of the Effect of Surface Polishing, Oral Beverages and Food Colorants on Color Stability and Surface Roughness of Nanocomposite Resins.

    Science.gov (United States)

    Kumari, R Veena; Nagaraj, Hema; Siddaraju, Kishore; Poluri, Ramya Krishna

    2015-07-01

    It is beyond doubt that finishing and polishing of a composite restoration enhance its esthetics and, is also essential for the health of the periodontium. A variety of instruments are commonly used for finishing and polishing tooth-colored restorative materials Thus, it is important to understand which type of surface finishing treatments would significantly affect the staining and surface irregularities of the composite resin restoration. Still one of the properties of the composite resins that have to pass the test of time is its color stability. In modern day dentistry, a large emphasis is laid over esthetics. Hence, it is important to understand the various agents capable of adversely affecting the esthetics of a restoration due to its staining capacity. Thus, the aim of this in vitro study was to evaluate the effect of surface polishing, oral beverages and food colorants on the color stability and surface roughness of nanocomposite resins. 90 Disks of nanocomposites resin (Filtek Z350 XT) measuring 8 mm in diameter and 2 mm in thickness were fabricated using a custom made silicon mold. Pre-polishing surface roughness (Ra1) of all the 90 samples were measured using a Surface Profilometer. The nano-composite disks were then randomly divided into 3 groups with 30 samples in each group. Group I: The samples were not subjected to any polishing procedures. Group II: Sof-Lex group: Samples subjected to polishing using different grits of Sof-Lex disks. Group III: Diamond polishing paste group: Samples were subjected with a polishing paste consisting of diamond particles. Following polishing procedures, the surface roughness of all samples were measured again to obtain change in surface roughness due to polishing procedures (Ra2), pre immersion spectrophotometric value (ΔE1) was also recorded for baseline color of the samples. The samples were then divided into subgroups (A, B, C, D, E), by including every first sample in Subgroup A, second in Subgroup B, third in

  8. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  9. Evaluation of the effect of different methods of microabrasion and polishing on surface roughness of dental enamel.

    Science.gov (United States)

    Bertoldo, Carlos; Lima, Debora; Fragoso, Larissa; Ambrosano, Glaucia; Aguiar, Flavio; Lovadino, Jose

    2014-01-01

    The microabrasion technique of enamel consists of selectively abrading the discolored areas or causing superficial structural changes in a selective way. In microabrasion technique, abrasive products associated with acids are used, and the evaluation of enamel roughness after this treatment, as well as surface polishing, is necessary. This in-vitro study evaluated the enamel roughness after microabrasion, followed by different polishing techniques. Roughness analyses were performed before microabrasion (L1), after microabrasion (L2), and after polishing (L3).Thus, 60 bovine incisive teeth divided into two groups were selected (n=30): G1- 37% phosphoric acid (37%) (Dentsply) and pumice; G2- hydrochloric acid (6.6%) associated with silicon carbide (Opalustre - Ultradent). Thereafter, the groups were divided into three sub-groups (n=10), according to the system of polishing: A - Fine and superfine granulation aluminum oxide discs (SofLex 3M); B - Diamond Paste (FGM) associated with felt discs (FGM); C - Silicone tips (Enhance - Dentsply). A PROC MIXED procedure was applied after data exploratory analysis, as well as the Tukey-Kramer test (5%). No statistical differences were found between G1 and G2 groups. L2 differed statistically from L1 and showed superior amounts of roughness. Differences in the amounts of post-polishing roughness for specific groups (1A, 2B, and 1C) arose, which demonstrated less roughness in L3 and differed statistically from L2 in the polishing system. All products increased enamel roughness, and the effectiveness of the polishing systems was dependent upon the abrasive used.

  10. Anomalous optical surface absorption in nominally pure silicon samples at 1550 nm

    Science.gov (United States)

    Bell, Angus S.; Steinlechner, Jessica; Martin, Iain W.; Craig, Kieran; Cunningham, William; Rowan, Sheila; Hough, Jim; Schnabel, Roman; Khalaidovski, Alexander

    2017-10-01

    The announcement of the direct detection of gravitational waves (GW) by the LIGO and Virgo collaboration in February 2016 has removed any uncertainty around the possibility of GW astronomy. It has demonstrated that future detectors with sensitivities ten times greater than the Advanced LIGO detectors would see thousands of events per year. Many proposals for such future interferometric GW detectors assume the use of silicon test masses. Silicon has low mechanical loss at low temperatures, which leads to low displacement noise for a suspended interferometer mirror. In addition to the low mechanical loss, it is a requirement that the test masses have a low optical loss. Measurements at 1550 nm have indicated that material with a low enough bulk absorption is available; however there have been suggestions that this low absorption material has a surface absorption of  >100 ppm which could preclude its use in future cryogenic detectors. We show in this paper that this surface loss is not intrinsic but is likely to be a result of particular polishing techniques and can be removed or avoided by the correct polishing procedure. This is an important step towards high gravitational wave detection rates in silicon based instruments.

  11. Anomalous optical surface absorption in nominally pure silicon samples at 1550 nm

    International Nuclear Information System (INIS)

    Bell, Angus S; Steinlechner, Jessica; Martin, Iain W; Craig, Kieran; Cunningham, William; Rowan, Sheila; Hough, Jim; Schnabel, Roman; Khalaidovski, Alexander

    2017-01-01

    The announcement of the direct detection of gravitational waves (GW) by the LIGO and Virgo collaboration in February 2016 has removed any uncertainty around the possibility of GW astronomy. It has demonstrated that future detectors with sensitivities ten times greater than the Advanced LIGO detectors would see thousands of events per year. Many proposals for such future interferometric GW detectors assume the use of silicon test masses. Silicon has low mechanical loss at low temperatures, which leads to low displacement noise for a suspended interferometer mirror. In addition to the low mechanical loss, it is a requirement that the test masses have a low optical loss. Measurements at 1550 nm have indicated that material with a low enough bulk absorption is available; however there have been suggestions that this low absorption material has a surface absorption of  >100 ppm which could preclude its use in future cryogenic detectors. We show in this paper that this surface loss is not intrinsic but is likely to be a result of particular polishing techniques and can be removed or avoided by the correct polishing procedure. This is an important step towards high gravitational wave detection rates in silicon based instruments. (paper)

  12. Degradation of Silicon Carbide Reflective Surfaces in the LEO Environment

    Science.gov (United States)

    Mileti, Sandro; Coluzzi, Plinio; Marchetti, Mario

    2009-01-01

    Space mirrors in Low Earth Orbit (LEO) encounter a degradation problem caused by the impact of atomic oxygen (ATOX) in the space environment. This paper presents an experiment of the atomic oxygen impact degradation and UV synergic effects on ground simulation. The experiment was carried out in a dedicated ATOX simulation vacuum chamber. As target materials, a polished CVD Beta-silicon carbide (SiC) coating was investigated. The selection of silicon carbide is due to its high potential candidate as a mirror layer substrate material for its good reflectance at UV wavelengths and excellent thermal diffusivity. It has highly desirable mechanical and thermal properties and can achieve an excellent surface finish. The deposition of the coatings were on carbon-based material substrate; i.e., silicon impregnated carbon fiber composite (C/SiC). Mechanical and thermal properties of the coatings such as hardness and Coefficient of Thermal Expansion (CTE) were achieved. Several atomic oxygen impact angles were studied tilting the target samples respect to the flux direction. The various impact angles permitted to analyze the different erosion rates and typologies which the mirrors would encounter in LEO environment. The degradation was analyzed in various aspects. Macroscopic mass loss per unit area, surface roughness and morphology change were basically analyzed. The exposed surfaces of the materials were observed through a Scanning Electron Microscope (SEM). Secondly, optical diagnostic of the surfaces were performed in order to investigate their variation in optical properties as the evaluation of reflectance degradation. The presence of micro-cracks caused by shrinkage, grinding, polishing or thermal cycling and the porosity in the coatings, could have led to the undercutting phenomenon. Observation of uprising of undercutting was also conducted. Remarks are given regarding capabilities in short-term mission exposures to the LEO environment of this coating.

  13. New perspectives in hydrodynamic radial polishing techniques for optical surfaces

    Science.gov (United States)

    Ruiz, Elfego; Sohn, Erika; Luna, Esteban; Salas, Luis; Cordero, Alberto; González, Jorge; Núñez, Manuel; Salinas, Javier; Cruz-González, Irene; Valdés, Jorge; Cabrera, Victor; Martínez, Benjamín

    2004-09-01

    In order to overcome classic polishing techniques, a novel hydrodynamic radial polishing tool (HyDRa) is presented; it is useful for the corrective lapping and fine polishing of diverse materials by means of a low-cost abrasive flux and a hydrostatic suspension system that avoids contact of the tool with the working surface. This tool enables the work on flat or curved surfaces of currently up to two and a half meters in diameter. It has the advantage of avoiding fallen edges during the polishing process as well as reducing tool wear out and deformation. The functioning principle is based on the generation of a high-velocity, high-pressure, abrasive emulsion flux with radial geometry. The polishing process is repeatable by means of the control of the tool operational parameters, achieving high degrees of precision and accuracy on optical and semiconductor surfaces, with removal rates of up to 9 mm3/hour and promising excellent surface polishing qualities. An additional advantage of this new tool is the possibility to perform interferometric measurements during the polishing process without the need of dismounting the working surface. A series of advantages of this method, numerical simulations and experimental results are described.

  14. Effect of Two Polishing Systems on Surface Roughness, Topography, and Flexural Strength of a Monolithic Lithium Disilicate Ceramic.

    Science.gov (United States)

    Mohammadibassir, Mahshid; Rezvani, Mohammad Bagher; Golzari, Hossein; Moravej Salehi, Elham; Fahimi, Mohammad Amin; Kharazi Fard, Mohammad Javad

    2017-03-08

    To evaluate the effect of overglazing and two polishing procedures on flexural strength and quality and quantity of surface roughness of a monolithic lithium disilicate ceramic computer-aided design (CAD) after grinding. This in vitro study was conducted on 52 partially crystalized bar-shaped specimens (16 × 4 × 1.6 mm) of monolithic lithium disilicate ceramic. The specimens were wet polished with 600-, 800-, and 1200-grit silicon carbide papers for 15 seconds using a grinding/polishing machine at a speed of 300 rpm. Then, the specimens were crystalized and glaze-fired in one step simultaneously and randomly divided into four groups of 13: (I) Glazing group (control); (II) Grinding-glazing group, subjected to grinding with red band finishing diamond bur (46 μm) followed by glazing; (III) Grinding-D+Z group, subjected to grinding and then polishing by coarse, medium, and fine diamond rubber points (D+Z); and (IV) Grinding-OptraFine group, subjected to grinding and then polishing with a two-step diamond rubber polishing system followed by a final polishing step with an OptraFine HP brush and diamond polishing paste. The surface roughness (Ra and Rz) values (μm) were measured by a profilometer, and the mean values were compared using one-way ANOVA and Tamhane's test (post hoc comparison). One specimen of each group was evaluated under a scanning electron microscope (SEM) for surface topography. The three-point flexural strength values of the bars were measured using a universal testing machine at a 0.5 mm/min crosshead speed and recorded. The data were analyzed using one-way ANOVA and Tamhane's test (α = 0.05). Statistically significant differences were noted among the experimental groups for Ra, Rz (p SEM analysis of polished surfaces revealed regular morphology with some striations. The OptraFine system created smoother and more uniform surfaces in terms of quantity (p < 0.03 for Ra, p < 0.01 for Rz) and quality of roughness compared to glazing. The flexural

  15. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  16. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  17. Surface morphology changes of acrylic resins during finishing and polishing phases

    Directory of Open Access Journals (Sweden)

    Glaucio Serra

    2013-12-01

    Full Text Available INTRODUCTION: The finishing and polishing phases are essential to improve smoothness and shining on the surface of acrylic resins used to make removable orthodontic appliances. A good surface finishing reduces roughness, which facilitates hygiene, prevents staining and provides greater comfort to the patients. OBJECTIVE: The aim of this paper was to analyze the changes on surface morphology of acrylic resins during finishing and polishing phases. METHODS: Thirty discs (10 mm in diameter and 5 mm in length were made with acrylic resin and randomly divided into ten groups. The control group did not receive any treatment while the other groups received gradual finishing and polishing. The last group received the entire finishing and polishing procedures. Surface morphology was qualitatively analyzed through scanning electron microscopy and quantitatively analyzed through a laser profilometer test. RESULTS: The acrylic resin surfaces without treatment showed bubbles which were not observed in the subsequent phases. Wearing out with multilaminated burs, finishing with wood sandpaper and finishing with water sandpaper resulted in surfaces with decreasing irregularities. The surfaces that were polished with pumice and with low abrasive liquids showed high superficial smoothness. CONCLUSION: Highly smooth acrylic resin surfaces can be obtained after mechanical finishing and polishing performed with multilaminated burs, wood sandpaper, water sandpaper, pumice and low abrasive liquids.

  18. Surface geometry of three packable and one hybrid composite after polishing.

    Science.gov (United States)

    Jung, Martin; Bruegger, Hilka; Klimek, Joachim

    2003-01-01

    This study evaluated the surface quality of four composite materials after polishing with six different polishing techniques. Eighty specimens were made using three packable composites (Definite/Degussa, SureFil/ Dentsply and Solitaire/Heraeus-Kulzer) and one hybrid composite (Herculite XRV/Kerr). Five specimens of each material were polished using flexible Sof-Lex discs. The remaining 75 specimens of each composite were prepared using three finishing protocols: a single 30 microm diamond (n = 25), two finishing diamonds (30/20 microm; n = 25) and a 30 microm diamond followed by a tungsten carbide finishing bur (n = 25). Final polishing of each of the three finishing groups was accomplished with SuperBuff, Diafix-oral, OneGloss, Astropol and HaWe Composite Polishers (n = 5, each). Surface roughness was evaluated quantitatively by laser-stylus profilometry. Average roughness (R(a)) was calculated; statistical analysis of the data was performed with two-way ANOVA and Scheffé post-hoc tests. The polished surfaces were examined qualitatively by SEM. The results showed significant effects on surface roughness from the different composites (p = 0.011) and polishing systems (p < 0.001). After polishing, the Solitaire surfaces (R(a) = 0.72 microm) were smoother than Definite (R(a) = 0.87 microm) and SureFil (R(a) = 0.89 microm) and significantly smoother than Herculite (R(a) = 0.92 microm; p = 0.011). Three of the polishing methods (SuperBuff, Diafix-oral and Astropol) achieved lower R(a)-values than Sof-Lex discs. The polishing quality of the one-step systems SuperBuff and Diafix-oral was strongly affected by the initial finishing protocol.

  19. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  20. Effects of different polishing techniques on the surface roughness of dental porcelains

    Directory of Open Access Journals (Sweden)

    Işil Sarikaya

    2010-02-01

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effects of different polishing techniques on the surface roughness of dental porcelains. MATERIAL AND METHODS: Fifty-five cylindirical specimens (15x2 mm were prepared for each feldspathic (Vita VMK 95, Ceramco III and low-fusing dental porcelain (Matchmaker. Fifty-five specimens of machinable feldspathic porcelain blocks (Vitablocs Mark II, (12x14x18 mm were cut into 2-mm-thick slices (12x14 mm with low speed saw. The prepared specimens were divided into 11 groups (n=5 representing different polishing techniques including control ((C no surface treatment, glaze (G and other 9 groups that were finished and polished with polishing discs (Sof-Lex (Sl, two porcelain polishing kits (NTI (Pk, Dialite II (Di, a diamond polishing paste (Sparkle (Sp, a zirconium silicate based cleaning and polishing prophy paste (Zircate (Zr, an aluminum oxide polishing paste (Prisma Gloss (Pg, and combinations of them. The surface roughness of all groups was measured with a profilometer. The data were analyzed with a 2-way analysis of variance, and the mean values were compared by the Tukey Honestly Significant Difference test (a=0.05. RESULTS: For all porcelain material groups, the lowest Ra values were observed in Group Gl, Group Sl, Group Pk, and Group Di, which were not significantly different from each other (p>0.05.When comparing the 4 different porcelain materials, the machinable feldspathic porcelain block group (Mark II demonstrated statistically significantly less Ra values than the other porcelain materials tested (p<0.05. No significant difference was observed between the VMK 95 and Ceramco III porcelain groups (p=0.919, also these groups demonstrated the highest Ra values. CONCLUSION: Subjected to surface roughness, the surfaces obtained with polishing and/or cleaning-prophy paste materials used alone were rougher compared to the surfaces finished using Sof-lex, Dialite, and NTI polishing kit

  1. Can Reduced-Step Polishers Be as Effective as Multiple-Step Polishers in Enhancing Surface Smoothness?

    Science.gov (United States)

    Kemaloglu, Hande; Karacolak, Gamze; Turkun, L Sebnem

    2017-02-01

    The aim of this study was to evaluate the effects of various finishing and polishing systems on the final surface roughness of a resin composite. Hypotheses tested were: (1) reduced-step polishing systems are as effective as multiple-step systems on reducing the surface roughness of a resin composite and (2) the number of application steps in an F/P system has no effect on reducing surface roughness. Ninety discs of a nano-hybrid resin composite were fabricated and divided into nine groups (n = 10). Except the control, all of the specimens were roughened prior to be polished by: Enamel Plus Shiny, Venus Supra, One-gloss, Sof-Lex Wheels, Super-Snap, Enhance/PoGo, Clearfil Twist Dia, and rubber cups. The surface roughness was measured and the surfaces were examined under scanning electron microscope. Results were analyzed with analysis of variance and Holm-Sidak's multiple comparisons test (p One-gloss, Enamel Plus Shiny, and Venus Supra groups. (1) The number of application steps has no effect on the performance of F/P systems. (2) Reduced-step polishers used after a finisher can be preferable to multiple-step systems when used on nanohybrid resin composites. (3) The effect of F/P systems on surface roughness seems to be material-dependent rather than instrument- or system-dependent. Reduced-step systems used after a prepolisher can be an acceptable alternative to multiple-step systems on enhancing the surface smoothness of a nanohybrid composite; however, their effectiveness depends on the materials' properties. (J Esthet Restor Dent 29:31-40, 2017). © 2016 Wiley Periodicals, Inc.

  2. Laser polishing for topography management of accelerator cavity surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Klopf, J. Mike [College of William and Mary, Williamsburg, VA (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Kelley, Michael J. [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2015-07-20

    Improved energy efficiency and reduced cost are greatly desired for advanced particle accelerators. Progress toward both can be made by atomically-smoothing the interior surface of the niobium superconducting radiofrequency accelerator cavities at the machine's heart. Laser polishing offers a green alternative to the present aggressive chemical processes. We found parameters suitable for polishing niobium in all surface states expected for cavity production. As a result, careful measurement of the resulting surface chemistry revealed a modest thinning of the surface oxide layer, but no contamination.

  3. New surface modification method of bio-titanium alloy by EB polishing

    International Nuclear Information System (INIS)

    Okada, Akira; Uno, Yoshiyuki; Iio, Atsuo; Fujiwara, Kunihiko; Doi, Kenji

    2008-01-01

    A new surface modification for bio-titanium alloy products by electron beam (EB) polishing is proposed. In this EB polishing method, high energy density EB can be irradiated without concentrating the beam. Therefore, large-area EB with a maximum diameter of 60 mm can be used for instantaneously melting or evaporating metal surface. Experimental results made it clear that surface characteristics, such as repellency, corrosion resistance and coefficient of friction could be improved simultaneously with the surface smoothing in a few minutes under a proper condition. Therefore, EB polishing method has a possibility of high efficient surface smoothing and surface modification process for bio-titanium alloy. (author)

  4. Effects of Polishing Bur Application Force and Reuse on Sintered Zirconia Surface Topography.

    Science.gov (United States)

    Fischer, N G; Tsujimoto, A; Baruth, A G

    2018-03-16

    Limited information is available on how to polish and finish zirconia surfaces following computer-aided design/computer-aided manufacturing (CAD/CAM), specifically, how differing application forces and reuse of zirconia polishing systems affect zirconia topography. To determine the effect of differing, clinically relevant, polishing application forces and multiple usages of polishing burs on the surface topography of CAD/CAM zirconia. One hundred twenty 220-grit carbide finished zirconia disks were sintered according to manufacturer's directions and divided into two groups for the study of two coarse polishing bur types. Each group was divided into subgroups for polishing (15,000 rpm) at 15 seconds for 1.0 N, 4.5 N, or 11 N of force using a purpose-built fixture. Subgroups were further divided to study the effects of polishing for the first, fifth, 15th, and 30th bur use, simulating clinical procedures. Unpolished surfaces served as a control group. Surfaces were imaged with noncontact optical profilometry (OP) and atomic force microscopy (AFM) to measure average roughness values (Ra). Polishing burs were optically examined for wear. Scanning electron microscopy (SEM) was performed on burs and zirconia surfaces. One-way ANOVA with post hoc Tukey HSD (honest significant difference) tests (α=0.05) were used for statistical analyses. AFM and OP Ra values of all polished surfaces were significantly lower than those of the unpolished control. Different polishing forces and bur reuse showed no significant differences in AFM Ra. However, significant differences in OP Ra were found due to differing application forces and bur reuse between the first and subsequent uses. SEM and optical micrographs revealed notable bur wear, increasing with increasing reuse. SEM and AFM micrographs clearly showed polished, periodic zirconia surfaces. Nanoscale topography, as analyzed with kurtosis and average groove depth, was found dependent on the specific polishing bur type. These in

  5. Effect of Polishing Systems on Surface Roughness and Topography of Monolithic Zirconia.

    Science.gov (United States)

    Goo, C L; Yap, Auj; Tan, Kbc; Fawzy, A S

    2016-01-01

    This study evaluated the effect of different chairside polishing systems on the surface roughness and topography of monolithic zirconia. Thirty-five monolithic zirconia specimens (Lava PLUS, 3M ESPE) were fabricated and divided into five groups of seven and polished with the following: Group 1 (WZ)-Dura white stone followed by Shofu zirconia polishing kit; Group 2 (SZ)-Shofu zirconia polishing kit; Group 3 (CE)-Ceramiste porcelain polishers; Group 4 (CM)-Ceramaster porcelain polishers; and Group 5 (KZ)-Komet ZR zirconia polishers. All specimens were ground with a fine-grit diamond bur prior to polishing procedures to simulate clinical finishing. Baseline and post-polishing profilometric readings were recorded and delta Ra values (difference in mean surface roughness before and after polishing) were computed and analyzed using one-way analysis of variance and Scheffe post hoc test (pSEM) images of the ground but unpolished and polished specimens were acquired. Delta Ra values ranged from 0.146 for CE to 0.400 for KZ. Delta Ra values for KZ, WZ, and SZ were significantly greater than for CE. Significant differences in delta Ra values were also observed between KZ and CM. The SEM images obtained were consistent with the profilometric findings. Diamond-impregnated polishing systems were more effective than silica carbide-impregnated ones in reducing the surface roughness of ground monolithic zirconia.

  6. Polishing compound for plastic surfaces

    Science.gov (United States)

    Stowell, M.S.

    1991-01-01

    This invention is comprised of a polishing compound for plastic materials. The compound includes approximately by approximately by weight 25 to 80 parts at least one petroleum distillate lubricant, 1 to 12 parts mineral spirits, 50 to 155 parts abrasive paste, and 15 to 60 parts water. Preferably, the compound includes approximately 37 to 42 parts at least one petroleum distillate lubricant, up to 8 parts mineral spirits, 95 to 110 parts abrasive paste, and 50 to 55 parts water. The proportions of the ingredients are varied in accordance with the particular application. The compound is used on PLEXIGLAS{trademark}, LEXAN{trademark}, LUCITE{trademark}, polyvinyl chloride (PVC), and similar plastic materials whenever a smooth, clear polished surface is desired.

  7. Novel ceria-polymer microcomposites for chemical mechanical polishing

    International Nuclear Information System (INIS)

    Coutinho, Cecil A.; Mudhivarthi, Subrahmanya R.; Kumar, Ashok; Gupta, Vinay K.

    2008-01-01

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of ∼100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP

  8. Novel ceria-polymer microcomposites for chemical mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Coutinho, Cecil A. [Department of Chemical and Biomedical Engineering, University of South Florida (United States); Mudhivarthi, Subrahmanya R.; Kumar, Ashok [Nanomaterials and Nanomanufacturing Research Center, University of South Florida (United States); Department of Mechanical Engineering, University of South Florida (United States); Gupta, Vinay K. [Department of Chemical and Biomedical Engineering, University of South Florida (United States)], E-mail: vkgupta@eng.usf.edu

    2008-12-30

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of {approx}100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP.

  9. Problems in laser repair welding of polished surfaces

    Directory of Open Access Journals (Sweden)

    A. Skumavc

    2014-10-01

    Full Text Available This paper presents problems in laser repair welding of the tools for injection moulding of plastics and light metals. Tools for injection moulding of the car headlamps are highly polished in order to get a desirable quality of the injected part. Different light metals, glasses, elastomers, thermoplastics and thermosetting polymers are injected into the die cavity under high pressures resulting in the surface damages of the tool. Laser welding is the only suitable repair welding technique due to the very limited sputtering during deposition of the filler metal. Overlapping of the welds results in inhomogeneous hardness of the remanufactured surface. Results have shown strong correlation between hardness and surface waviness after final polishing of the repair welded surface.

  10. Acoustic emission-based in-process monitoring of surface generation in robot-assisted polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2016-01-01

    The applicability of acoustic emission (AE) measurements for in-process monitoring of surface generation in the robot-assisted polishing (RAP) was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate...... automatic detection of optimal process endpoint allow intelligent process control, creating fundamental elements in development of robust fully automated RAP process for its widespread industrial application....... removal of the part from the machine tool. In this study, stabilisation of surface roughness during polishing rotational symmetric surfaces by the RAP process was monitored by AE measurements. An AE sensor was placed on a polishing arm in direct contact with a bonded abrasive polishing tool...

  11. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  12. The Influence of Deformation on the Surface Structure of Silicon Under Irradiation by $^{86}$Kr Ions with Energy 253 MeV

    CERN Document Server

    Vlasukova, L A; Hofmann, A; Komarov, F F; Semina, V K; Yuvchenko, V N

    2006-01-01

    The influence of the previously produced deformation in silicon structure by means of macro-scratch surface covering on the sputtering processes under following irradiation by swift $^{86}$Kr ions is studied. The significant leveling of surface relief of irradiated silicon was observed using atomic force microscopy method (AFM), in particular it takes place for smoothing of micro-scratches produced by mechanical polishing of silicon initial plates. The experimental studies of irradiated surface allowed one to conclude that it is impossible to explain the surface changes only by elastic cascade mechanism as it was calculated using the computer code TRIM-98, because the calculated sputtered layers of silicon at ion fluence $\\Phi_{\\rm Kr} = 1{.}3\\cdot10^{14}$ ion/cm$^{2}$ should be $\\Delta H_{\\rm Sputtering}^{\\rm Kr} = 5{.}5\\cdot10^{-3 }${\\AA}. Correspondingly, the surface changes should be explained by one of mechanisms of inelastic sputtering. The macro-cracks on the surface were observed near the scratches. I...

  13. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  14. Surface changes of metal alloys and high-strength ceramics after ultrasonic scaling and intraoral polishing.

    Science.gov (United States)

    Yoon, Hyung-In; Noh, Hyo-Mi; Park, Eun-Jin

    2017-06-01

    This study was to evaluate the effect of repeated ultrasonic scaling and surface polishing with intraoral polishing kits on the surface roughness of three different restorative materials. A total of 15 identical discs were fabricated with three different materials. The ultrasonic scaling was conducted for 20 seconds on the test surfaces. Subsequently, a multi-step polishing with recommended intraoral polishing kit was performed for 30 seconds. The 3D profiler and scanning electron microscopy were used to investigate surface integrity before scaling (pristine), after scaling, and after surface polishing for each material. Non-parametric Friedman and Wilcoxon signed rank sum tests were employed to statistically evaluate surface roughness changes of the pristine, scaled, and polished specimens. The level of significance was set at 0.05. Surface roughness values before scaling (pristine), after scaling, and polishing of the metal alloys were 3.02±0.34 µm, 2.44±0.72 µm, and 3.49±0.72 µm, respectively. Surface roughness of lithium disilicate increased from 2.35±1.05 µm (pristine) to 28.54±9.64 µm (scaling), and further increased after polishing (56.66±9.12 µm, P scaling (from 1.65±0.42 µm to 101.37±18.75 µm), while its surface roughness decreased after polishing (29.57±18.86 µm, P scaling significantly changed the surface integrities of lithium disilicate and zirconia. Surface polishing with multi-step intraoral kit after repeated scaling was only effective for the zirconia, while it was not for lithium disilicate.

  15. Efficacy of polishing kits on the surface roughness and color stability ...

    African Journals Online (AJOL)

    Objective: Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Materials and Methods: Thirty specimens were made for each composite ...

  16. Effect of prophylactic polishing protocols on the surface roughness of esthetic restorative materials.

    Science.gov (United States)

    Neme, A L; Frazier, K B; Roeder, L B; Debner, T L

    2002-01-01

    Many polishing protocols have been evaluated in vitro for their effect on the surface roughness of restorative materials. These results have been useful in establishing protocols for in vivo application. However, limited research has focused on the subsequent care and maintenance of esthetic restorations following their placement. This investigation evaluated the effect of five polishing protocols that could be implemented at recall on the surface roughness of five direct esthetic restorative materials. Specimens (n=25) measuring 8 mm diameter x 3 mm thick were fabricated in an acrylic mold using five light-cured resin-based materials (hybrid composite, microfilled composite, packable composite, compomer and resin-modified glass ionomer). After photopolymerization, all specimens were polished with Sof-Lex Disks to produce an initial (baseline) surface finish. All specimens were then polished with one of five prophylactic protocols (Butler medium paste, Butler coarse paste, OneGloss, SuperBuff or OneGloss & SuperBuff). The average surface roughness of each treated specimen was determined from three measurements with a profilometer (Surface 1). Next, all specimens were brushed 60,000 times at 1.5 Hz using a brush-head force of 2 N on a Manly V-8 cross-brushing machine in a 50:50 (w/w) slurry of toothpaste and water. The surface roughness of each specimen was measured after brushing (Surface 2) followed by re-polishing with one of five protocols, then final surface roughness values were determined (Surface 3). The data were analyzed using repeated measures ANOVA. Significant differences (p=0.05) in surface roughness were observed among restorative materials and polishing protocols. The microfilled and hybrid resin composite yielded significantly rougher surfaces than the other three materials following tooth brushing. Prophylactic polishing protocols can be used to restore a smooth surface on resin-based esthetic restorative materials following simulated tooth

  17. Effect of nanoscale surface roughness on the bonding energy of direct-bonded silicon wafers

    Science.gov (United States)

    Miki, N.; Spearing, S. M.

    2003-11-01

    Direct wafer bonding of silicon wafers is a promising technology for manufacturing three-dimensional complex microelectromechanical systems as well as silicon-on-insulator substrates. Previous work has reported that the bond quality declines with increasing surface roughness, however, this relationship has not been quantified. This article explicitly correlates the bond quality, which is quantified by the apparent bonding energy, and the surface morphology via the bearing ratio, which describes the area of surface lying above a given depth. The apparent bonding energy is considered to be proportional to the real area of contact. The effective area of contact is defined as the area sufficiently close to contribute to the attractive force between the two bonding wafers. Experiments were conducted with silicon wafers whose surfaces were roughened by a buffered oxide etch solution (BOE, HF:NH4F=1:7) and/or a potassium hydroxide solution. The surface roughness was measured by atomic force microscopy. The wafers were direct bonded to polished "monitor" wafers following a standard RCA cleaning and the resulting bonding energy was measured by the crack-opening method. The experimental results revealed a clear correlation between the bonding energy and the bearing ratio. A bearing depth of ˜1.4 nm was found to be appropriate for the characterization of direct-bonded silicon at room temperature, which is consistent with the thickness of the water layer at the interface responsible for the hydrogen bonds that link the mating wafers.

  18. On the generation of surface depressions in polishing polycrystalline diamond compacts

    International Nuclear Information System (INIS)

    Tang, Fengzai; Chen, Yiqing; Zhang, Liangchi

    2014-01-01

    This paper investigates the surface depressions generated during the polishing of the (1 1 1) surfaces of polycrystalline diamond (PCD) compacts when using the dynamic friction polishing (DFP) method. It was found that surface depressions of six-sided faces along octahedral planes were the typical features created by the DFP. Although the size of the well-developed depressions can vary significantly, the rectilinear edges are always aligned with the directions. Pronounced {1 1 1} planar defects (i.e., twins) were revealed underneath a depression apex. The interception of the defect plane with the polished surface accounts for the generation of the aligned depressions and for the discernible asymmetry of the pyramidal faces with respect to the (1 1 1) plane. It was revealed that the attached debris layer on the PCD surfaces contained sp 2 -bounded amorphous carbon and nano-sized crystals. (paper)

  19. Tribological approach to study polishing of road surface under traffic

    OpenAIRE

    KANE, Malal; DO, Minh Tan

    2007-01-01

    The polishing phenomenon of road pavements under the vehicle traffic constitutes the main mechanism inherent to the loss of skid resistance over time. A better understanding of this phenomenon would allow an improvement of road safety. This study comprises a review of laboratory test and a model simulating the polishing of road surfaces. The laboratory test uses a polishing machine so called 'Wehner-Schulze' which can reproduce the evolution of the road texture from specimens taken directly f...

  20. A Study of Polishing Feature of Ultrasonic-Assisted Vibration Method in Bamboo Charcoal

    Directory of Open Access Journals (Sweden)

    Hsin-Min Lee

    2017-01-01

    Full Text Available Focusing on the feature of porosity in bamboo charcoal, this study applies the ultrasonic-assisted vibration method to perform surface polishing of the silicon wafer workpiece. The self-developed bamboo charcoal polishing spindle and ultrasonic- assisted vibration mechanism are attached to a single lapping machine. In the machining process, ultrasonic vibration enables the diamond slurry to smoothly pass through the microscopic holes of bamboo charcoal; the end of the bamboo charcoalis able to continue machining on the surface of the workpiece through the grasping force which exists in the microscopic holes. Under the polishing and machining parameters of ultrasonic-assisted vibration, with a diamond slurry concentration of 0.3%, the experimental results show a polishing time of 20 min, a loading of 25 N on the workpiece surface, a spindle speed of 1200 rpm, a vibration frequency of 30 kHz and the original surface roughness value of Ra 0.252 μm equals that of a mirror-like surface at Ra 0.017 μm. These research results prove that by using bamboo charcoal and ultrasonic-assisted vibration for polishing, a very good improvement can be achieved on the workpiece surface.

  1. Impact of initial surface parameters on the final quality of laser micro-polished surfaces

    Science.gov (United States)

    Chow, Michael; Bordatchev, Evgueni V.; Knopf, George K.

    2012-03-01

    Laser micro-polishing (LμP) is a new laser-based microfabrication technology for improving surface quality during a finishing operation and for producing parts and surfaces with near-optical surface quality. The LμP process uses low power laser energy to melt a thin layer of material on the previously machined surface. The polishing effect is achieved as the molten material in the laser-material interaction zone flows from the elevated regions to the local minimum due to surface tension. This flow of molten material then forms a thin ultra-smooth layer on the top surface. The LμP is a complex thermo-dynamic process where the melting, flow and redistribution of molten material is significantly influenced by a variety of process parameters related to the laser, the travel motions and the material. The goal of this study is to analyze the impact of initial surface parameters on the final surface quality. Ball-end micromilling was used for preparing initial surface of samples from H13 tool steel that were polished using a Q-switched Nd:YAG laser. The height and width of micromilled scallops (waviness) were identified as dominant parameter affecting the quality of the LμPed surface. By adjusting process parameters, the Ra value of a surface, having a waviness period of 33 μm and a peak-to-valley value of 5.9 μm, was reduced from 499 nm to 301 nm, improving the final surface quality by 39.7%.

  2. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  3. [Comparison of surface roughness of nanofilled and microhybrid composite resins after curing and polishing].

    Science.gov (United States)

    Jiang, Hong; Lv, Da; Liu, Kailei; Zhang, Weisheng; Yao, Yao; Liao, Chuhong

    2014-05-01

    To compare the surface roughness of nanofilled dental composite resin and microhybrid composite resins after curing and polishing. A nanofilled composite (Z350) and 4 microhybrid composites (P60, Z250, Spectrum, and AP-X) were fabricated from the lateral to the medial layers to prepare 8 mm×8 mm×5 mm cubical specimens. The 4 lateral surfaces of each specimens were polished with abrasive disks (Super-Snap). Profilometer was used to test the mean surface roughness (Ra) after polishing. P60 had the lowest Ra (0.125∓0.030 µm) followed by Z250 and Spectrum. The Ra of Z350 (0.205∓0.052 µm) was greater than that of the other 3 resins, and AP-X had the roughest surfaces. Under scanning electron microscope, the polished faces of P60 resin were characterized by minor, evenly distributed particles with fewer scratches; the polished faces of Z350 presented with scratches where defects of the filling material could be seen. The nanofilled composite Z350 has smooth surface after polishing by abrasive disks, but its smoothness remains inferior to that of other micro-hybrid composite resins.

  4. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  5. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  6. Surface polishing of niobium for superconducting radio frequency (SRF) cavity applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States)

    2014-08-01

    Niobium cavities are important components in modern particle accelerators based on superconducting radio frequency (SRF) technology. The interior of SRF cavities are cleaned and polished in order to produce high accelerating field and low power dissipation on the cavity wall. Current polishing methods, buffered chemical polishing (BCP) and electro-polishing (EP), have their advantages and limitations. We seek to improve current methods and explore laser polishing (LP) as a greener alternative of chemical methods. The topography and removal rate of BCP at different conditions (duration, temperature, sample orientation, flow rate) was studied with optical microscopy, scanning electron microscopy (SEM), and electron backscatter diffraction (EBSD). Differential etching on different crystal orientations is the main contributor to fine grain niobium BCP topography, with gas evolution playing a secondary role. The surface of single crystal and bi-crystal niobium is smooth even after heavy BCP. The topography of fine grain niobium depends on total removal. The removal rate increases with temperature and surface acid flow rate within the rage of 0~20 °C, with chemical reaction being the possible dominate rate control mechanism. Surface flow helps to regulate temperature and avoid gas accumulation on the surface. The effect of surface flow rate on niobium EP was studied with optical microscopy, atomic force microscopy (AFM), and power spectral density (PSD) analysis. Within the range of 0~3.7 cm/s, no significant difference was found on the removal rate and the macro roughness. Possible improvement on the micro roughness with increased surface flow rate was observed. The effect of fluence and pulse accumulation on niobium topography during LP was studied with optical microscopy, SEM, AFM, and PSD analysis. Polishing on micro scale was achieved within fluence range of 0.57~0.90 J/cm2, with pulse accumulation adjusted accordingly. Larger area treatment was proved possible by

  7. Mechanical polishing as an improved surface treatment for platinum screen-printed electrodes

    Directory of Open Access Journals (Sweden)

    Junqiao Lee

    2016-07-01

    Full Text Available The viability of mechanical polishing as a surface pre-treatment method for commercially available platinum screen-printed electrodes (SPEs was investigated and compared to a range of other pre-treatment methods (UV-Ozone treatment, soaking in N,N-dimethylformamide, soaking and anodizing in aqueous NaOH solution, and ultrasonication in tetrahydrofuran. Conventional electrochemical activation of platinum SPEs in 0.5 M H2SO4 solution was ineffective for the removal of contaminants found to be passivating the screen-printed surfaces. However, mechanical polishing showed a significant improvement in hydrogen adsorption and in electrochemically active surface areas (probed by two different redox couples due to the effective removal of surface contaminants. Results are also presented that suggest that SPEs are highly susceptible to degradation by strong acidic or caustic solutions, and could potentially lead to instability in long-term applications due to continual etching of the binding materials. The ability of SPEs to be polished effectively extends the reusability of these traditionally “single-use” devices. Keywords: Screen-printed electrodes, Polishing, Platinum, Activation, Pre-treatment, Cyclic voltammetry

  8. The effect of different polishing systems on surface roughness and gloss of various resin composites.

    Science.gov (United States)

    Da Costa, Juliana; Ferracane, Jack; Paravina, Rade D; Mazur, Rui Fernando; Roeder, Leslie

    2007-01-01

    The purpose of this in vitro study was to evaluate the surface finish and gloss of five direct resin composites polished with six polishing systems. One hundred and fifty disk-shaped composite specimens (D=10.0 mm, 2-mm-thick, N=30 per material) were made. One side of each specimen was finished with a 16-fluted carbide finishing bur and then polished. Five specimens of each resin composite were randomly assigned to one of the six polishing systems. The surface roughness and gloss were measured with a surface profilometer and a glossmeter. The results were analyzed by two-way analysis of variance and Tukey's t-test (pgloss values between the composites and the polishing systems (p gloss value was recorded for Supreme + Pogo; the lowest was recorded for Z100 + Jiffy. Pogo showed the highest gloss values for all composites. The nanofill (Supreme) and minifill (Esthet-X) composites presented a surface roughness comparable to a microfill (Durafill), independent of the polishing system used, and a gloss comparable to a microfill, when polished with a one-step system (Pogo). As compared with the multiple-step systems, the smoothest surfaces and the highest gloss values were achieved using the one-step system (Pogo) for all the evaluated composites.

  9. Profilometric analysis of two composite resins' surface repolished after tooth brush abrasion with three polishing systems.

    Science.gov (United States)

    Uppal, Mudit; Ganesh, Arathi; Balagopal, Suresh; Kaur, Gurleen

    2013-07-01

    To evaluate the effect of three polishing protocols that could be implemented at recall on the surface roughness of two direct esthetic restorative materials. Specimens (n = 40) measuring 8 mm (length) × 5 mm (width) × 4 mm (height) were fabricated in an acrylic mold using two light-cured resin-based materials (microfilled composite and microhybrid composite). After photopolymerization, all specimens were finished and polished with one of three polishing protocols (Enhance, One Gloss, and Sof-Lex polishing systems). The average surface roughness of each treated specimen was determined using 3D optical profilometer. Next all specimens were brushed 60,000 times with nylon bristles at 7200 rpm using crosshead brushing device with equal parts of toothpaste and water used as abrasive medium. The surface roughness of each specimen was measured after brushing followed by repolishing with one of three polishing protocols, and then, the final surface roughness values were determined. The data were analyzed using one-way and two-factor analysis of variance (ANOVA) and Tukey's honestly significant difference (HSD). Significant difference (P < 0.05) in surface roughness was observed. Simulated brushing following initial polishing procedure significantly roughened the surface of restorative material (P < 0.05). Polishing protocols can be used to restore a smooth surface on esthetic restorative materials following simulated tooth brushing.

  10. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  11. Impact of polishing on the light scattering at aerogel surface

    International Nuclear Information System (INIS)

    Barnyakov, A.Yu.; Barnyakov, M.Yu.; Bobrovnikov, V.S.; Buzykaev, A.R.; Danilyuk, A.F.; Katcin, A.A.; Kononov, S.A.; Kirilenko, P.S.; Kravchenko, E.A.; Kuyanov, I.A.; Onuchin, A.P.; Ovtin, I.V.; Predein, A.Yu.; Protsenko, R.S.

    2016-01-01

    Particle identification power of modern aerogel RICH detectors strongly depends on optical quality of radiators. It was shown that wavelength dependence of aerogel tile transparency after polishing cannot be described by the standard Hunt formula. The Hunt formula has been modified to describe scattering in a thin layer of silica dust on the surface of aerogel tile. Several procedures of polishing of aerogel tile have been tested. The best result has been achieved while using natural silk tissue. The resulting block has optical smooth surfaces. The measured decrease of aerogel transparency due to surface scattering is about few percent. This result could be used for production of radiators for the Focusing Aerogel RICH detectors.

  12. Material removal and surface figure during pad polishing of fused silica

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, T I; Feit, M D; Steele, W A

    2009-05-04

    The material removal and surface figure after ceria pad polishing of fused silica glass have been measured and analyzed as a function of kinematics, loading conditions, and polishing time. Also, the friction at the workpiece/lap interface, the slope of the workpiece relative to the lap plane, and lap viscoelastic properties have been measured and correlated to material removal. The results show that the relative velocity between the workpiece & lap (determined by the kinematics) and the pressure distribution determine the spatial and temporal material removal and hence the final surface figure of the workpiece. In the case where the applied loading and relative velocity distribution over the workpiece are spatially uniform, a significant non-uniform spatial material removal from the workpiece surface is observed. This is due to a non-uniform pressure distribution resulting from: (1) a moment caused by a pivot point and interface friction forces; (2) viscoelastic relaxation of the polyurethane lap; and (3) a physical workpiece/lap interface mismatch. Both the kinematics and these contributions to the pressure distribution are quantitatively described, and then combined to form a spatial and temporal Preston model & code for material removal (called Surface Figure or SurF{copyright}). The surface figure simulations are consistent with the experiment for a wide variety of polishing conditions. This study is an important step towards deterministic full-aperture polishing, which would allow optical glass fabrication to be performed in a more repeatable, less iterative, and hence more economical manner.

  13. Study of Pneumatic Servo Loading System in Double-Sided Polishing

    International Nuclear Information System (INIS)

    Qian, N; Ruan, J; Li, W

    2006-01-01

    The precise double-sided polishing process is one of the main methods to get the ultra-smooth surface of workpiece. In double-sided polishing machine, a loading system is required to be able to precisely control the load superimposed on the workpiece, while the polishing is being carried out. A pneumatic servo loading system is proposed for this purpose. In the pneumatic servo system, the servo valve, which acts both the electrical to mechanical converter and the power amplifier, has a substantial influence on the performance of the loading system. Therefore a specially designed pneumatic digital servo valve is applied in the control system. In this paper, the construction of the pneumatic servo loading system in double-sided polishing machine and control strategy associated with the digital servo valve are first addressed. The mathematical model of the system established and the hardware of the pneumatic servo system is designed. Finally, the experiments are carried out by measuring the practical load on the workpiece and the quality of the surface finish. It is demonstrated that the error rate of load is less than 5% and a super-smooth surface of silicon wafer with roughness Ra 0.401 nm can be obtained

  14. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom); Dixon, Simon [Biomer Technology LTD, 10 Seymour Court, Tudor Road, Manor Park, Runcorn, Cheshire, WA7 1SY (United Kingdom); Sharp, Martin C [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom)

    2017-02-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  15. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    International Nuclear Information System (INIS)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R; Dixon, Simon; Sharp, Martin C

    2017-01-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  16. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang; Carreno, Armando Arpys Arevalo; Li, Huawei; Foulds, Ian G.

    2014-01-01

    feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared

  17. Understanding the creation of & reducing surface microroughness during polishing & post-processing of glass optics

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, Tayyab [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-22

    In the follow study, we have developed a detailed understanding of the chemical and mechanical microscopic interactions that occur during polishing affecting the resulting surface microroughness of the workpiece. Through targeted experiments and modeling, the quantitative relationships of many important polishing parameters & characteristics affecting surface microroughness have been determined. These behaviors and phenomena have been described by a number of models including: (a) the Ensemble Hertzian Multi Gap (EHMG) model used to predict the removal rate and roughness at atomic force microscope (AFM) scale lengths as a function of various polishing parameters, (b) the Island Distribution Gap (IDG) model used to predict the roughness at larger scale lengths, (c) the Deraguin-Verwey-Landau-Overbeek (DLVO) 3-body electrostatic colloidal model used to predict the interaction of slurry particles at the interface and roughness behavior as a function of pH, and (d) a diffusion/chemical reaction rate model of the incorporation of impurities species into the polishing surface layer (called the Bielby layer). Based on this improved understanding, novel strategies to polish the workpiece have been developed simultaneously leading to both ultrasmooth surfaces and high material removal rates. Some of these strategies include: (a) use of narrow PSD slurries, (b) a novel diamond conditioning recipe of the lap to increase the active contact area between the workpiece and lap without destroying its surface figure, (c) proper control of pH for a given glass type to allow for a uniform distribution of slurry particles at the interface, and (d) increase in applied load just up to the transition between molecular to plastic removal regime for a single slurry particle. These techniques have been incorporated into a previously developed finishing process called Convergent Polishing leading to not just economical finishing process with improved surface figure control, but also

  18. Effects of delayed finishing/polishing on surface roughness, hardness and gloss of tooth-coloured restorative materials.

    Science.gov (United States)

    Yazici, A Ruya; Tuncer, Duygu; Antonson, Sibel; Onen, Alev; Kilinc, Evren

    2010-01-01

    The aim of this study was to investigate the effect of delayed finishing/polishing on the surface roughness, hardness and gloss of tooth-coloured restorative materials. Four different tooth-coloured restoratives: a flowable resin composite- Tetric Flow, a hybrid resin composite- Venus, a nanohybrid resin composite- Grandio, and a polyacid modified resin composite- Dyract Extra were used. 30 specimens were made for each material and randomly assigned into three groups. The first group was finished/polished immediately and the second group was finished/polished after 24 hours. The remaining 10 specimens served as control. The surface roughness of each sample was recorded using a laser profilometer. Gloss measurements were performed using a small-area glossmeter. Vickers microhardness measurements were performed from three locations on each specimen surface under 100g load and 10s dwell time. Data for surface roughness and hardness were analyzed by Kruskal Wallis test and data for gloss were subjected to one-way ANOVA and Tukey test (P gloss values were recorded under Mylar strip for all materials. While delayed finishing/polishing resulted in a significantly higher gloss compared to immediate finishing/polishing in Venus samples (P .05). The lowest hardness values were found under Mylar strip. Delayed finishing/polishing significantly increased the hardness of all materials. The effect of delayed finishing/polishing on surface roughness, gloss and hardness appears to be material dependent.

  19. Influence of air-powder polishing on bond strength and surface-free energy of universal adhesive systems.

    Science.gov (United States)

    Tamura, Yukie; Takamizawa, Toshiki; Shimamura, Yutaka; Akiba, Shunsuke; Yabuki, Chiaki; Imai, Arisa; Tsujimoto, Akimasa; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2017-11-29

    The influences of air-powder polishing with glycine or sodium bicarbonate powders on shear bond strengths (SBS) and surface-free energies of universal adhesives were examined. Scotchbond Universal Adhesive (SU, 3M ESPE), G-Premio Bond (GP, GC), Adhese Universal (AU, Ivoclar Vivadent), and All-Bond Universal (AB, Bisco) were used in this study. Bovine dentin surfaces were air polished with glycine or sodium bicarbonate powders prior to the bonding procedure, and resin pastes were bonded to the dentin surface using universal adhesives. SBSs were determined after 24-h storage in distilled water at 37°C. Surface-free energy was then determined by measuring contact angles using three test liquids on dentin surfaces. Significantly lower SBSs were observed for dentin that was air-powder polished and surface-free energies were concomitantly lowered. This study indicated that air-powder polishing influences SBSs and surface-free energies. However, glycine powder produced smaller changes in these surface parameters than sodium bicarbonate.

  20. Process optimization for ultrasonic vibration assisted polishing of micro-structured surfaces on super hard material

    Science.gov (United States)

    Sun, Zhiyuan; Guo, Bing; Rao, Zhimin; Zhao, Qingliang

    2014-08-01

    In consideration of the excellent property of SiC, the ground micro-structured surface quality is hard to meet the requirement - consequently the ultrasonic vibration assisted polishing (UVAP) of micro-structures of molds is proposed in this paper. Through the orthogonal experiment, the parameters of UVAP of micro-structures were optimized. The experimental results show that, abrasive polishing process, the effect of the workpiece feed rate on the surface roughness (Ra), groove tip radius (R) and material removal rate (MRR) of micro-structures is significant. While, the UVAP, the most significant effect factor for Ra, R and MRR is the ultrasonic amplitude of the ultrasonic vibration. In addition, within the scope of the polishing process parameters selected by preliminary experiments, ultrasonic amplitude of 2.5μm, polishing force of 0.5N, workpiece feed rate of 5 mm·min-1, polishing wheel rotational speed of 50rpm, polishing time of 35min, abrasive size of 100nm and the polishing liquid concentration of 15% is the best technology of UVAP of micro-structures. Under the optimal parameters, the ground traces on the micro-structured surface were removed efficiently and the integrity of the edges of the micro-structure after grinding was maintained efficiently.

  1. Convergent Polishing: A Simple, Rapid, Full Aperture Polishing Process of High Quality Optical Flats & Spheres

    Science.gov (United States)

    Suratwala, Tayyab; Steele, Rusty; Feit, Michael; Dylla-Spears, Rebecca; Desjardin, Richard; Mason, Dan; Wong, Lana; Geraghty, Paul; Miller, Phil; Shen, Nan

    2014-01-01

    Convergent Polishing is a novel polishing system and method for finishing flat and spherical glass optics in which a workpiece, independent of its initial shape (i.e., surface figure), will converge to final surface figure with excellent surface quality under a fixed, unchanging set of polishing parameters in a single polishing iteration. In contrast, conventional full aperture polishing methods require multiple, often long, iterative cycles involving polishing, metrology and process changes to achieve the desired surface figure. The Convergent Polishing process is based on the concept of workpiece-lap height mismatch resulting in pressure differential that decreases with removal and results in the workpiece converging to the shape of the lap. The successful implementation of the Convergent Polishing process is a result of the combination of a number of technologies to remove all sources of non-uniform spatial material removal (except for workpiece-lap mismatch) for surface figure convergence and to reduce the number of rogue particles in the system for low scratch densities and low roughness. The Convergent Polishing process has been demonstrated for the fabrication of both flats and spheres of various shapes, sizes, and aspect ratios on various glass materials. The practical impact is that high quality optical components can be fabricated more rapidly, more repeatedly, with less metrology, and with less labor, resulting in lower unit costs. In this study, the Convergent Polishing protocol is specifically described for fabricating 26.5 cm square fused silica flats from a fine ground surface to a polished ~λ/2 surface figure after polishing 4 hr per surface on a 81 cm diameter polisher. PMID:25489745

  2. Detection and classification of orange peel on polished steel surfaces by interferometric microscopy

    International Nuclear Information System (INIS)

    2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" data-affiliation=" (AC2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" >Miranda-Medina, M L; 2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" data-affiliation=" (AC2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" >Somkuti, P; 2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" data-affiliation=" (AC2T Research GmbH, Viktor Kaplan Strasse 2, Wiener Neustadt 2700 (Austria))" >Steiger, B

    2013-01-01

    In this work, we provide a general description of the so-called orange peel defect produced on polished steel surfaces. By characterizing a prototype set of samples with various degrees orange peel, we attempt to create a simple model that allows the classification of additional samples through the study of surface parameters. On those surfaces, the orange peel structure has roughness amplitudes in the nanometer range. Detecting surface features on that range requires the implementation of a high-precision technique, such as phase shifting interferometry (PSI). Therefore, we can contribute to the improvement of the manufacturing of polished steel surfaces as well as to the quality control by using optical techniques.

  3. Effect of finishing and polishing procedures on surface roughness, gloss and color of resin-based composites.

    Science.gov (United States)

    Paravina, Rade D; Roeder, Leslie; Lu, Huan; Vogel, Karin; Powers, John M

    2004-08-01

    To evaluate the effects of different finishing and polishing procedures on surface roughness, gloss and color of five resin composites: two experimental microhybrid composites - FZ-Dentin (FZD) and FZ-Enamel (FZE), one commercial microhybrid composite - Esthet-X (EX), and two microfilled composites - Heliomolar (HM) and Renamel Microfill (RM). Surface roughness, gloss and color of the disc-shaped specimens (10 mm in diameter and 2-mm thick) were measured as Mylar (baseline), 16-fluted carbide bur and polishing were completed. Sixteen specimens of each composite were randomized to four groups of four. After finishing with a 16-fluted finishing bur, each group was polished by a different system: 1. Astropol (A), 2. Sof-lex disc (S), 3. Po-Go (P), 4. Enhance (E). Average surface roughness (Ra) was measured with a profilometer. Gloss measurements were performed using small-area glossmeter, while color coordinate values were recorded using a spectrophotometer. A deltaE*abgloss ranked according to polishing system (for all five composites together) was: P > E > A > S. The order of gloss values for the polished composites (for each of four polishing systems) was: RM > FZD > FZE > HM > EX. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of surface roughness among five composites and four polishing systems were 0.01 and 0.01 microm, respectively. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of gloss among five composites and four polishing systems were 6 and 5 GU, respectively. Color differences (deltaE*ab) among five composites and four polishing methods were found to range from 0.2 to 1.1.

  4. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing time.

    Science.gov (United States)

    Yap, A U J; Ong, S B; Yap, W Y; Tan, W S; Yeo, J C

    2002-01-01

    This study compared the surface texture of resin-modified glass ionomer cements after immediate and delayed finishing with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of 64 freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (3M-ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-fluted tungsten carbide burs. The teeth were then randomly divided into four groups of 16 teeth. Half of the teeth in each group were finished immediately, while the remaining half were finished after one-week storage in distilled water at 37 degrees C. The following finishing/polishing systems were employed: (a) Robot Carbides; (b) Super-Snap system; (c) OneGloss and (d) CompoSite Polishers. The mean surface roughness (microm; n=8) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Ra values were generally lower in both vertical and horizontal axis with delayed finishing/polishing. Although significant differences in RaV and RaH values were observed among several systems with immediate finishing/polishing, only one (Fuji II LC: RaH - Super-Snap < Robot Carbides) was observed with delayed finishing.

  5. Surface analysis of polished fused-silica laser lenses by ion-scattering spectrometry

    International Nuclear Information System (INIS)

    Orvek, K.; Steward, S.A.

    1982-01-01

    New advances in high-powered glass lasers, particularly the NOVA system, have resulted in a need for lenses having higher damage threshold values than those now available. It is currently thought that surface contaminants on the lenses are responsible for initiating part of the damage. These contaminants are apparently introduced during the final polishing stages. In this study, we used ion-scattering spectrometry (ISS) to identify contaminants arising through the use of different polishing techniques. Five lenses were studied, each having undergone different polishing procedures. The first lens was not polished after receiving it from the manfacturer (No. 381). Ion microprobe data were available for this lens, and they were compared to ISS results. The second lens had been polished with rouge, a polishing compound no longer in use (No. 796). This sample served as a further check on the ISS results. The third lens was studied as received from the manufacturer - with no handling or cleaning (No. 802). The final two lenses had both been polished using high-purity ceria, cerium oxide (No. 800 and No. 801). The difference between these two was that No. 800 was polished using a nylon lap, and No. 801 was polished using pitch as a lap. The 800-series lenses were all made from the same batch, and constituted the major part of the investigation

  6. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  7. Efficacy of polishing kits on the surface roughness and color stability of different composite resins.

    Science.gov (United States)

    Kocaagaoglu, H; Aslan, T; Gürbulak, A; Albayrak, H; Taşdemir, Z; Gumus, H

    2017-05-01

    Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Thirty specimens were made for each composite resin group (nanohybrid, GrandioSo-GS; nanohybrid, Clearfil Majesty Esthetic-CME; hybrid, Valux Plus-VP; micro-hybrid, Ruby Comp-RC; [15 mm in diameter and 2 mm height]), with the different monomer composition and particle size from a total of 120 specimens. Each composite group was divided into three subgroups (n = 10). The first subgroup of the each composite subgroups served as control (C) and had no surface treatment. The second subgroup of the each composite resin groups was polished with finishing discs (Bisco Finishing Discs; Bisco Inc., Schaumburg, IL, USA). The third subgroup of the each composite resin was polished with polishing wheel (Enhance and PoGo, Dentsply, Konstanz, Germany). The surface roughness and the color differences measurement of the specimens were made and recorded. The data were compared using Kruskal-Wallis test, and regression analysis was used in order to examine the correlation between surface roughness and color differences of the specimens (α = 0.05). The Kruskal-Wallis test indicated significant difference among the composite resins in terms of ΔE (P composite resins in terms of surface roughness (P > 0.05). Result of the regression analysis indicated statistically significant correlation between Ra and ΔE values (P < 0.05, r2 = 0.74). The findings of the present study have clinical relevance in the choice of polishing kits used.

  8. Surface roughness of zirconia for full-contour crowns after clinically simulated grinding and polishing.

    Science.gov (United States)

    Hmaidouch, Rim; Müller, Wolf-Dieter; Lauer, Hans-Christoph; Weigl, Paul

    2014-12-01

    The aim of this study was to evaluate the effect of controlled intraoral grinding and polishing on the roughness of full-contour zirconia compared to classical veneered zirconia. Thirty bar-shaped zirconia specimens were fabricated and divided into two groups (n=15). Fifteen specimens (group 1) were glazed and 15 specimens (group 2) were veneered with feldspathic ceramic and then glazed. Prior to grinding, maximum roughness depth (Rmax) values were measured using a profilometer, 5 times per specimen. Simulated clinical grinding and polishing were performed on the specimens under water coolant for 15 s and 2 N pressure. For grinding, NTI diamonds burs with grain sizes of 20 µm, 10 µm, and 7.5 µm were used sequentially. The ground surfaces were polished using NTI kits with coarse, medium and fine polishers. After each step, Rmax values were determined. Differences between groups were examined using one-way analysis of variance (ANOVA). The roughness of group 1 was significantly lower than that of group 2. The roughness increased significantly after coarse grinding in both groups. The results after glazing were similar to those obtained after fine grinding for non-veneered zirconia. However, fine-ground veneered zirconia had significantly higher roughness than venerred, glazed zirconia. No significant difference was found between fine-polished and glazed zirconia, but after the fine polishing of veneered zirconia, the roughness was significantly higher than after glazing. It can be concluded that for full-contour zirconia, fewer defects and lower roughness values resulted after grinding and polishing compared to veneered zirconia. After polishing zirconia, lower roughness values were achieved compared to glazing; more interesting was that the grinding of glazed zirconia using the NTI three-step system could deliver smooth surfaces comparable to untreated glazed zirconia surfaces.

  9. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    OpenAIRE

    Gerda Vaitkūnaitė; Vladislav Markovič; Olegas Černašėjus

    2015-01-01

    The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS) method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treat...

  10. Comparison of different polishing methods on the surface roughness of microhybrid, microfill, and nanofill composite resins.

    Science.gov (United States)

    Moda, Mariana D; Godas, André Gustavo de L; Fernandes, Juliana C; Suzuki, Thaís Y U; Guedes, Ana Paula A; Briso, André L F; Bedran-Russo, Ana Karina; Dos Santos, Paulo H

    2018-02-01

    The aim of the present study was to evaluate the effect of different polishing methods on the surface roughness of resin-based composites subjected to a thermocycling procedure. A total of 192 specimens were divided into 24 groups, according to composite materials (Filtek Z250, Point 4, Renamel Nanofill, Filtek Supreme Plus, Renamel Microfill, and Premise) and finishing and polishing systems (Sof-Lex Pop On, Super Snap, Flexidisc, and Flexidisc+Enamelize). The specimens were subjected to thermocycling (5000 cycles). Filtek Supreme Plus showed the lowest surface roughness values before thermocycling. After thermocycling, Filtek Supreme Plus continued to have the lowest surface roughness, with a statistically-significant difference for the other materials. After thermocycling, there was no statistically-significant difference among all the polishing techniques studied. The thermocycling was concluded as being able to change composite resins' surface roughness, whereas different finishing and polishing methods did not result in surface roughness changes after thermocycling. © 2017 John Wiley & Sons Australia, Ltd.

  11. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  12. [Surface roughness and gloss of novel flowable composites after polishing and simulated brushing wear].

    Science.gov (United States)

    Wang, R L; Yuan, C Y; Pan, Y X; Tian, F C; Wang, Z H; Wang, X Y

    2017-04-09

    Objective: To investigate surface properties of novel flowable composites after polishing and simulated brushing wear, compared to their pasty counterpart. Methods: Composites employed in this study were: three flowable composites (A1: Clearfil Majesty ES Flow; B1: Beautifil Flow Plus F00; C1: Filtek Bulk Fill) and three paste composites (A2: Clearfil Majesty; B2: Beautifil; C2: Filtek Z350. Eleven disk-shaped specimens were made for each material. The specimens were cured, then subjected to sandpaper finishing for 20 s, one-step polishing for 30 s, finally subjected to simulated brushing for 10 000 cycles. Surface roughness and glossiness were measured before finishing, after finishing, after polishing, after 5 000 brushing cycles and after 10 000 brushing cycles, respectively. Data obtained were analyzed using two-way ANOVA method. Scanning electron microscope was employed to examine the microscopic appearance of each material. Results: Surface roughness (0.11~0.22 μm) and glossiness (74.25~86.48 GU) of each material were similar after one-step polishing. After brushing simulation, roughness increased significantly and glossiness decreased significantly for each material ( Pgloss ([50.68±1.58] GU) after final wear ( P< 0.05). Flowable composites of group A1 and B1 tested in the present setup showed better surface properties compared to their pasty counterpart (group A2 and B2). Conclusions: Within the limit of this study, flowable composites tested in the present research can obtain similar surface polish or even better than the paste composite counterpart.

  13. Comparative evaluation of effect of different polishing systems on surface roughness of composite resin: An in vitro study.

    Science.gov (United States)

    Chour, Rashmi G; Moda, Aman; Arora, Arpana; Arafath, Muhmmed Y; Shetty, Vikram K; Rishal, Yousef

    2016-08-01

    Satisfactory composite restoration depends upon its smooth finish, quality of polishing agents, type of composite material used, and its composition. The present study evaluated the effect of different polishing systems on the surface roughness of composite resin. Forty discs of composite were prepared and equally subjected to different finishing and polishing procedures; (i) unpolished control group, (ii) sof-lex discs, (iii) diamond tips, and (iv) Astrobrush groups. Later, the surface roughness for the entire specimen was evaluated using Profilomotor. Data were tabulated and statistically analyzed using analysis of variance and Tukey's test at significance level of 0.001. Composite surface roughness after polishing was statistically significant between the groups. Sof-lex group produced lesser surface roughness compared to control, Astrobrush, and diamond group. The present study indicated that diamond tips can be used to remove rough surface whereas sof-lex can be used for final finish and polish of the composite restoration.

  14. Adjusting dental ceramics: An in vitro evaluation of the ability of various ceramic polishing kits to mimic glazed dental ceramic surface.

    Science.gov (United States)

    Steiner, René; Beier, Ulrike S; Heiss-Kisielewsky, Irene; Engelmeier, Robert; Dumfahrt, Herbert; Dhima, Matilda

    2015-06-01

    During the insertion appointment, the practitioner is often faced with the need to adjust ceramic surfaces to fit a restoration to the adjacent or opposing dentition and soft tissues. The purpose of this study was to assess the ceramic surface smoothness achieved with various commercially available ceramic polishing kits on different commonly used ceramic systems. The reliability of the cost of a polishing kit as an indicator of improved surface smoothness was assessed. A total of 350 ceramic surfaces representing 5 commonly available ceramic systems (IPS Empress Esthetic, IPS e.max Press, Cergo Kiss, Vita PM 9, Imagine PressX) were treated with 5 types of ceramic polishing systems (Cerapreshine, 94006C, Ceramiste, Optrafine, Zenostar) by following the manufacturers' guidelines. The surface roughness was measured with a profilometer (Taylor Hobson; Precision Taylor Hobson Ltd). The effects of ceramic systems and polishing kits of interest on surface roughness were analyzed by 2-way ANOVA, paired t test, and Bonferroni corrected significance level. The ceramic systems and polishing kits statistically affected surface roughness (Pceramic surface. No correlation could be established between the high cost of the polishing kit and low surface roughness. None of the commonly used ceramic polishing kits could create a surface smoother than that of glazed ceramic (Pceramic polishing kits is not recommended as a reliable indicator of better performance of ceramic polishing kits (P>.30). Copyright © 2015 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  15. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  16. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  17. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    Directory of Open Access Journals (Sweden)

    Gerda Vaitkūnaitė

    2015-03-01

    Full Text Available The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treated and untreated areas of the material has been made.

  18. Measurement of surface roughness changes of unpolished and polished enamel following erosion.

    Directory of Open Access Journals (Sweden)

    Francesca Mullan

    Full Text Available To determine if Sa roughness data from measuring one central location of unpolished and polished enamel were representative of the overall surfaces before and after erosion.Twenty human enamel sections (4x4 mm were embedded in bis-acryl composite and randomised to either a native or polishing enamel preparation protocol. Enamel samples were subjected to an acid challenge (15 minutes 100 mL orange juice, pH 3.2, titratable acidity 41.3mmol OH/L, 62.5 rpm agitation, repeated for three cycles. Median (IQR surface roughness [Sa] was measured at baseline and after erosion from both a centralised cluster and four peripheral clusters. Within each cluster, five smaller areas (0.04 mm2 provided the Sa roughness data.For both unpolished and polished enamel samples there were no significant differences between measuring one central cluster or four peripheral clusters, before and after erosion. For unpolished enamel the single central cluster had a median (IQR Sa roughness of 1.45 (2.58 μm and the four peripheral clusters had a median (IQR of 1.32 (4.86 μm before erosion; after erosion there were statistically significant reductions to 0.38 (0.35 μm and 0.34 (0.49 μm respectively (p<0.0001. Polished enamel had a median (IQR Sa roughness 0.04 (0.17 μm for the single central cluster and 0.05 (0.15 μm for the four peripheral clusters which statistically significantly increased after erosion to 0.27 (0.08 μm for both (p<0.0001.Measuring one central cluster of unpolished and polished enamel was representative of the overall enamel surface roughness, before and after erosion.

  19. Fusion bonding of silicon nitride surfaces

    DEFF Research Database (Denmark)

    Reck, Kasper; Østergaard, Christian; Thomsen, Erik Vilain

    2011-01-01

    While silicon nitride surfaces are widely used in many micro electrical mechanical system devices, e.g. for chemical passivation, electrical isolation or environmental protection, studies on fusion bonding of two silicon nitride surfaces (Si3N4–Si3N4 bonding) are very few and highly application...

  20. APPLICATION OF CHEMICAL PRE-TREATMENT ON THE POLISHED SURFACE OF ALUMINIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Pavel Kraus

    2016-12-01

    Full Text Available This paper reports the preparation and characterization of thin transparent nanolayers with phase composition ZrF4 and different modification of SiO2 with special focus on affecting the surface roughness of the material and the way of exclusion of the thin nanolayer on the surface of the polished aluminium material. The thin nanolayer was prepared by the sol-gel method. The final treatment based on PTFE was applied on the surface of some samples. This treatment is suitable for increasing wear resistance. The films were characterized with help of SEM microscopy and EDS analysis. The surface roughness was measured with classical surface roughness tester. The results on this theme have already published but not on the polished surface of the aluminium material. The results from the experiment show the problems with application of these nanolayers because a cracks were found on the surface of the material and deformations of the layer after application of the PTFE final layer. The surface layer formation is discussed.

  1. Superacid Passivation of Crystalline Silicon Surfaces.

    Science.gov (United States)

    Bullock, James; Kiriya, Daisuke; Grant, Nicholas; Azcatl, Angelica; Hettick, Mark; Kho, Teng; Phang, Pheng; Sio, Hang C; Yan, Di; Macdonald, Daniel; Quevedo-Lopez, Manuel A; Wallace, Robert M; Cuevas, Andres; Javey, Ali

    2016-09-14

    The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

  2. Microtextured Silicon Surfaces for Detectors, Sensors & Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Carey, JE; Mazur, E

    2005-05-19

    With support from this award we studied a novel silicon microtexturing process and its application in silicon-based infrared photodetectors. By irradiating the surface of a silicon wafer with intense femtosecond laser pulses in the presence of certain gases or liquids, the originally shiny, flat surface is transformed into a dark array of microstructures. The resulting microtextured surface has near-unity absorption from near-ultraviolet to infrared wavelengths well below the band gap. The high, broad absorption of microtextured silicon could enable the production of silicon-based photodiodes for use as inexpensive, room-temperature multi-spectral photodetectors. Such detectors would find use in numerous applications including environmental sensors, solar energy, and infrared imaging. The goals of this study were to learn about microtextured surfaces and then develop and test prototype silicon detectors for the visible and infrared. We were extremely successful in achieving our goals. During the first two years of this award, we learned a great deal about how microtextured surfaces form and what leads to their remarkable optical properties. We used this knowledge to build prototype detectors with high sensitivity in both the visible and in the near-infrared. We obtained room-temperature responsivities as high as 100 A/W at 1064 nm, two orders of magnitude higher than standard silicon photodiodes. For wavelengths below the band gap, we obtained responsivities as high as 50 mA/W at 1330 nm and 35 mA/W at 1550 nm, close to the responsivity of InGaAs photodiodes and five orders of magnitude higher than silicon devices in this wavelength region.

  3. Metrology of nanosize biopowders using porous silicon surface

    International Nuclear Information System (INIS)

    Zhuravel', L.V.; Latukhina, N.V.; Pisareva, E.V.; Vlasov, M.Yu.; Volkov, A.V.; Volodkin, B.O.

    2008-01-01

    Powders of hydroxyapatite deposited on porous silicon surface were investigated by TEM and STM methods. Thickness of porous lay was 1-100 micrometers; porous diameter was 0.01-10 micrometers. Images of porous silicon surface with deposited particles give possibility to estimate particles size and induce that only proportionate porous diameter particles have good adhesion to porous silicon surface.

  4. Effect of different polishing systems on the surface roughness of nano-hybrid composites.

    Science.gov (United States)

    Patel, Brijesh; Chhabra, Naveen; Jain, Disha

    2016-01-01

    The study aimed to investigate the influence of different polishing systems on the surface roughness of nano-hybrid composite resins. Different shapes of polishing systems are available according to the site of work. To minimize variability, a new system with single shape is developed that can be utilized in both anterior as well as posterior teeth. Seventy composite discs were fabricated using Teflon well (10 mm × 3 mm). Two main group of nano-hybrid composite Group I - Filtek Z350 and Group II - Tetric N-Ceram were used (n = 35 for each group). Both groups were further divided into four subgroups. Subgroup a - OneGloss (n = 10), Subgroup b - PoGo (n = 10), Subgroup c - Sof-Lex spiral (n = 10), Subgroup d - Mylar strip (control, n = 5). Samples were polished according to the manufacturer's recommendations. Surface roughness test was performed using contact profilometer. The obtained data were analyzed using the one-way analysis of variance test. Tetric N-Ceram produced smoother surfaces than Filtek Z350 (P OneGloss" (P OneGloss" and "Sof-Lex Spiral."

  5. Simulation and analysis of an alternative kinematics for improving the polishing uniformity over the surface of polished tiles

    Directory of Open Access Journals (Sweden)

    Weingaertner, W. L.

    2010-10-01

    Full Text Available The present work investigates the possibility of adopting a new kinematics at the industrial polishing lines of porcelain stoneware tile. An alternative motion of the transverse oscillation of the polishing heads is proposed, in which no radical changes in the industries facilities are required. The basic idea is to replace the purely sinus motion of the polishing heads by a rather trapezoid wavelike motion. In theory this could be achieved simply by adopting regular delays at the transverse oscillation motion. Consequences of this alternative kinematics were quantitatively analyzed considering the spatial homogeneity of polishing expected for tiles. Such homogeneity was represented by the coefficient of variation of the distribution of polishing time over the surface, which was in turn determined by means of computational simulations, taking into account the effect of multiple polishing heads.

    El presente trabajo investiga la posibilidad de adoptar una nueva cinemática en las líneas de pulido industrial de baldosas de gres porcelánico. Se propone una propuesta alternativa de la oscilación transversal de los cabezales pulidores, en el que no hay cambios radicales en las instalaciones de las industrias son necesarias. La idea básica consiste en sustituir el movimiento totalmente del seno de los cabezales pulidores por un movimiento ondulatorio trapezoide. En teoría, esto podría lograrse sólo mediante la adopción de los habituales retrasos en el movimiento de oscilación transversal. las consecuencias de esta cinemática fueron analizados cuantitativamente, teniendo en cuenta la homogeneidad espacial de pulido. Tal homogeneidad fora representada por el coeficiente de variación de la distribución de lo tiempo de pulido en la superficie, determinada por medio de simulaciones computacionales, teniendo también en cuenta el efecto de pulido de las cabezas múltiples.

  6. In vivo evaluation of defined polished titanium surfaces to prevent soft tissue adhesion.

    Science.gov (United States)

    Hayes, Jessica S; Welton, Joanne L; Wieling, Ronald; Richards, R Geoff

    2012-04-01

    Soft tissue-implant adhesion is often required for implant integration into the body; however, in some situations, the tissue is required to glide freely over an implant. In the case of distal radius fracture treatment, current literature describes how titanium and its alloys tend to lead to more intra-tendon inflammatory reactions compared with stainless steel. This leads to tendon-implant adhesion and damage possibly causing limited palmar flexion and even tendon rupture. The goal of this study was to analyze the effect of different surface polishings of titanium and titanium molybdenum implants on soft tissue reactions in vivo, with the aim to prevent direct soft tissue adhesion. Using a nonfracture model, to allow for study of the soft-tissue-implant surface interactions only, six surface variants of the same plate design were implanted onto the tibia of 24 New Zealand white rabbits and left in situ for 12 weeks. Results indicate that paste polished commercially pure titanium and titanium molybdenum alloy had the least soft tissue adhesion, with the concomitant development of a soft tissue capsule. Surface topography did not appear influence the thickness of the connective tissue surrounding the plate. Therefore, suitable surface polishing could be applied to plates for clinical use, where free gliding of tissues is required. Copyright © 2012 Wiley Periodicals, Inc.

  7. Surface roughness and hardness of a composite resin: influence of finishing and polishing and immersion methods

    Directory of Open Access Journals (Sweden)

    Ana Luísa Botta Martins de Oliveira

    2010-09-01

    Full Text Available This study evaluated the finishing and polishing effect on the surface roughness and hardness of the Filtek Supreme XT, in fluoride solutions. Specimens were prepared (n = 140 with half of the samples finished and polished with Super-Snap® disks. The experimental groups were divided according to the presence or absence of finishing and polishing and immersion solutions (artificial saliva, sodium fluoride solution at 0.05% - manipulated, Fluordent Reach, Oral B, Fluorgard. The specimens remained immersed in artificial saliva for 24 hours and were then subjected to initial analysis (baseline of surface roughness and Vickers microhardness. Next, they were immersed in different fluoride solutions for 1 min/day, for 60 days. Afterwards, a new surface roughness and microhardness reading was conducted. The data were submitted to a two-way ANOVA and Tukey's test (5% significance level. For the comparison of mean roughness and hardness at baseline and after 60 days, the paired Student t test was used. The results showed that the surface roughness and microhardness of the Filtek Supreme XT were influenced by the finishing and polishing procedure, independently of the immersion methods.

  8. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    CERN Document Server

    Rhee, J Y

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder -> order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by p...

  9. Effects of various polishing media and techniques on the surface finish and behavior of laser glasses

    International Nuclear Information System (INIS)

    Landingham, R.L.; Casey, A.W.; Lindahl, R.O.

    1978-01-01

    The advance of high-power laser technology is dependent on the rate of advancement in laser glass forming and surface preparation. The threshold damage of glass surfaces continues to be a weak link in the overall advancement of laser technology. Methods were developed and used in the evaluation of existing glass surface preparation techniques. Modified procedures were evaluated to reduce surface contamination and subsurface defects. Polishing rates were monitored under controlled polishing conditions (purity, pH, particle size distribution, particle concentration, etc.). Future work at LLL for this ongoing investigation is described

  10. Surface Effects in Segmented Silicon Sensors

    OpenAIRE

    Kopsalis, Ioannis

    2017-01-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO2 layers at the surface, thus changing the sensor properties and limiting their...

  11. Silicon and Germanium (111) Surface Reconstruction

    Science.gov (United States)

    Hao, You Gong

    Silicon (111) surface (7 x 7) reconstruction has been a long standing puzzle. For the last twenty years, various models were put forward to explain this reconstruction, but so far the problem still remains unsolved. Recent ion scattering and channeling (ISC), scanning tunneling microscopy (STM) and transmission electron diffraction (TED) experiments reveal some new results about the surface which greatly help investigators to establish better models. This work proposes a silicon (111) surface reconstruction mechanism, the raising and lowering mechanism which leads to benzene -like ring and flower (raised atom) building units. Based on these building units a (7 x 7) model is proposed, which is capable of explaining the STM and ISC experiment and several others. Furthermore the building units of the model can be used naturally to account for the germanium (111) surface c(2 x 8) reconstruction and other observed structures including (2 x 2), (5 x 5) and (7 x 7) for germanium as well as the (/3 x /3)R30 and (/19 x /19)R23.5 impurity induced structures for silicon, and the higher temperature disordered (1 x 1) structure for silicon. The model is closely related to the silicon (111) surface (2 x 1) reconstruction pi-bonded chain model, which is the most successful model for the reconstruction now. This provides an explanation for the rather low conversion temperature (560K) of the (2 x 1) to the (7 x 7). The model seems to meet some problems in the explanation of the TED result, which is explained very well by the dimer, adatom and stacking fault (DAS) model proposed by Takayanagi. In order to explain the TED result, a variation of the atomic scattering factor is proposed. Comparing the benzene-like ring model with the DAS model, the former needs more work to explain the TED result and the later has to find a way to explain the silicon (111) surface (1 x 1) disorder experiment.

  12. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  13. Effect of grinding and polishing on near-surface phase transformations in zirconia

    International Nuclear Information System (INIS)

    Reed, J.S.; Lejus, A.M.

    1977-01-01

    The transformation of near-surface material on grinding and polishing has been investigated in sintered zirconia of 1 μm grain size and 99 percent density containing 4.5 and 7.0 mole percent Y 2 O 3 . Rough wet and dry grinding transformed about 20 percent cubic phase into 18 percent tetragonal and 2 percent monoclinic in material initially 47 percent cubic and 53 percent tetragonal (4.5 mole percent Y 2 O 3 ) but no change of phase in material that was fully cubic (7.0 mole percent Y 2 O 3 ). Annealing and polishing reduced lattice strain but only polishing reduced the concentration of monoclinic and tetragonal phases. Microhardness studies indicated that lattice strain and the phase transformations increased the penetration hardness to a depth of about 4 μm

  14. Surface thiolation of silicon for antifouling application.

    Science.gov (United States)

    Zhang, Xiaoning; Gao, Pei; Hollimon, Valerie; Brodus, DaShan; Johnson, Arion; Hu, Hongmei

    2018-02-07

    Thiol groups grafted silicon surface was prepared as previously described. 1H,1H,2H,2H-perfluorodecanethiol (PFDT) molecules were then immobilized on such a surface through disulfide bonds formation. To investigate the contribution of PFDT coating to antifouling, the adhesion behaviors of Botryococcus braunii (B. braunii) and Escherichia coli (E. coli) were studied through biofouling assays in the laboratory. The representative microscope images suggest reduced B. braunii and E. coli accumulation densities on PFDT integrated silicon substrate. However, the antifouling performance of PFDT integrated silicon substrate decreased over time. By incubating the aged substrate in 10 mM TCEP·HCl solution for 1 h, the fouled PFDT coating could be removed as the disulfide bonds were cleaved, resulting in reduced absorption of algal cells and exposure of non-fouled silicon substrate surface. Our results indicate that the thiol-terminated substrate can be potentially useful for restoring the fouled surface, as well as maximizing the effective usage of the substrate.

  15. Optimized pre-thinning procedures of ion-beam thinning for TEM sample preparation by magnetorheological polishing.

    Science.gov (United States)

    Luo, Hu; Yin, Shaohui; Zhang, Guanhua; Liu, Chunhui; Tang, Qingchun; Guo, Meijian

    2017-10-01

    Ion-beam-thinning is a well-established sample preparation technique for transmission electron microscopy (TEM), but tedious procedures and labor consuming pre-thinning could seriously reduce its efficiency. In this work, we present a simple pre-thinning technique by using magnetorheological (MR) polishing to replace manual lapping and dimpling, and demonstrate the successful preparation of electron-transparent single crystal silicon samples after MR polishing and single-sided ion milling. Dimples pre-thinned to less than 30 microns and with little mechanical surface damage were repeatedly produced under optimized MR polishing conditions. Samples pre-thinned by both MR polishing and traditional technique were ion-beam thinned from the rear side until perforation, and then observed by optical microscopy and TEM. The results show that the specimen pre-thinned by MR technique was free from dimpling related defects, which were still residual in sample pre-thinned by conventional technique. Nice high-resolution TEM images could be acquired after MR polishing and one side ion-thinning. MR polishing promises to be an adaptable and efficient method for pre-thinning in preparation of TEM specimens, especially for brittle ceramics. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. The effect of polishing technique on 3-D surface roughness and gloss of dental restorative resin composites.

    Science.gov (United States)

    Ereifej, N S; Oweis, Y G; Eliades, G

    2013-01-01

    The aim of this study was to compare surface roughness and gloss of resin composites polished using different polishing systems. Five resin composites were investigated: Filtek Silorane (FS), IPS Empress Direct (IP), Clearfil Majesty Posterior (CM), Premise (PM), and Estelite Sigma (ES). Twenty-five disk specimens were prepared from each material, divided into five groups, each polished with one of the following methods: Opti1Step (OS), OptiDisc (OD), Kenda CGI (KD), Pogo (PG), or metallurgical polishing (ML). Gloss and roughness parameters (Sa, Sz, Sq, and St) were evaluated by 60°-angle glossimetry and white-light interferometric profilometry. Two-way analysis of variance was used to detect differences in different materials and polishing techniques. Regression and correlation analyses were performed to examine correlations between roughness and gloss. Significant differences in roughness parameters and gloss were found according to the material, type of polishing, and material/polishing technique (pgloss was recorded for PM/ML (88.4 [2.3]) and lowest for FS/KD (30.3 [5.7]). All roughness parameters were significantly correlated with gloss (r= 0.871, 0.846, 0.713, and 0.707 for Sa, Sq, Sz, St, and gloss, respectively). It was concluded that the polishing procedure and the type of composite can have significant impacts on surface roughness and gloss of resin composites.

  17. Surface Coating of Gypsum-Based Molds for Maxillofacial Prosthetic Silicone Elastomeric Material: The Surface Topography.

    Science.gov (United States)

    Khalaf, Salah; Ariffin, Zaihan; Husein, Adam; Reza, Fazal

    2015-07-01

    This study aimed to compare the surface roughness of maxillofacial silicone elastomers fabricated in noncoated and coated gypsum materials. This study was also conducted to characterize the silicone elastomer specimens after surfaces were modified. A gypsum mold was coated with clear acrylic spray. The coated mold was then used to produce modified silicone experimental specimens (n = 35). The surface roughness of the modified silicone elastomers was compared with that of the control specimens, which were prepared by conventional flasking methods (n = 35). An atomic force microscope (AFM) was used for surface roughness measurement of silicone elastomer (unmodified and modified), and a scanning electron microscope (SEM) was used to evaluate the topographic conditions of coated and noncoated gypsum and silicone elastomer specimens (unmodified and modified) groups. After the gypsum molds were characterized, the fabricated silicone elastomers molded on noncoated and coated gypsum materials were evaluated further. Energy-dispersive X-ray spectroscopy (EDX) analysis of gypsum materials (noncoated and coated) and silicone elastomer specimens (unmodified and modified) was performed to evaluate the elemental changes after coating was conducted. Independent t test was used to analyze the differences in the surface roughness of unmodified and modified silicone at a significance level of p SEM analysis results showed evident differences in surface smoothness. EDX data further revealed the presence of the desired chemical components on the surface layer of unmodified and modified silicone elastomers. Silicone elastomers with lower surface roughness of maxillofacial prostheses can be obtained simply by coating a gypsum mold. © 2014 by the American College of Prosthodontists.

  18. Modification of surface texture by grinding and polishing lead zirconate titanate ceramics

    International Nuclear Information System (INIS)

    Cheng, S.; Lloyd, I.K.

    1992-01-01

    This paper reports that grinding and polishing affected the orientation of 90 degrees domains at the surface of lead zirconate titanate (PZT) ceramics. This was quantified by using changes in the intensity ratio of the (002) and (200) X-ray reflections. Grinding unpoled PZT with 600-grit SiC paper gave X-ray intensity ratios similar to those of poled material. This implies that 90 degrees domain realignments had occurred in the near surface region probed by the X-rays. Grinding poled samples with 600-grit SiC further increased the X-ray intensity ratio beyond that caused by poling, indicating that additional surface reorientation of 90 degrees domains had occurred. The effects of diamond polishing depended on the size of the diamond particles. The use of 6-μm diamond had no effect on the (002)/(200) intensity ratio of either poled or unpoled samples, while polishing with 15- or 45-μm diamond significantly enhanced the 90 degrees domain rotation. In unpoled samples, the increase in the X-ray intensity ratio then approached that induced by poling or grinding with 600-grit SiC paper. While the observed increase in X-ray intensity ratio upon grinding is attributed to the rotation of 90 degrees domains, the simultaneous formation of 180 degrees domains appears to minimize or reduce the increase in electrical polarization

  19. Porosity-dependent fractal nature of the porous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)

    2015-07-15

    Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.

  20. Thermal management of metallic surfaces: evaporation of sessile water droplets on polished and patterned stainless steel

    Science.gov (United States)

    Czerwiec, T.; Tsareva, S.; Andrieux, A.; Bortolini, G. A.; Bolzan, P. H.; Castanet, G.; Gradeck, M.; Marcos, G.

    2017-10-01

    This communication focus on the evaporation of sessile water droplets on different states of austenitic stainless steel surfaces: mirror polished, mirror polished and aged and patterned by sputtering. The evolution of the contact angle and of the droplet diameter is presented as a function of time at room temperature. For all the surface states, a constant diameter regime (CCR) is observed. An important aging effect on the contact angle is measured on polished surfaces due to atmospheric contamination. The experimental observations are compared to a quasi-static evaporation model assuming spherical caps. The evolution of the droplet volume as a function of time is almost linear with the evaporation time for all the observed surfaces. This is in accordance with the model prediction for the CCR mode for small initial contact angles. In our experiments, the evaporation time is found to be linearly dependent on the initial contact angle. This dependence is not correctly described by the evaporation model

  1. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  2. Effect of different polishing systems on the surface roughness of nano-hybrid composites

    OpenAIRE

    Brijesh Patel; Naveen Chhabra; Disha Jain

    2016-01-01

    Objective: The study aimed to investigate the influence of different polishing systems on the surface roughness of nano-hybrid composite resins. Background: Different shapes of polishing systems are available according to the site of work. To minimize variability, a new system with single shape is developed that can be utilized in both anterior as well as posterior teeth. Materials and Methods: Seventy composite discs were fabricated using Teflon well (10 mm × 3 mm). Two main group of...

  3. Surface chemistry of a hydrogenated mesoporous p-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Media, El-Mahdi, E-mail: belhadidz@tahoo.fr; Outemzabet, Ratiba, E-mail: oratiba@hotmail.com

    2017-02-15

    Highlights: • Due to its large specific surface porous silicon is used as substrate for drug therapy and biosensors. • We highlight the evidency of the contribution of the hydrides (SiHx) in the formation of the porous silicon. • The responsible species in the porous silicon formation are identified and quantified at different conditions. • By some chemical treatments we show that silicon surface can be turn from hydrophobic to hydrophilic. - Abstract: The finality of this work is devoted to the grafting of organic molecules on hydrogen passivated mesoporous silicon surfaces. The study would aid in the development for the formation of organic monolayers on silicon surface to be exploited for different applications such as the realisation of biosensors and medical devices. The basic material is silicon which has been first investigated by FTIR at atomistic plane during the anodic forward and backward polarization (i.e. “go” and “return”). For this study, we applied a numerical program based on least squares method to infrared absorbance spectra obtained by an in situ attenuated total reflection on p-type silicon in diluted HF electrolyte. Our numerical treatment is based on the fitting of the different bands of IR absorbance into Gaussians corresponding to the different modes of vibration of molecular groups such as siloxanes and hydrides. An adjustment of these absorbance bands is done systematically. The areas under the fitted bands permit one to follow the intensity of the different modes of vibration that exist during the anodic forward and backward polarization in order to compare the reversibility of the phenomenon of the anodic dissolution of silicon. It permits also to follow the evolution between the hydrogen silicon termination at forward and backward scanning applied potential. Finally a comparison between the states of the initial and final surface was carried out. We confirm the presence of clearly four and three distinct vibration modes

  4. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    International Nuclear Information System (INIS)

    Rhee, Joo Yull

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder → order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by presumption that the recrystallization would be realized in such a way that the average atomic spacing would be reduced

  5. The Effect of Prophylactic Polishing Pastes on Surface Roughness of Indirect Restorative Materials

    Directory of Open Access Journals (Sweden)

    Esra Can Say

    2014-01-01

    Full Text Available The purpose of this study was to evaluate the influence of prophylactic polishing pastes (PPP; Detartrine (DT, Topex (TP on surface roughness (Ra of indirect composites (IRC; Tescera (TES, Gradia (GRD, and Estenia C&B (EST, a glass ceramic (Empress 2 layering (E2, and a leucite reinforced glass ceramic (Empress Esthetic (EE with two different (glazed (G; polished (P surface preparations. A total of 90 IRC and 120 ceramic discs, 8 mm in diameter and 2 mm thick, were prepared. E2 and EE specimens were randomly divided into two groups (n=30. One group was glazed (GE2; GEE, while the other group was polished (PE2; PEE the same as the IRCs. The specimens in each group were subsequently divided into three subgroups: control (C, DT, and TP. Ra (μm was evaluated with a profilometer. Data were analyzed by Kruskal Wallis, followed by the Dunn's multiple comparison tests P0.05. PE2 and PEE were not affected by DT or TP P>0.05, while GE2 and GEE exhibited significant roughening after TP P<0.05. Surface roughness of IRCs and glazed ceramics can be affected by PPP applications.

  6. Surface Passivation and Antireflection Behavior of ALD on n-Type Silicon for Solar Cells

    Directory of Open Access Journals (Sweden)

    Ing-Song Yu

    2013-01-01

    Full Text Available Atomic layer deposition, a method of excellent step coverage and conformal deposition, was used to deposit TiO2 thin films for the surface passivation and antireflection coating of silicon solar cells. TiO2 thin films deposited at different temperatures (200°C, 300°C, 400°C, and 500°C on FZ n-type silicon wafers are in the thickness of 66.4 nm ± 1.1 nm and in the form of self-limiting growth. For the properties of surface passivation, Si surface is effectively passivated by the 200°C deposition TiO2 thin film. Its effective minority carrier lifetime, measured by the photoconductance decay method, is improved 133% at the injection level of  cm−3. Depending on different deposition parameters and annealing processes, we can control the crystallinity of TiO2 and find low-temperature TiO2 phase (anatase better passivation performance than the high-temperature one (rutile, which is consistent with the results of work function measured by Kelvin probe. In addition, TiO2 thin films on polished Si wafer serve as good ARC layers with refractive index between 2.13 and 2.44 at 632.8 nm. Weighted average reflectance at AM1.5G reduces more than half after the deposition of TiO2. Finally, surface passivation and antireflection properties of TiO2 are stable after the cofire process of conventional crystalline Si solar cells.

  7. Experimental investigation of time and repeated cycles in nucleate pool boiling of alumina/water nanofluid on polished and machined surfaces

    Science.gov (United States)

    Rajabzadeh Dareh, F.; Haghshenasfard, M.; Nasr Esfahany, M.; Salimi Jazi, H.

    2018-06-01

    Pool boiling heat transfer of pure water and nanofluids on a copper block has been studied experimentally. Nanofluids with various concentrations of 0.0025, 0.005 and 0.01 vol.% are employed and two simple surfaces (polished and machined copper surface) are used as the heating surfaces. The results indicated that the critical heat flux (CHF) in boiling of fluids on the polished surface is 7% higher than CHF on the machined surface. In the case of machined surface, the heat transfer coefficient (HTC) of 0.01 vol.% nanofluid is about 37% higher than HTC of base fluid, while in the polished surface the average HTC of 0.01% nanofluid is about 19% lower than HTC of the pure water. The results also showed that the boiling time and boiling cycles on the polished surface changes the heat transfer performance. By increasing the boiling time from 5 to 10 min, the roughness enhances about 150%, but by increasing the boiling time to 15 min, the roughness enhancement is only 8%.

  8. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  9. Femtosecond laser irradiation-induced infrared absorption on silicon surfaces

    Directory of Open Access Journals (Sweden)

    Qinghua Zhu

    2015-04-01

    Full Text Available The near-infrared (NIR absorption below band gap energy of crystalline silicon is significantly increased after the silicon is irradiated with femtosecond laser pulses at a simple experimental condition. The absorption increase in the NIR range primarily depends on the femtosecond laser pulse energy, pulse number, and pulse duration. The Raman spectroscopy analysis shows that after the laser irradiation, the silicon surface consists of silicon nanostructure and amorphous silicon. The femtosecond laser irradiation leads to the formation of a composite of nanocrystalline, amorphous, and the crystal silicon substrate surface with microstructures. The composite has an optical absorption enhancement at visible wavelengths as well as at NIR wavelength. The composite may be useful for an NIR detector, for example, for gas sensing because of its large surface area.

  10. Effect of coarse grinding, overglazing, and 2 polishing systems on the flexural strength, surface roughness, and phase transformation of yttrium-stabilized tetragonal zirconia.

    Science.gov (United States)

    Mohammadi-Bassir, Mahshid; Babasafari, Mansoure; Rezvani, Mohammad Bagher; Jamshidian, Mahdieh

    2017-11-01

    Limited information is available for the best polishing systems and methods to obtain minimally abrasive monolithic zirconia surfaces after contouring and occlusal adjustment. The purpose of this in vitro study was to evaluate the effect of grinding and polishing procedures on the flexural strength, quality and quantity of surface roughness, topography, and phase transformation of a zirconia-based ceramic system. Fifty bar-shaped yttrium-stabilized zirconium oxide specimens (20×4×2 mm) were cut from presintered zirconia blanks. The specimens were wet-polished and divided into 5 groups (n=10): standard polishing without any surface treatment (group SP); grinding with a diamond rotary instrument (group Gr); grinding with a diamond rotary instrument (DRI) and over-glazing (group Gl); grinding with a DRI and polishing with an intraoral zirconia polishing kit in a 2-step procedure (group BP); and grinding with a DRI and polishing with an intraoral polishing kit (group MP). The Ra and Rz surface roughness values (μm) were measured with a profilometer. One specimen of each group was subjected to x-ray diffraction (XRD) to estimate the monoclinic phase and evaluated using scanning electron microscopy (SEM) for surface topography. The 3-point flexural strength of the bars was measured in a universal testing machine at a crosshead speed of 0.5 mm/min. The mean flexural strength (MPa) and surface roughness values were calculated, and the results were analyzed using 1-way ANOVA and Tukey honest significant difference tests (α=.05). Statistically significant differences were noted among the experimental groups for Ra, Rz (Pgrinding that were smoothened by glazing and polishing. Roughness increased significantly after grinding, but polishing and glazing similarly diminished it. Glazing after grinding significantly decreased the flexural strength values, but polishing did not. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier

  11. Validation of in-line surface characterization by light scattering in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2014-01-01

    The suitability of a commercial scattered light sensor for in-line characterization of fine surfaces in the roughness range Sa 1 – 30 nm generated by the Robot Assisted Polishing (RAP) was investigated and validated. A number of surfaces were generated and directly measured with the scattered light...

  12. Analysis and optimization of surface profile correcting mechanism of the pitch lap in large-aperture annular polishing

    Science.gov (United States)

    Zhang, Huifang; Yang, Minghong; Xu, Xueke; Wu, Lunzhe; Yang, Weiguang; Shao, Jianda

    2017-10-01

    The surface figure control of the conventional annular polishing system is realized ordinarily by the interaction between the conditioner and the lap. The surface profile of the pitch lap corrected by the marble conditioner has been measured and analyzed as a function of kinematics, loading conditions, and polishing time. The surface profile measuring equipment of the large lap based on laser alignment was developed with the accuracy of about 1μm. The conditioning mechanism of the conditioner is simply determined by the kinematics and fully fitting principle, but the unexpected surface profile deviation of the lap emerged frequently due to numerous influencing factors including the geometrical relationship, the pressure distribution at the conditioner/lap interface. Both factors are quantitatively evaluated and described, and have been combined to develop a spatial and temporal model to simulate the surface profile evolution of pitch lap. The simulations are consistent with the experiments. This study is an important step toward deterministic full-aperture annular polishing, providing a beneficial guidance for the surface profile correction of the pitch lap.

  13. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  14. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    and better post-polish surface roughness values compared to those containing single kind of particles. Several arguments are proposed to explain the enhanced CMP performance with the composite abrasives. The effect of surface charge of the composite abrasive and the hardness of the core particles in the composite abrasives contained in the polishing slurry on polish rates of different films is discussed. Also, as a part of this thesis, several issues related to CMP were addressed. The planarization ability of Cu CMP slurry containing alumina coated silica particles was studied to elucidate the role of pattern geometry in affecting polish rate and also generating pattern dependent defects like dishing and erosion. Additionally, a polishing process was devised which, when viewed with the optical profilometer, eliminated surface defects including shallow and deep scratches and pits already present in a copper film. Also, molybdenum dioxide (MoO2) was evaluated as a potential abrasive for a highly reactive copper CMP slurry with potassium iodate as the oxidizing agent. Finally, the interaction of amino acid additives in ceria slurries with the silicon nitride film during STI CMP is discussed. Directions for future work have been presented at the end of the thesis.

  15. Manufacture of functional surfaces through combined application of tool manufacturing processes and Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Eriksen, Rasmus Solmer; Arentoft, Mogens; Grønbæk, J.

    2012-01-01

    The tool surface topography is often a key parameter in the tribological performance of modern metal forming tools. A new generation of multifunctional surfaces is achieved by combination of conventional tool manufacturing processes with a novel Robot Assisted Polishing process. This novel surface...

  16. Surface effects in segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis

    2017-05-15

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO{sub 2} layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO{sub 2} and at the Si-SiO{sub 2} interface. In this thesis the surface radiation damage of the Si-SiO{sub 2} system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO{sub 2} of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface

  17. Surface effects in segmented silicon sensors

    International Nuclear Information System (INIS)

    Kopsalis, Ioannis

    2017-05-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.

  18. Relative performance of soft contact lenses having lathe-cut posterior surfaces with and without additional polishing.

    Science.gov (United States)

    O'Brien, C; Charman, W N

    2006-05-01

    After a preliminary investigation of the effects of tool feed rate and spindle speed on the surface roughness of unhydrated, lathe-cut polymacon surfaces, a laboratory and clinical comparison was made between lenses with identical parameters except that the lathe-cut posterior surface was left unpolished in the "test" lenses and was polished in the "control" lenses. The lenses had moulded anterior surfaces. Laboratory comparisons included surface roughness, lens power and its uniformity across the surface. Double-blind clinical trials over 4-hour (27 subjects) and 1-month (10 subjects) periods, involved one eye of each subject wearing a "test" lens and the other, a "control" lens. No clinically significant differences were found between the results for the test and control lenses. It is concluded that today's lathing technology makes a final polishing stage unnecessary.

  19. Laser polishing of additive manufactured Ti alloys

    Science.gov (United States)

    Ma, C. P.; Guan, Y. C.; Zhou, W.

    2017-06-01

    Laser-based additive manufacturing has attracted much attention as a promising 3D printing method for metallic components in recent years. However, surface roughness of additive manufactured components has been considered as a challenge to achieve high performance. In this work, we demonstrate the capability of fiber laser in polishing rough surface of additive manufactured Ti-based alloys as Ti-6Al-4V and TC11. Both as-received surface and laser-polished surfaces as well as cross-section subsurfaces were analyzed carefully by White-Light Interference, Confocal Microscope, Focus Ion Beam, Scanning Electron Microscopy, Energy Dispersive Spectrometer, and X-ray Diffraction. Results revealed that as-received Ti-based alloys with surface roughness more than 5 μm could be reduce to less than 1 μm through laser polishing process. Moreover, microstructure, microhardness and wear resistance of laser-polished zone was investigated in order to examine the thermal effect of laser polishing processing on the substrate of additive manufactured Ti alloys. This proof-of-concept process has the potential to effectively improve the surface roughness of additive manufactured metallic alloy by local polishing method without damage to the substrate.

  20. Effect of different polishing methods on surface roughness of provisional prosthetic materials

    Directory of Open Access Journals (Sweden)

    Ivian Verena Maia Tupinamba

    2018-01-01

    Conclusions: Within the limitations of this study, it was concluded that the most effective polishing system was the goat hair brush with diamond paste for both bis-acrylic and acrylic resins. The bis-acrylic resins exhibited significantly smoother surfaces than the acrylic resins.

  1. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing systems.

    Science.gov (United States)

    Yap, Adrian U J; Tan, W S; Yeo, J C; Yap, W Y; Ong, S B

    2002-01-01

    This study investigated the surface texture of two resin-modified glass ionomer cements (RMGICs) in the vertical and horizontal axis after treatment with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-flute tungsten carbide burs. The teeth were then randomly divided into four groups and finished/polished with (a) Robot Carbides (RC); (b) Super-Snap system (SS); (c) OneGloss (OG) and (d) CompoSite Points (CS). The sample size for each material-finishing/polishing system combination was eight. The mean surface roughness (microm) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Mean RaV ranged from 0.59-1.31 and 0.83-1.52, while mean RaH ranged from 0.80-1.43 and 0.85-1.58 for Fuji II LC and Photac-Fil, respectively. Results of statistical analysis were as follows: Fuji II LC: RaV-RC, SSpolishing of RMGICs is not recommended. Graded abrasive disk (SS) or two-step rubber abrasive (CS) systems should be used instead.

  2. Evaluation of the surface roughness of three heat-cured acrylic denture base resins with different conventional lathe polishing techniques: A comparative study.

    Science.gov (United States)

    Rao, Duggineni Chalapathi; Kalavathy, N; Mohammad, H S; Hariprasad, A; Kumar, C Ravi

    2015-01-01

    Surface roughness promotes adhesion and colonization of denture plaque. Therefore, it is important to know the effects of polishing and finishing on the surface roughness of various acrylic resin materials. To evaluate and compare the effects of different conventional lathe polishing techniques on heat cured acrylic resins in producing surface roughness. Three different commercially available heat-cured acrylic resin materials namely DPI, Meliodent and Trevalon Hi were selected. 30 Specimens of each acrylic material (30 x 3 = 90, 10 x 60 x 2mm) were prepared and divided into 5 groups, each group consisted of 6 Nos. of specimens per material(6x3=18) and were grouped as Group A(unfinished), Group B (finished), Group C (Polishing Paste), Group D (Polishing Cake) and Group E (Pumice and Gold rouge). The resulted surface roughness (μm) was measured using Perthometer and observed under Scanning Electron Microscope. The values obtained were subjected statistical analyses. Among the materials tested, better results were obtained with Trevalon Hi followed by Meliodent and DPI. Among the polishing methods used, superior results were obtained with universal polishing paste followed by polishing cake; Pumice and Gold rouge. Although Pumice and Gold rouge values produced greater roughness value, they were well within the threshold value of 0.2 mm.

  3. Technological Advances of Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Grønbæk, Jens

    The efficient polishing of surfaces is very important in mould and die industry. Fine abrasive processes are widely used in industry for the first steps for the production of tools of high quality in terms of finishing accuracy, form and surface integrity. While manufacturing of most components....... In this study, the influence of polishing parameters and type of polishing media on fine abrasive surface finishing is investigated. Experimental study is covering 2D rotational surfaces that is widespread used in mould and dies industry. Application of it is essential for process intelligent control, condition...... monitoring and quality inspection....

  4. Surface topography and morphology characterization of PIII irradiated silicon surface

    International Nuclear Information System (INIS)

    Sharma, Satinder K.; Barthwal, Sumit

    2008-01-01

    The effect of plasma immersion ion implantation (PIII) treatment on silicon surfaces was investigated by micro-Raman and atomic force microscopy (AFM) technique. The surface damage was given by the implantation of carbon, nitrogen, oxygen and argon ions using an inductively coupled plasma (ICP) source at low pressure. AFM studies show that surface topography of the PIII treated silicon wafers depend on the physical and chemical nature of the implanted species. Micro-Raman spectra indicate that the significant reduction of intensity of Raman peak after PIII treatment. Plasma immersion ion implantation is a non-line-of-sight ion implantation method, which allows 3D treatment of materials. Therefore, PIII based surface modification and plasma immersion ion deposition (PIID) coatings are applied in a wide range of situations.

  5. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  6. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  7. Optimization of the Surface Structure on Black Silicon for Surface Passivation.

    Science.gov (United States)

    Jia, Xiaojie; Zhou, Chunlan; Wang, Wenjing

    2017-12-01

    Black silicon shows excellent anti-reflection and thus is extremely useful for photovoltaic applications. However, its high surface recombination velocity limits the efficiency of solar cells. In this paper, the effective minority carrier lifetime of black silicon is improved by optimizing metal-catalyzed chemical etching (MCCE) method, using an Al 2 O 3 thin film deposited by atomic layer deposition (ALD) as a passivation layer. Using the spray method to eliminate the impact on the rear side, single-side black silicon was obtained on n-type solar grade silicon wafers. Post-etch treatment with NH 4 OH/H 2 O 2 /H 2 O mixed solution not only smoothes the surface but also increases the effective minority lifetime from 161 μs of as-prepared wafer to 333 μs after cleaning. Moreover, adding illumination during the etching process results in an improvement in both the numerical value and the uniformity of the effective minority carrier lifetime.

  8. Polishing large NaCl windows on a continuous polisher

    International Nuclear Information System (INIS)

    Williamson, R.

    1979-01-01

    The Helios and Antares CO 2 fusion laser systems incorporate numerous large sodium chloride windows. These must be refinished periodically, making necessary a consistent and predictable polishing capability. A continuous polisher (or annular lap) which might at Kirtland's Developmental Optical Facility. Large NaCl windows had not been polished on this type of machine. The machine has proven itself capable of producing lambda/16 figures at 633 nm (HeNe) with extremely smooth surfaces on glass. Since then, we have been working exclusively on NaCl optics. Due to different polishing parameters between NaCl and glass, and the slight solubility of the pitch in the slurry, this phase presents new problems. The work on glass will be reviewed. Results on NaCl to date will be reported. The potential of this type of machine relative to prisms, thin and irregularly shaped optics will be discussed

  9. Nanoscale wedge polishing of superconducting thin films-an easy way to obtain depth dependent information by surface analysis techniques

    International Nuclear Information System (INIS)

    Shapoval, T; Engel, S; Gruendlich, M; Meier, D; Backen, E; Neu, V; Holzapfel, B; Schultz, L

    2008-01-01

    A mechanical wedge polishing procedure that offers a simple, cost-effective and rapid way to look into the depth of a thin film with different surface-sensitive scanning techniques has been developed. As an example of its wide applicability, this method was utilized for the investigation of two differently prepared superconducting YBa 2 Cu 3 O 7-δ thin films: an Hf-doped film prepared by chemical solution deposition and an undoped film grown by pulsed laser deposition. Upon polishing, the roughness of the samples was reduced to less than 5 nm (peak-to-valley) without influencing the superconducting properties of the films. Thus, nanoscale polishing opens up a unique possibility for microscopic studies with various surface-sensitive techniques. We demonstrate the successful imaging of flux lines by low temperature magnetic force microscopy after polishing a formerly rough as-prepared film. By applying the wedge polishing procedure to the Hf-doped sample, high resolution electron backscattering diffraction investigations reveal the homogeneous distribution of non-superconducting BaHfO 3 nanoparticles in the whole volume of the film

  10. Natural Contamination and Surface Flashover on Silicone Rubber Surface under Haze–Fog Environment

    Directory of Open Access Journals (Sweden)

    Ang Ren

    2017-10-01

    Full Text Available Anti-pollution flashover of insulator is important for power systems. In recent years, haze-fog weather occurs frequently, which makes discharge occurs easily on the insulator surface and accelerates insulation aging of insulator. In order to study the influence of haze-fog on the surface discharge of room temperature vulcanized silicone rubber, an artificial haze-fog lab was established. Based on four consecutive years of insulator contamination accumulation and atmospheric sampling in haze-fog environment, the contamination configuration appropriate for RTV-coated surface discharge test under simulation environment of haze-fog was put forward. ANSYS Maxwell was used to analyze the influence of room temperature vulcanized silicone rubber surface attachments on electric field distribution. The changes of droplet on the polluted room temperature vulcanized silicone rubber surface and the corresponding surface flashover voltage under alternating current (AC, direct current (DC positive polar (+, and DC negative polar (− power source were recorded by a high speed camera. The results are as follows: The main ion components from haze-fog atmospheric particles are NO3−, SO42−, NH4+, and Ca2+. In haze-fog environment, both the equivalent salt deposit density (ESDD and non-soluble deposit density (NSDD of insulators are higher than that under general environment. The amount of large particles on the AC transmission line is greater than that of the DC transmission line. The influence of DC polarity power source on the distribution of contamination particle size is not significant. After the deposition of haze-fog, the local conductivity of the room temperature vulcanized silicone rubber surface increased, which caused the flashover voltage reduce. Discharge is liable to occur at the triple junction point of droplet, air, and room temperature vulcanized silicone rubber surface. After the deformation or movement of droplets, a new triple junction

  11. Instrumental studies on silicone oil adsorption to the surface of intraocular lenses

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Chun Ho [Lab. of Tissue Engineering, Korea Institute of Radiological and Medical Sciences, Seoul 139-706 (Korea, Republic of); Joo, Choun-Ki [Department of Ophthalmology and Visual Science, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Chun, Heung Jae, E-mail: chunhj@catholic.ac.kr [Institute of Cell and Tissue Engineering, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Yoo, Bok Ryul [Organosilicone Chemistry Laboratory, Korea Institute of Science and Technology, Seoul 130-650 (Korea, Republic of); Noh, Dong Il; Shim, Young Bock [Research Institute of Biomedical Engineering, Korea Bone Bank Co. Ltd., Seoul 153-782 (Korea, Republic of)

    2012-12-01

    Highlights: Black-Right-Pointing-Pointer It was found that PHEMA and Acrysof IOLs possess silicone oil repellant ability. Black-Right-Pointing-Pointer The residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. Black-Right-Pointing-Pointer XPS studies showed that silicone oil coverage of PMMA lenses was 12%. Black-Right-Pointing-Pointer Silicone oil covered the entire surface of the silicone IOLs. - Abstract: The purpose of this study was to examine the degree of adherence of silicone oil to various intraocular lenses (IOLs) through comparison of the physico-chemical properties of the oil and IOLs. Four kinds of IOLs comprising various biomaterials were examined: PMMA (720A Trade-Mark-Sign ), PHEMA (IOGEL 1103 Trade-Mark-Sign ), Acrysof (MA60BM Trade-Mark-Sign ), and silicone (SI30NB Trade-Mark-Sign ). Each lens was immersed in silicone oil or carboxylated silicone (CS-PDMS) oil for 72 h. For determination of the changes in chemical and elemental compositions on the surfaces of IOLs caused by the contact with silicone oil, IOLs were washed and rinsed with n-pentane to remove as much of the adsorbed silicone oil as possible, then subjected to Fourier transform infrared spectroscopic (FTIR) and X-ray photoelectron spectroscopic (XPS) analyses. The results of FTIR studies strongly indicate that washing with n-pentane completely removed the adhered silicone oil on the surfaces of PHEMA and Acrysof IOLs, whereas the residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. XPS studies showed that silicone oil coverage of PMMA lenses was 12%, even after washing with n-pentane. In the case of silicone IOLs, the relative O1s peak area of carboxyl group in the residual CS-PDMS oil was found to be {approx}2.7%. Considering that 2.8% carboxyl group-substituted silicone oil was used in the present study, CS-PDMS oil covered the entire surface of the silicone IOLs.

  12. Chemical polishing of partially oxidized T-111 alloy

    International Nuclear Information System (INIS)

    Teaney, P.E.

    1974-01-01

    The specimens were pressure-mounted in Bakelite and ground through 600 grit on silicon carbide papers. The specimens were rough-polished on a vibratory polisher for 4 to 6 h, using a water slurry of one micron alumina on Texmet, followed by 0.3-μ alumina on Texmet overnight. Final polishing was accomplished by continuous swabbing with a chemical polish. A chemical polish consisting of ten parts lactic acid, four parts nitric acid, and four parts hydrofluoric acid worked well for the T-111 parent material specimens; however, in the partially oxidized specimens, considerable pitting and staining occurred in the oxygen-affected zone and in the transition zone between the oxygen-affected zone and the parent material. A chemical polish was developed for the partially oxidized specimens by adjusting the ratio of the acids to ten parts lactic acid, two parts nitric acid, and two parts hydrofluoric acid. This slowed the chemical attack on the oxygen-affected zone considerably and, with continuous swabbing, the pitting and stain could be avoided. The specimens were rinsed and checked occasionally on the metallograph to determine when the proper polish had been obtained. Some specimens required intermittent polishing times up to 1 / 2 hour. No relationship could be established between the oxygen content of the specimen and the time required for chemical polishing in the partially oxidized specimens. However, the microstructure of the transition zone was the most difficult to obtain, and specimens with uniform reaction zones across the width of the specimen polished quicker than those with the transition zone

  13. Porous silicon structures with high surface area/specific pore size

    Science.gov (United States)

    Northrup, M.A.; Yu, C.M.; Raley, N.F.

    1999-03-16

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gases in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters. 9 figs.

  14. Correlation between surface microstructure and optical properties of porous silicon

    Directory of Open Access Journals (Sweden)

    Saeideh Rhramezani Sani

    2007-12-01

    Full Text Available   We have studied the effect of increasing porosity and its microstructure surface variation on the optical and dielectric properties of porous silicon. It seems that porosity, as the surface roughness within the range of a few microns, shows quantum effect in the absorption and reflection process of porous silicon. Optical constants of porous silicon at normal incidence of light with wavelength in the range of 250-3000 nm have been calculated by Kramers-Kroning method. Our experimental analysis shows that electronic structure and dielectric properties of porous silicon are totally different from silicon. Also, it shows that porous silicon has optical response in the visible region. This difference was also verified by effective media approximation (EMA.

  15. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  16. High Surface Area of Porous Silicon Drives Desorption of Intact Molecules

    Science.gov (United States)

    Northen, Trent R.; Woo, Hin-Koon; Northen, Michael T.; Nordström, Anders; Uritboonthail, Winnie; Turner, Kimberly L.; Siuzdak, Gary

    2007-01-01

    The surface structure of porous silicon used in desorption/ionization on porous silicon (DIOS) mass analysis is known to play a primary role in the desorption/ionization (D/I) process. In this study, mass spectrometry and scanning electron microscopy (SEM) are used to examine the correlation between intact ion generation with surface ablation, and surface morphology. The DIOS process is found to be highly laser energy dependent and correlates directly with the appearance of surface ions (Sin+ and OSiH+). A threshold laser energy for DIOS is observed (10 mJ/cm2), which supports that DIOS is driven by surface restructuring and is not a strictly thermal process. In addition, three DIOS regimes are observed which correspond to surface restructuring and melting. These results suggest that higher surface area silicon substrates may enhance DIOS performance. A recent example which fits into this mechanism is silicon nanowires surface which have a high surface energy and concomitantly requires lower laser energy for analyte desorpton. PMID:17881245

  17. Covalent biofunctionalization of silicon nitride surfaces

    NARCIS (Netherlands)

    Arafat, A.; Giesbers, M.; Rosso, M.; Sudhölter, E.J.R.; Schroën, C.G.P.H.; White, R.G.; Li Yang,; Linford, M.R.; Zuilhof, H.

    2007-01-01

    Covalently attached organic monolayers on etched silicon nitride (SixN4; x 3) surfaces were prepared by reaction of SixN4-coated wafers with neat or solutions of 1-alkenes and 1-alkynes in refluxing mesitylene. The surface modification was monitored by measurement of the static water contact angle,

  18. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  19. Grafting of functionalized polymer on porous silicon surface using Grignard reagent

    Science.gov (United States)

    Tighilt, F.-Z.; Belhousse, S.; Sam, S.; Hamdani, K.; Lasmi, K.; Chazalviel, J. N.; Gabouze, N.

    2017-11-01

    Recently, considerable attention has been paid to the manipulation and the control of the physicochemical properties of porous silicon surfaces because of their crucial importance to the modern microelectronics industry. Hybrid structures consisting of deposited polymer on porous silicon surfaces are important to applications in microelectronics, photovoltaics and sensors (Ensafi et al., 2016; Kashyout et al., 2015; Osorio et al.; 2015; Hejjo et al., 2002) [1-4]. In many cases, the polymer can provide excellent mechanical and chemical protection of the substrate, changes the electrochemical interface characteristics of the substrate, and provides new ways to the functionalization of porous silicon surfaces for molecular recognition and sensing. In this work, porous silicon surface was modified by anodic treatment in ethynylmagnesium bromide electrolyte leading to the formation of a polymeric layer bearing some bromine substituents. Subsequently, the formed polymer is functionalized with amine molecules containing functional groups (carboxylic acid or pyridine) by a substitution reaction between bromine sites and amine groups (Hofmann reaction). The chemical composition of the modified porous silicon surfaces was investigated and the grafting of polymeric chains and functional groups on the porous silicon surface was confirmed by Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) which displayed the principal characteristic peaks attributed to the different functional groups. Furthermore, the surface of the material was examined by scanning electron microscopy (SEM).

  20. Laser polishing of 3D printed mesoscale components

    International Nuclear Information System (INIS)

    Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan

    2017-01-01

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps

  1. Laser polishing of 3D printed mesoscale components

    Energy Technology Data Exchange (ETDEWEB)

    Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)

    2017-05-31

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes

  2. Detection of paint polishing defects

    Science.gov (United States)

    Rebeggiani, S.; Wagner, M.; Mazal, J.; Rosén, B.-G.; Dahlén, M.

    2018-06-01

    Surface finish plays a major role on perceived product quality, and is the first thing a potential buyer sees. Today end-of-line repairs of the body of cars and trucks are inevitably to secure required surface quality. Defects that occur in the paint shop, like dust particles, are eliminated by manual sanding/polishing which lead to other types of defects when the last polishing step is not performed correctly or not fully completed. One of those defects is known as ‘polishing roses’ or holograms, which are incredibly hard to detect in artificial light but are clearly visible in sunlight. This paper will present the first tests with a measurement set-up newly developed to measure and analyse polishing roses. The results showed good correlations to human visual evaluations where repaired panels were estimated based on the defects’ intensity, severity and viewing angle.

  3. Comparison of different finishing/polishing systems on surface roughness and gloss of resin composites.

    Science.gov (United States)

    Antonson, Sibel A; Yazici, A Rüya; Kilinc, Evren; Antonson, Donald E; Hardigan, Patrick C

    2011-07-01

    The aim of this study was to compare four finishing/polishing systems (F/P) on surface roughness and gloss of different resin composites. A total of 40 disc samples (15 mm × 3 mm) were prepared from a nanofill - Filtek Supreme Plus (FS) and a micro-hybrid resin composite - Esthet-X (EX). Following 24h storage in 37°C water, the top surfaces of each sample were roughened using 120-grit sandpaper. Baseline measurements of surface roughness (Ra, μm) and gloss were recorded. Each composite group was divided into four F/P disk groups: Astropol[AP], Enhance/PoGo[EP], Sof-Lex[SL], and an experimental disk system, EXL-695[EXL] (n=5). The same operator finished/polished all samples. One sample from each group was evaluated under SEM. Another blinded-operator conducted postoperative measurements. Results were analysed by two-way ANOVA, two interactive MANOVA and Tukey's t-test (p0.01). In gloss, FS composite with the EXL-695 system provided a significantly higher gloss (pgloss (pgloss. SEM evaluations revealed that the EX surface contained more air pockets but F/P systems were compatible. Copyright © 2011 Elsevier Ltd. All rights reserved.

  4. Extrinsic passivation of silicon surfaces for solar cells

    OpenAIRE

    Bonilla, R.S.; Reichel, C.; Hermle, M.; Martins, G.; Wilshaw, P.R.

    2015-01-01

    In the present work we study the extent to which extrinsic chemical and field effect passivation can improve the overall electrical passivation quality of silicon dioxide on silicon. Here we demonstrate that, when optimally applied, extrinsic passivation can produce surface recombination velocities below 1.2 cm/s in planar 1 Omega cm n-type Si. This is largely due to the additional field effect passivation component which reduces the recombination velocity below 2.13 cm/s. On textured surface...

  5. Negentropy Generation and Fractality in the Dry Friction of Polished Surfaces

    Directory of Open Access Journals (Sweden)

    Mordecai Segall

    2010-03-01

    Full Text Available We consider the Robin Hood model of dry friction to study entropy transfer during sliding. For the polished surface (steady state we study the probability distribution of slips and find an exponential behavior for all the physically relevant asperity interaction-distance thresholds. In addition, we characterize the time evolution of the sample by its spatial fractal dimension and by its entropy content. Starting from an unpolished surface, the entropy decreases during the Robin Hood process, until it reaches a plateau; thereafter the system fluctuates above the critical height. This validates the notion that friction increases information in the neighborhood of the contacting surface at the expense of losing information in remote regions. We explain the practical relevance of these results for engineering surface processing such as honing.

  6. Sensing roughness and polish direction

    DEFF Research Database (Denmark)

    Jakobsen, Michael Linde; Olesen, Anders Sig; Larsen, Henning Engelbrecht

    2016-01-01

    As a part of the work carried out in a project supported by the Danish Council for Technology and Innovation, we have investigated the option of smoothing standard CNC-machined surfaces. In the process of constructing optical prototypes, involving custom-designed optics, the development cost...... and time consumption can become prohibitive in a research budget. Machining the optical surfaces directly is expensive and time consuming. Alternatively, a more standardized and cheaper machining method can be used, calling for the object to be manually polished. During the polishing process, the operator...... needs information about the RMS-value of the surface roughness and the current direction of the scratches introduced by the polishing process. The RMS-value indicates to the operator how far he is from the final finish, and the scratch orientation is often specified by the customer in order to avoid...

  7. Hydrogen Incorporation during Aluminium Anodisation on Silicon Wafer Surfaces

    International Nuclear Information System (INIS)

    Lu, Pei Hsuan Doris; Strutzberg, Hartmuth; Wenham, Stuart; Lennon, Alison

    2014-01-01

    Hydrogen can act to reduce recombination at silicon surfaces for solar cell devices and consequently the ability of dielectric layers to provide a source of hydrogen for this purpose is of interest. However, due to the ubiquitous nature of hydrogen and its mobility, direct measurements of hydrogen incorporation in dielectric layers are challenging. In this paper, we report the use of secondary ion mass spectrometry measurements to show that deuterium from an electrolyte can be incorporated in an anodic aluminium oxide (AAO) layer and be introduced into an underlying amorphous silicon layer during anodisation of aluminium on silicon wafers. After annealing at 400 °C, the concentration of deuterium in the AAO was reduced by a factor of two, as the deuterium was re-distributed to the interface between the amorphous silicon and AAO and to the amorphous silicon. The assumption that hydrogen, from an aqueous electrolyte, could be similarly incorporated in AAO, is supported by the observation that the hydrogen content in the underlying amorphous silicon was increased by a factor of ∼ 3 after anodisation. Evidence for hydrogen being introduced into crystalline silicon after aluminium anodisation was provided by electrochemical capacitance voltage measurements indicating boron electrical deactivation in the underlying crystalline silicon. If introduced hydrogen can electrically deactivate dopant atoms at the surface, then it is reasonable to assume that it could also deactivate recombination-active states at the crystalline silicon interface therefore enabling higher minority carrier lifetimes in the silicon wafer

  8. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  9. Surface Area, and Oxidation Effects on Nitridation Kinetics of Silicon Powder Compacts

    Science.gov (United States)

    Bhatt, R. T.; Palczer, A. R.

    1998-01-01

    Commercially available silicon powders were wet-attrition-milled from 2 to 48 hr to achieve surface areas (SA's) ranging from 1.3 to 70 sq m/g. The surface area effects on the nitridation kinetics of silicon powder compacts were determined at 1250 or 1350 C for 4 hr. In addition, the influence of nitridation environment, and preoxidation on nitridation kinetics of a silicon powder of high surface area (approximately equals 63 sq m/g) was investigated. As the surface area increased, so did the percentage nitridation after 4 hr in N2 at 1250 or 1350 C. Silicon powders of high surface area (greater than 40 sq m/g) can be nitrided to greater than 70% at 1250 C in 4 hr. The nitridation kinetics of the high-surface-area powder compacts were significantly delayed by preoxidation treatment. Conversely, the nitridation environment had no significant influence on the nitridation kinetics of the same powder. Impurities present in the starting powder, and those accumulated during attrition milling, appeared to react with the silica layer on the surface of silicon particles to form a molten silicate layer, which provided a path for rapid diffusion of nitrogen and enhanced the nitridation kinetics of high surface area silicon powder.

  10. Study of double porous silicon surfaces for enhancement of silicon solar cell performance

    Science.gov (United States)

    Razali, N. S. M.; Rahim, A. F. A.; Radzali, R.; Mahmood, A.

    2017-09-01

    In this work, design and simulation of double porous silicon surfaces for enhancement of silicon solar cell is carried out. Both single and double porous structures are constructed by using TCAD ATHENA and TCAD DEVEDIT tools of the SILVACO software respectively. After the structures were created, I-V characteristics and spectral response of the solar cell were extracted using ATLAS device simulator. Finally, the performance of the simulated double porous solar cell is compared with the performance of both single porous and bulk-Si solar cell. The results showed that double porous silicon solar cell exhibited 1.8% efficiency compared to 1.3% and 1.2% for single porous silicon and bulk-Si solar cell.

  11. Controlling the Nanoscale Patterning of AuNPs on Silicon Surfaces

    Directory of Open Access Journals (Sweden)

    Chris J. Allender

    2013-03-01

    Full Text Available This study evaluates the effectiveness of vapour-phase deposition for creating sub-monolayer coverage of aminopropyl triethoxysilane (APTES on silicon in order to exert control over subsequent gold nanoparticle deposition. Surface coverage was evaluated indirectly by observing the extent to which gold nanoparticles (AuNPs deposited onto the modified silicon surface. By varying the distance of the silicon wafer from the APTES source and concentration of APTES in the evaporating media, control over subsequent gold nanoparticle deposition was achievable to an extent. Fine control over AuNP deposition (AuNPs/μm2 however, was best achieved by adjusting the ionic concentration of the AuNP-depositing solution. Furthermore it was demonstrated that although APTES was fully removed from the silicon surface following four hours incubation in water, the gold nanoparticle-amino surface complex was stable under the same conditions. Atomic force microscopy (AFM and X-ray photoelectron spectroscopy (XPS were used to study these affects.

  12. Nanolayer surface passivation schemes for silicon solar cells

    NARCIS (Netherlands)

    Dingemans, G.

    2011-01-01

    This thesis is concerned with nanolayer surface passivation schemes and corresponding deposition processes, for envisaged applications in crystalline silicon solar cells. Surface passivation, i.e. the reduction of electronic recombination processes at semiconductor surfaces, is essential for

  13. Demultiplexing Surface Waves With Silicon Nanoantennas

    DEFF Research Database (Denmark)

    Sinev, I.; Bogdanov, A.; Komissarenko, F.

    2017-01-01

    We demonstrate directional launching of surface plasmon polaritons on thin gold film with a single silicon nanosphere. The directivity pattern of the excited surface waves exhibits rapid switching from forward to backward excitation within extremely narrow spectral hand (! 50 nm), which is driven...... by the mutual interference of magnetic and electric dipole moments supported by the dielectric nanoantenna....

  14. Surface wave photonic device based on porous silicon multilayers

    International Nuclear Information System (INIS)

    Guillermain, E.; Lysenko, V.; Benyattou, T.

    2006-01-01

    Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported

  15. Silicon quantum dots: surface matters

    Czech Academy of Sciences Publication Activity Database

    Dohnalová, K.; Gregorkiewicz, T.; Kůsová, Kateřina

    2014-01-01

    Roč. 26, č. 17 (2014), 1-28 ISSN 0953-8984 R&D Projects: GA ČR GPP204/12/P235 Institutional support: RVO:68378271 Keywords : silicon quantum dots * quantum dot * surface chemistry * quantum confinement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.346, year: 2014

  16. Development of hybrid fluid jet/float polishing process

    Science.gov (United States)

    Beaucamp, Anthony T. H.; Namba, Yoshiharu; Freeman, Richard R.

    2013-09-01

    On one hand, the "float polishing" process consists of a tin lap having many concentric grooves, cut from a flat by single point diamond turning. This lap is rotated above a hydrostatic bearing spindle of high rigidity, damping and rotational accuracy. The optical surface thus floats above a thin layer of abrasive particles. But whilst surface texture can be smoothed to ~0.1nm rms (as measured by atomic force microscopy), this process can only be used on flat surfaces. On the other hand, the CNC "fluid jet polishing" process consists of pumping a mixture of water and abrasive particles to a converging nozzle, thus generating a polishing spot that can be moved along a tool path with tight track spacing. But whilst tool path feed can be moderated to ultra-precisely correct form error on freeform optical surfaces, surface finish improvement is generally limited to ~1.5nm rms (with fine abrasives). This paper reports on the development of a novel finishing method, that combines the advantages of "fluid jet polishing" (i.e. freeform corrective capability) with "float polishing" (i.e. super-smooth surface finish of 0.1nm rms or less). To come up with this new "hybrid" method, computational fluid dynamic modeling of both processes in COMSOL is being used to characterize abrasion conditions and adapt the process parameters of experimental fluid jet polishing equipment, including: (1) geometrical shape of nozzle, (2) position relative to the surface, (3) control of inlet pressure. This new process is aimed at finishing of next generation X-Ray / Gamma Ray focusing optics.

  17. Broadband antireflective silicon carbide surface produced by cost-effective method

    DEFF Research Database (Denmark)

    Argyraki, Aikaterini; Ou, Yiyu; Ou, Haiyan

    2013-01-01

    A cost-effective method for fabricating antireflective subwavelength structures on silicon carbide is demonstrated. The nanopatterning is performed in a 2-step process: aluminum deposition and reactive ion etching. The effect, of the deposited aluminum film thickness and the reactive ion etching...... conditions, on the average surface reflectance and nanostructure landscape have been investigated systematically. The average reflectance of silicon carbide surface is significantly suppressed from 25.4% to 0.05%, under the optimal experimental conditions, in the wavelength range of 390-784 nm. The presence...... of stochastic nanostructures also changes the wetting properties of silicon carbide surface from hydrophilic (47°) to hydrophobic (108°)....

  18. Composite adaptive control of belt polishing force for aero-engine blade

    Science.gov (United States)

    Zhsao, Pengbing; Shi, Yaoyao

    2013-09-01

    The existing methods for blade polishing mainly focus on robot polishing and manual grinding. Due to the difficulty in high-precision control of the polishing force, the blade surface precision is very low in robot polishing, in particular, quality of the inlet and exhaust edges can not satisfy the processing requirements. Manual grinding has low efficiency, high labor intensity and unstable processing quality, moreover, the polished surface is vulnerable to burn, and the surface precision and integrity are difficult to ensure. In order to further improve the profile accuracy and surface quality, a pneumatic flexible polishing force-exerting mechanism is designed and a dual-mode switching composite adaptive control(DSCAC) strategy is proposed, which combines Bang-Bang control and model reference adaptive control based on fuzzy neural network(MRACFNN) together. By the mode decision-making mechanism, Bang-Bang control is used to track the control command signal quickly when the actual polishing force is far away from the target value, and MRACFNN is utilized in smaller error ranges to improve the system robustness and control precision. Based on the mathematical model of the force-exerting mechanism, simulation analysis is implemented on DSCAC. Simulation results show that the output polishing force can better track the given signal. Finally, the blade polishing experiments are carried out on the designed polishing equipment. Experimental results show that DSCAC can effectively mitigate the influence of gas compressibility, valve dead-time effect, valve nonlinear flow, cylinder friction, measurement noise and other interference on the control precision of polishing force, which has high control precision, strong robustness, strong anti-interference ability and other advantages compared with MRACFNN. The proposed research achieves high-precision control of the polishing force, effectively improves the blade machining precision and surface consistency, and

  19. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  20. Influence of acetylcholinesterase immobilization on the photoluminescence properties of mesoporous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Saleem, Muhammad [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Rafiq, Muhammad; Seo, Sung-Yum [Department of Biology, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Lee, Ki Hwan, E-mail: khlee@kongju.ac.kr [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of)

    2014-07-01

    Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.

  1. Influence of acetylcholinesterase immobilization on the photoluminescence properties of mesoporous silicon surface

    International Nuclear Information System (INIS)

    Saleem, Muhammad; Rafiq, Muhammad; Seo, Sung-Yum; Lee, Ki Hwan

    2014-01-01

    Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.

  2. Manipulating mammalian cell morphologies using chemical-mechanical polished integrated circuit chips

    Science.gov (United States)

    Moussa, Hassan I.; Logan, Megan; Siow, Geoffrey C.; Phann, Darron L.; Rao, Zheng; Aucoin, Marc G.; Tsui, Ting Y.

    2017-12-01

    Tungsten chemical-mechanical polished integrated circuits were used to study the alignment and immobilization of mammalian (Vero) cells. These devices consist of blanket silicon oxide thin films embedded with micro- and nano-meter scale tungsten metal line structures on the surface. The final surfaces are extremely flat and smooth across the entire substrate, with a roughness in the order of nanometers. Vero cells were deposited on the surface and allowed to adhere. Microscopy examinations revealed that cells have a strong preference to adhere to tungsten over silicon oxide surfaces with up to 99% of cells adhering to the tungsten portion of the surface. Cells self-aligned and elongated into long threads to maximize contact with isolated tungsten lines as thin as 180 nm. The orientation of the Vero cells showed sensitivity to the tungsten line geometric parameters, such as line width and spacing. Up to 93% of cells on 10 μm wide comb structures were aligned within ± 20° of the metal line axis. In contrast, only 22% of cells incubated on 0.18 μm comb patterned tungsten lines were oriented within the same angular interval. This phenomenon is explained using a simple model describing cellular geometry as a function of pattern width and spacing, which showed that cells will rearrange their morphology to maximize their contact to the embedded tungsten. Finally, it was discovered that the materials could be reused after cleaning the surfaces, while maintaining cell alignment capability.

  3. Effects of Wet and Dry Finishing and Polishing on Surface Roughness and Microhardness of Composite Resins

    Science.gov (United States)

    Nasoohi, Negin; Hoorizad, Maryam

    2017-01-01

    Objectives: This study aimed to assess the effect of wet and dry finishing and polishing on microhardness and roughness of microhybrid and nanohybrid composites. Materials and Methods: Thirty samples were fabricated of each of the Polofil Supra and Aelite Aesthetic All-Purpose Body microhybrid and Grandio and Aelite Aesthetic Enamel nanohybrid composite resins. Each group (n=30) was divided into three subgroups of D, W and C (n=10). Finishing and polishing were performed dry in group D and under water coolant in group W. Group C served as the control group and did not receive finishing and polishing. Surface roughness of samples was measured by a profilometer and their hardness was measured by a Vickers hardness tester. Data were analyzed using two-way ANOVA (Pcomposites (Pcomposites (Pcomposites (Pcomposite resins. PMID:29104597

  4. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  5. Novel cavitation fluid jet polishing process based on negative pressure effects.

    Science.gov (United States)

    Chen, Fengjun; Wang, Hui; Tang, Yu; Yin, Shaohui; Huang, Shuai; Zhang, Guanghua

    2018-04-01

    Traditional abrasive fluid jet polishing (FJP) is limited by its high-pressure equipment, unstable material removal rate, and applicability to ultra-smooth surfaces because of the evident air turbulence, fluid expansion, and a large polishing spot in high-pressure FJP. This paper presents a novel cavitation fluid jet polishing (CFJP) method and process based on FJP technology. It can implement high-efficiency polishing on small-scale surfaces in a low-pressure environment. CFJP uses the purposely designed polishing equipment with a sealed chamber, which can generate a cavitation effect in negative pressure environment. Moreover, the collapse of cavitation bubbles can spray out a high-energy microjet and shock wave to enhance the material removal. Its feasibility is verified through researching the flow behavior and the cavitation results of the negative pressure cavitation machining of pure water in reversing suction flow. The mechanism is analyzed through a computational fluid dynamics simulation. Thus, its cavitation and surface removal mechanisms in the vertical CFJP and inclined CFJP are studied. A series of polishing experiments on different materials and polishing parameters are conducted to validate its polishing performance compared with FJP. The maximum removal depth increases, and surface roughness gradually decreases with increasing negative outlet pressures. The surface becomes smooth with the increase of polishing time. The experimental results confirm that the CFJP process can realize a high material removal rate and smooth surface with low energy consumption in the low-pressure environment, together with compatible surface roughness to FJP. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  7. Study on the fabrication of back surface reflectors in nano-crystalline silicon thin-film solar cells by using random texturing aluminum anodization

    Science.gov (United States)

    Shin, Kang Sik; Jang, Eunseok; Cho, Jun-Sik; Yoo, Jinsu; Park, Joo Hyung; Byungsung, O.

    2015-09-01

    In recent decades, researchers have improved the efficiency of amorphous silicon solar cells in many ways. One of the easiest and most practical methods to improve solar-cell efficiency is adopting a back surface reflector (BSR) as the bottom layer or as the substrate. The BSR reflects the incident light back to the absorber layer in a solar cell, thus elongating the light path and causing the so-called "light trapping effect". The elongation of the light path in certain wavelength ranges can be enhanced with the proper scale of BSR surface structure or morphology. An aluminum substrate with a surface modified by aluminum anodizing is used to improve the optical properties for applications in amorphous silicon solar cells as a BSR in this research due to the high reflectivity and the low material cost. The solar cells with a BSR were formed and analyzed by using the following procedures: First, the surface of the aluminum substrate was degreased by using acetone, ethanol and distilled water, and it was chemically polished in a dilute alkali solution. After the cleaning process, the aluminum surface's morphology was modified by using a controlled anodization in a dilute acid solution to form oxide on the surface. The oxidized film was etched off by using an alkali solution to leave an aluminum surface with randomly-ordered dimple-patterns of approximately one micrometer in size. The anodizing conditions and the anodized aluminum surfaces after the oxide layer had been removed were systematically investigated according to the applied voltage. Finally, amorphous silicon solar cells were deposited on a modified aluminum plate by using dc magnetron sputtering. The surfaces of the anodized aluminum were observed by using field-emission scanning electron microscopy. The total and the diffuse reflectances of the surface-modified aluminum sheets were measured by using UV spectroscopy. We observed that the diffuse reflectances increased with increasing anodizing voltage. The

  8. A silicon-based electrical source for surface plasmon polaritons

    NARCIS (Netherlands)

    Walters, Robert J.; van Loon, Rob V.A.; Brunets, I.; Schmitz, Jurriaan; Polman, Albert

    2009-01-01

    This work demonstrates the fabrication of a silicon-based electrical source for surface plasmon polaritons (SPPs) at low temperatures using silicon nanocrystal doped alumina within a metal-insulator-metal (MIM) waveguide geometry. The fabrication method uses established microtechnology processes

  9. Low-temperature micro-photoluminescence spectroscopy on laser-doped silicon with different surface conditions

    Science.gov (United States)

    Han, Young-Joon; Franklin, Evan; Fell, Andreas; Ernst, Marco; Nguyen, Hieu T.; Macdonald, Daniel

    2016-04-01

    Low-temperature micro-photoluminescence spectroscopy (μ-PLS) is applied to investigate shallow layers of laser-processed silicon for solar cell applications. Micron-scale measurement (with spatial resolution down to 1 μm) enables investigation of the fundamental impact of laser processing on the electronic properties of silicon as a function of position within the laser-processed region, and in particular at specific positions such as at the boundary/edge of processed and unprocessed regions. Low-temperature μ-PLS enables qualitative analysis of laser-processed regions by identifying PLS signals corresponding to both laser-induced doping and laser-induced damage. We show that the position of particular luminescence peaks can be attributed to band-gap narrowing corresponding to different levels of subsurface laser doping, which is achieved via multiple 248 nm nanosecond excimer laser pulses with fluences in the range 1.5-4 J/cm2 and using commercially available boron-rich spin-on-dopant precursor films. We demonstrate that characteristic defect PL spectra can be observed subsequent to laser doping, providing evidence of laser-induced crystal damage. The impact of laser parameters such as fluence and number of repeat pulses on laser-induced damage is also analyzed by observing the relative level of defect PL spectra and absolute luminescence intensity. Luminescence owing to laser-induced damage is observed to be considerably larger at the boundaries of laser-doped regions than at the centers, highlighting the significant role of the edges of laser-doped region on laser doping quality. Furthermore, by comparing the damage signal observed after laser processing of two different substrate surface conditions (chemically-mechanically polished and tetramethylammonium hydroxide etched), we show that wafer preparation can be an important factor impacting the quality of laser-processed silicon and solar cells.

  10. Role of roughness parameters on the tribology of randomly nano-textured silicon surface.

    Science.gov (United States)

    Gualtieri, E; Pugno, N; Rota, A; Spagni, A; Lepore, E; Valeri, S

    2011-10-01

    This experimental work is oriented to give a contribution to the knowledge of the relationship among surface roughness parameters and tribological properties of lubricated surfaces; it is well known that these surface properties are strictly related, but a complete comprehension of such correlations is still far to be reached. For this purpose, a mechanical polishing procedure was optimized in order to induce different, but well controlled, morphologies on Si(100) surfaces. The use of different abrasive papers and slurries enabled the formation of a wide spectrum of topographical irregularities (from the submicro- to the nano-scale) and a broad range of surface profiles. An AFM-based morphological and topographical campaign was carried out to characterize each silicon rough surface through a set of parameters. Samples were subsequently water lubricated and tribologically characterized through ball-on-disk tribometer measurements. Indeed, the wettability of each surface was investigated by measuring the water droplet contact angle, that revealed a hydrophilic character for all the surfaces, even if no clear correlation with roughness emerged. Nevertheless, this observation brings input to the purpose, as it allows to exclude that the differences in surface profile affect lubrication. So it is possible to link the dynamic friction coefficient of rough Si samples exclusively to the opportune set of surface roughness parameters that can exhaustively describe both height amplitude variations (Ra, Rdq) and profile periodicity (Rsk, Rku, Ic) that influence asperity-asperity interactions and hydrodynamic lift in different ways. For this main reason they cannot be treated separately, but with dependent approach through which it was possible to explain even counter intuitive results: the unexpected decreasing of friction coefficient with increasing Ra is justifiable by a more consistent increasing of kurtosis Rku.

  11. Conformal polishing approach: Tool footprint analysis

    Directory of Open Access Journals (Sweden)

    José A Dieste

    2016-02-01

    Full Text Available Polishing process is one of the most critical manufacturing processes during a metal part production because it determines the final quality of the product. Free-form surface polishing is a handmade process with lots of rejected parts, scrap generation and time and energy consumption. Two different research lines are being developed: prediction models of the final surface quality parameters and an analysis of the amount of material removed depending on the polishing parameters to predict the tool footprint during the polishing task. This research lays the foundations for a future automatic conformal polishing system. It is based on rotational and translational tool with dry abrasive in the front mounted at the end of a robot. A tool to part concept is used, useful for large or heavy workpieces. Results are applied on different curved parts typically used in tooling industry, aeronautics or automotive. A mathematical model has been developed to predict the amount of material removed in function of polishing parameters. Model has been fitted for different abrasives and raw materials. Results have shown deviations under 20% that implies a reliable and controllable process. Smaller amount of material can be removed in controlled areas of a three-dimensional workpiece.

  12. An in vivo evaluation of surface polishing of TAN intermedullary nails for ease of removal

    Directory of Open Access Journals (Sweden)

    JS Hayes

    2009-09-01

    Full Text Available Fractures of the tibia and femoral diaphysis are commonly repaired by intra-medullary (IM nailing. Currently IM nails are available in either electropolished stainless steel (SS or in Titanium-Aluminium-Niobium (TAN. After healing, removal of the nails still is common but removal of TAN IM nails often has complications whereas SS IM nails of the same design are less often associated with problems. We believe the differences in removal are due to the ability of TAN to promote strong bone on-growth. We have previously shown in vivo that polishing cortical screws reduces removal torque and the percentage of bone-implant contact. Therefore, we postulate that bony on-growth onto IM nails can be reduced by means of surface polishing, for ease of removal. Here we aim to compare the pull-out forces for removal of standard TAN (TAN-S compared to experimental paste polished TAN (TAN-PP IM nails from a bilateral non-fracture sheep tibia model after 12 months implantation. Histological analysis was also performed to assess tissue on-growth to the nails. We show that polishing significantly reduces (p=0.05 the extraction force required for TAN IM nail removal. This effect in part is attributable to the distinct tissue-material reaction produced. For TAN-S nails direct bone contact was observed while for TAN-PP nails a fibrous tissue interface was noted. Since TAN is preferred over SS for IM nailing due to superior biocompatibility and mechanical properties, we believe these findings could be used to recommend changes to current surface technologies of intramedullary nails to reduce complications seen with nail removal especially in rapidly growing bone in children.

  13. Photoluminescent silicon nanocrystals with chlorosilane surfaces - synthesis and reactivity

    Science.gov (United States)

    Höhlein, Ignaz M. D.; Kehrle, Julian; Purkait, Tapas K.; Veinot, Jonathan G. C.; Rieger, Bernhard

    2014-12-01

    We present a new efficient two-step method to covalently functionalize hydride terminated silicon nanocrystals with nucleophiles. First a reactive chlorosilane layer was formed via diazonium salt initiated hydrosilylation of chlorodimethyl(vinyl)silane which was then reacted with alcohols, silanols and organolithium reagents. With organolithium compounds a side reaction is observed in which a direct functionalization of the silicon surface takes place.We present a new efficient two-step method to covalently functionalize hydride terminated silicon nanocrystals with nucleophiles. First a reactive chlorosilane layer was formed via diazonium salt initiated hydrosilylation of chlorodimethyl(vinyl)silane which was then reacted with alcohols, silanols and organolithium reagents. With organolithium compounds a side reaction is observed in which a direct functionalization of the silicon surface takes place. Electronic supplementary information (ESI) available: Detailed experimental procedures and additional NMR, PL, EDX, DLS and TEM data. See DOI: 10.1039/C4NR05888G

  14. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-10-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10-40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage.

  15. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-01-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10–40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage. PMID:24145684

  16. Morphology of IR and UV Laser-induced Structural Changes on Silicon Surfaces

    International Nuclear Information System (INIS)

    Jimenez-Jarquin, J.; Haro-Poniatowski, E.; Fernandez-Guasti, M.; Hernandez-Pozos, J.L.

    2005-01-01

    Using scanning electronic microscopy, we analyze the structural changes induced in silicon (100) wafers by focused IR (1064 nm) and UV (355 nm) nanosecond laser pulses. The experiments were performed in the laser ablation regime. When a silicon surface is irradiated by laser pulses in an O2 atmosphere conical microstructures are obtained. The changes in silicon surface morphology depend both on the incident radiation wavelength and the environmental atmosphere. We have patterned Si surfaces with a single focused laser spot and, in doing the experiments with IR or UV this reveals significant differences in the initial surface cracking and pattern formation, however the final result consist of an array of microcones when the experiment is carried out in oxygen. We employ a random scanning technique to irradiate silicon surfaces over large areas. In this form we have obtained large patterned areas

  17. STUDY OF POLISHING AISI 316L WITH STRUCTURED ABRASIVE

    Directory of Open Access Journals (Sweden)

    François GOOSSENS

    2015-05-01

    Full Text Available Finishing process like polishing is usually used to obtain high quality mechanical surface characteristics such as texture and roughness. These operations are mainly handmade and need highly trained operators thus limiting their repeatability and profitability. To optimize the industrialization of the polishing process, it is therefore necessary to modelize the process to built efficient parameter database. The aim of this study is to characterise the polishing of 316L stainless steel with structured abrasive belts. The geometric data of the belts are given, and we then propose a model to determine material removal. An experimental test bench is set up to test this model and characterise the polishing process in terms of forces. It produces samples for different polishing conditions. The different polished surfaces are then analyzed thanks to the roughness and the wettability. Using experimental designs, we are able to validate the proposed model and identify the parameters that influence a polishing operation.

  18. Silicon surface biofunctionalization with dopaminergic tetrahydroisoquinoline derivatives

    Energy Technology Data Exchange (ETDEWEB)

    Lucena-Serrano, A.; Lucena-Serrano, C.; Contreras-Cáceres, R.; Díaz, A.; Valpuesta, M. [Dep. Química Orgánica, Facultad de Ciencias, Universidad de Málaga, 29071 Málaga (Spain); Cai, C. [Dep. Chemistry, University of Houston, Houston, TX 77204-5003 (United States); López-Romero, J.M., E-mail: jmromero@uma.es [Dep. Química Orgánica, Facultad de Ciencias, Universidad de Málaga, 29071 Málaga (Spain)

    2016-01-01

    Graphical abstract: - Highlights: • Two dopaminergic tetrahydroisoquinolines (THI) were synthesized. • Vinyl-terminated THI incorporated onto the H−Si(1 1 1) substrates via a hydrosilylation. • The highest yield in coverage was obtained in DMSO, at 4 h of irradiation and 0.1 mbar of vacuum. • Alkynyl-terminated Si surface was produced for incorporation of azide-THI by click reaction. • Best yields on grafted molecule were obtained by click reaction in absence of ascorbic acid. - Abstract: In this work we grafted vinyl- and azido-terminated tetrahydroisoquinolines (compounds 1 and 2, respectively) onto H−Si(1 1 1) silicon wafers obtaining highly stable modified surfaces. A double bond was incorporated into the tetrahydroisoquinoline structure of 1 to be immobilized by a light induced hydrosilylation reaction on hydrogen-terminated Si(1 1 1). The best results were obtained employing a polar solvent (DMSO), rather than a non-polar solvent (toluene). The azide derivative 2 was grafted onto alkenyl-terminated silicon substrates with copper-catalyzed azide-alkyne cycloaddition (CuAAC). Atomic force microscopy (AFM), contact angle goniometry (CA) and X-ray photoemission spectroscopy (XPS) were used to demonstrate the incorporation of 1 and 2 into the surfaces, study the morphology of the modified surfaces and to calculate the yield of grafting and surface coverage. CA measurements showed the increase in the surface hydrophobicity when 1 or 2 were incorporated into the surface. Moreover, compounds 1 and 2 were prepared starting from 1-(p-nitrophenyl)tetrahydroisoquinoline 3 under smooth conditions and in good yields. The structures of 1 and 2 were designed with a reduced A-ring, two substituents at positions C-6 and C-7, an N-methyl group and a phenyl moiety at C-1 in order to provide a high affinity against dopaminergic receptors. Moreover, O-demethylation of 1 was carried out once it was adsorbed onto the surface by treatment with BBr{sub 3}. The method

  19. Comparison of the impact of scaler material composition on polished titanium implant abutment surfaces.

    Science.gov (United States)

    Hasturk, Hatice; Nguyen, Daniel Huy; Sherzai, Homa; Song, Xiaoping; Soukos, Nikos; Bidlack, Felicitas B; Van Dyke, Thomas E

    2013-08-01

    The purpose of this study was to compare the impact of the removal of biofilm with hand scalers of different material composition on the surface of implant abutments by assessing the surface topography and residual plaque after scaling using scanning electron microscopy (SEM). Titanium implant analogs from 3 manufacturers (Straumann USA LLC, Andover, Maine, Nobel BioCare USA LLC, Yorba Linda, Cali, Astra Tech Implant Systems, Dentsply, Mölndal, Sweden) were mounted in stone in plastic vials individually with authentic prosthetic abutments. Plaque samples were collected from a healthy volunteer, inoculated into growth medium and incubated with the abutments anaerobically for 1 week. A blinded, calibrated hygienist performed scaling to remove the biofilm using 6 implant scalers (in triplicate), 1 scaler for 1 abutment. The abutments were mounted on an imaging stand and processed for SEM. Images were captured in 3 randomly designated areas of interest on each abutment. Analysis of the implant polished abutment surface and plaque area measurements were performed using ImageJ image analysis software. Surface alterations were characterized by the number, length, depth and the width of the scratches observed. Glass filled resin scalers resulted in significantly more and longer scratches on all 3 abutment types compared to other scalers, while unfilled resin scalers resulted in the least surface change (p abutments with regard to plaque removal. The impact of scalers on implant abutment surfaces varies between abutment types presumably due to different surface characteristics with no apparent advantage of one abutment type over the other with regard to resistance to surface damage. Unfilled resin was found consistently to be the least damaging to abutment surfaces, although all scalers of all compositions caused detectable surface changes to polished surfaces of implant abutments.

  20. Surface elastic properties in silicon nanoparticles

    Science.gov (United States)

    Melis, Claudio; Giordano, Stefano; Colombo, Luciano

    2017-09-01

    The elastic behavior of the external surface of a solid body plays a key role in nanomechanical phenomena. While bulk elasticity enjoys the benefits of a robust theoretical understanding, many surface elasticity features remain unexplored: some of them are here addressed by blending together continuum elasticity and atomistic simulations. A suitable readdressing of the surface elasticity theory allows to write the balance equations in arbitrary curvilinear coordinates and to investigate the dependence of the surface elastic parameters on the mean and Gaussian curvatures of the surface. In particular, we predict the radial strain induced by surface effects in spherical and cylindrical silicon nanoparticles and provide evidence that the surface parameters are nearly independent of curvatures and, therefore, of the surface conformation.

  1. Removal of dangling bonds and surface states on silicon (001) with a monolayer of selenium

    International Nuclear Information System (INIS)

    Tao Meng; Udeshi, Darshak; Basit, Nasir; Maldonado, Eduardo; Kirk, Wiley P.

    2003-01-01

    Dangling bonds and surface states are inherent to semiconductor surfaces. By passivating dangling bonds on the silicon (001) surface with a monolayer of selenium, surface states are removed from the band gap. Magnesium contacts on selenium-passivated silicon (001) behave ohmically, as expected from the work function of magnesium and the electron affinity of silicon. After rapid thermal annealing and hot-plate annealing, magnesium contacts on selenium-passivated silicon (001) show better thermal stability than on hydrogen-passivated silicon (001), which is attributed to the suppression of silicide formation by selenium passivation

  2. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  3. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    Science.gov (United States)

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  4. Conciliating surface superhydrophobicities and mechanical strength of porous silicon films

    Science.gov (United States)

    Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan

    2011-01-01

    Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.

  5. DEPTH MEASUREMENT OF DISRUPTED LAYER ON SILICON WAFER SURFACE USING AUGER SPECTROSCOPY METHOD

    Directory of Open Access Journals (Sweden)

    V. A. Solodukha

    2016-01-01

    Full Text Available The paper proposes a method for depth measurement of a disrupted layer on silicon wafer surface which is based on application of Auger spectroscopy with the precision sputtering of surface silicon layers and registration of the Auger electron yield intensity. In order to measure the disrupted layer with the help of Auger spectroscopy it is necessary to determine dependence of the released Auger electron amount on sputtering time (profile and then the dependence is analyzed. Silicon amount in the disrupted layer is less than in the volume. While going deeper the disruptive layer is decreasing that corresponds to an increase of atom density in a single layer. The essence of the method lies in the fact the disruptive layer is removed by ion beam sputtering and detection of interface region is carried out with the help of registration of the Auger electron yield intensity from the sputtered surface up to the moment when it reaches the value which is equal to the Auger electron yield intensity for single-crystal silicon. While removing surface silicon layers the registration of the Auger electron yield intensity from silicon surface makes it possible to control efficiently a presence of the disrupted layer on the silicon wafer surface. In this case depth control locality is about 1.0 nm due to some peculiarities of Auger spectroscopy method. The Auger electron yield intensity is determined automatically while using Auger spectrometer and while removing the disrupted layer the intensity is gradually increasing. Depth of the disrupted layer is determined by measuring height of the step which has been formed as a result of removal of the disrupted layer from the silicon wafer surface. Auger spectroscopy methods ensures an efficient depth control surface disruptions at the manufacturing stages of silicon wafers and integrated circuits. The depth measurement range of disruptions constitutes 0.001–1.000 um.

  6. Self-assembling peptide hydrogels immobilized on silicon surfaces

    International Nuclear Information System (INIS)

    Franchi, Stefano; Battocchio, Chiara; Galluzzi, Martina; Navisse, Emanuele; Zamuner, Annj; Dettin, Monica; Iucci, Giovanna

    2016-01-01

    The hydrogels of self-assembling ionic complementary peptides have collected in the scientific community increasing consensus as mimetics of the extracellular matrix that can offer 3D supports for cell growth or be vehicles for the delivery of stem cells or drugs. Such scaffolds have also been proposed as bone substitutes for small defects as they promote beneficial effects on human osteoblasts. In this context, our research deals with the introduction of a layer of self-assembling peptides on a silicon surface by covalent anchoring and subsequent physisorption. In this work, we present a spectroscopic investigation of the proposed bioactive scaffolds, carried out by surface-sensitive spectroscopic techniques such as XPS (X-ray photoelectron spectroscopy) and RAIRS (Reflection Absorption Infrared Spectroscopy) and by state-of-the-art synchrotron radiation methodologies such as angle dependent NEXAFS (Near Edge X-ray Absorption Fine Structure). XPS studies confirmed the change in the surface composition in agreement with the proposed enrichments, and led to assess the self-assembling peptide chemical stability. NEXAFS spectra, collected in angular dependent mode at the N K-edge, allowed to investigate the self-assembling behavior of the macromolecules, as well as to determine their molecular orientation on the substrate. Furthermore, Infrared Spectroscopy measurements demonstrated that the peptide maintains its secondary structure (β-sheet anti-parallel) after deposition on the silicon surface. The complementary information acquired by means of XPS, NEXAFS and RAIRS lead to hypothesize a “layer-by-layer” arrangement of the immobilized peptides, giving rise to an ordered 3D nanostructure. - Highlights: • A self-assembling peptide (SAP) was covalently immobilized of on a flat silicon surface. • A physisorbed SAP layer was grown on top of the covalently immobilized peptide layer. • Molecular order and orientation of the peptide overlayer on the flat silicon

  7. Self-assembling peptide hydrogels immobilized on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Franchi, Stefano; Battocchio, Chiara; Galluzzi, Martina; Navisse, Emanuele [Department of Sciences, University “Roma Tre”, Via della Vasca Navale 79, Roma, 00146 (Italy); Zamuner, Annj; Dettin, Monica [Department of Industrial Engineering, University of Padua, Via Marzolo, 9, Padua, 35131 (Italy); Iucci, Giovanna, E-mail: giovanna.iucci@uniroma3.it [Department of Sciences, University “Roma Tre”, Via della Vasca Navale 79, Roma, 00146 (Italy)

    2016-12-01

    The hydrogels of self-assembling ionic complementary peptides have collected in the scientific community increasing consensus as mimetics of the extracellular matrix that can offer 3D supports for cell growth or be vehicles for the delivery of stem cells or drugs. Such scaffolds have also been proposed as bone substitutes for small defects as they promote beneficial effects on human osteoblasts. In this context, our research deals with the introduction of a layer of self-assembling peptides on a silicon surface by covalent anchoring and subsequent physisorption. In this work, we present a spectroscopic investigation of the proposed bioactive scaffolds, carried out by surface-sensitive spectroscopic techniques such as XPS (X-ray photoelectron spectroscopy) and RAIRS (Reflection Absorption Infrared Spectroscopy) and by state-of-the-art synchrotron radiation methodologies such as angle dependent NEXAFS (Near Edge X-ray Absorption Fine Structure). XPS studies confirmed the change in the surface composition in agreement with the proposed enrichments, and led to assess the self-assembling peptide chemical stability. NEXAFS spectra, collected in angular dependent mode at the N K-edge, allowed to investigate the self-assembling behavior of the macromolecules, as well as to determine their molecular orientation on the substrate. Furthermore, Infrared Spectroscopy measurements demonstrated that the peptide maintains its secondary structure (β-sheet anti-parallel) after deposition on the silicon surface. The complementary information acquired by means of XPS, NEXAFS and RAIRS lead to hypothesize a “layer-by-layer” arrangement of the immobilized peptides, giving rise to an ordered 3D nanostructure. - Highlights: • A self-assembling peptide (SAP) was covalently immobilized of on a flat silicon surface. • A physisorbed SAP layer was grown on top of the covalently immobilized peptide layer. • Molecular order and orientation of the peptide overlayer on the flat silicon

  8. Strain-free polished channel-cut crystal monochromators: a new approach and results

    Science.gov (United States)

    Kasman, Elina; Montgomery, Jonathan; Huang, XianRong; Lerch, Jason; Assoufid, Lahsen

    2017-08-01

    The use of channel-cut crystal monochromators has been traditionally limited to applications that can tolerate the rough surface quality from wet etching without polishing. We have previously presented and discussed the motivation for producing channel cut crystals with strain-free polished surfaces [1]. Afterwards, we have undertaken an effort to design and implement an automated machine for polishing channel-cut crystals. The initial effort led to inefficient results. Since then, we conceptualized, designed, and implemented a new version of the channel-cut polishing machine, now called C-CHiRP (Channel-Cut High Resolution Polisher), also known as CCPM V2.0. The new machine design no longer utilizes Figure-8 motion that mimics manual polishing. Instead, the polishing is achieved by a combination of rotary and linear functions of two coordinated motion systems. Here we present the new design of C-CHiRP, its capabilities and features. Multiple channel-cut crystals polished using the C-CHiRP have been deployed into several beamlines at the Advanced Photon Source (APS). We present the measurements of surface finish, flatness, as well as topography results obtained at 1-BM of APS, as compared with results typically achieved when polishing flat-surface monochromator crystals using conventional polishing processes. Limitations of the current machine design, capabilities and considerations for strain-free polishing of highly complex crystals are also discussed, together with an outlook for future developments and improvements.

  9. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface

  10. The study of optimization on process parameters of high-accuracy computerized numerical control polishing

    Science.gov (United States)

    Huang, Wei-Ren; Huang, Shih-Pu; Tsai, Tsung-Yueh; Lin, Yi-Jyun; Yu, Zong-Ru; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Young, Hong-Tsu

    2017-09-01

    Spherical lenses lead to forming spherical aberration and reduced optical performance. Consequently, in practice optical system shall apply a combination of spherical lenses for aberration correction. Thus, the volume of the optical system increased. In modern optical systems, aspherical lenses have been widely used because of their high optical performance with less optical components. However, aspherical surfaces cannot be fabricated by traditional full aperture polishing process due to their varying curvature. Sub-aperture computer numerical control (CNC) polishing is adopted for aspherical surface fabrication in recent years. By using CNC polishing process, mid-spatial frequency (MSF) error is normally accompanied during this process. And the MSF surface texture of optics decreases the optical performance for high precision optical system, especially for short-wavelength applications. Based on a bonnet polishing CNC machine, this study focuses on the relationship between MSF surface texture and CNC polishing parameters, which include feed rate, head speed, track spacing and path direction. The power spectral density (PSD) analysis is used to judge the MSF level caused by those polishing parameters. The test results show that controlling the removal depth of single polishing path, through the feed rate, and without same direction polishing path for higher total removal depth can efficiently reduce the MSF error. To verify the optical polishing parameters, we divided a correction polishing process to several polishing runs with different direction polishing paths. Compare to one shot polishing run, multi-direction path polishing plan could produce better surface quality on the optics.

  11. Chemical modification of silicon surfaces for the application in soft lithography

    Energy Technology Data Exchange (ETDEWEB)

    Gilles, S.

    2007-05-15

    The objective of this work was to chemically modify silicon surfaces by anchoring functional molecules. A major part was devoted to the investigation and improvement of the self-assembly process of organosilanes on oxidized silicon surfaces. The formation of a release agent layer with perfluorinated alkylsilanes was performed by vapor phase deposition. An advanced vapor phase deposition device, called CASINO device, was built to enhance the qualities of the thin films. It is possible to carry out cleaning and silanization in a closed chamber without exposing the samples to air in between. Thereby surface contamination is avoided. Experiments with the new device were performed following examples given in literature. To optimize the silanization process in the CASINO device, it was also planned to apply heat treatment of the sample during or after the deposition process. Surface layers of thiolterminated and of aminoterminated molecules were investigated as adhesive layer for the linkage of metal structures to silicon surfaces, e.g. Shuttle-Transfer Printing with gold crossbar electrodes. First, thiol- and aminoterminated organosilane SAMs were tested as adhesive layers for gold. The surface modified with thiolterminated silane molecules was further examined. Adhesion was promoted only after heat treatment of a thiolmodified silicon substrate with a gold layer on top. (orig.)

  12. Preservation of atomically clean silicon surfaces in air by contact bonding

    DEFF Research Database (Denmark)

    Grey, Francois; Ljungberg, Karin

    1997-01-01

    When two hydrogen-passivated silicon surfaces are placed in contact under cleanroom conditions, a weak bond is formed. Cleaving this bond under ultrahigh vacuum (UHV) conditions, and observing the surfaces with low energy electron diffraction and scanning tunneling microscopy, we find that the or...... reconstruction from oxidation in air, Contact bonding opens the way to novel applications of reconstructed semiconductor surfaces, by preserving their atomic structure intact outside of a UHV chamber. (C) 1997 American Institute of Physics.......When two hydrogen-passivated silicon surfaces are placed in contact under cleanroom conditions, a weak bond is formed. Cleaving this bond under ultrahigh vacuum (UHV) conditions, and observing the surfaces with low energy electron diffraction and scanning tunneling microscopy, we find...... that the ordered atomic structure of the surfaces is protected from oxidation, even after the bonded samples have been in air for weeks. Further, we show that silicon surfaces that have been cleaned and hydrogen-passivated in UHV can be contacted in UHV in a similarly hermetic fashion, protecting the surface...

  13. Radiation- stimulated adsorption of n-hexane on the surface of silicon

    International Nuclear Information System (INIS)

    Hajiyeva, N.N.

    2014-01-01

    Full text : This paper presents the results of studies of radiation-stimulated adsorption of n-hexane on a silicon surface, obtained by infrared reflection-absorption spectroscopy method. It has been used a monocrystal silicon plate with high reflectance coefficient of the surface. Irradiation of the samples was carried out on gamma-quantum source of 60Co

  14. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  15. Research on high-efficiency polishing technology of photomask substrate

    Science.gov (United States)

    Zhao, Shijie; Xie, Ruiqing; Zhou, Lian; Liao, Defeng; Chen, Xianhua; Wang, Jian

    2018-03-01

    A method of photomask substrate fabrication is demonstrated ,that the surface figure and roughness of fused silica will converge to target precision rapidly with the full aperture polishing. Surface figure of optical flats in full aperture polishing processes is primarily dependent on the surface profile of polishing pad, therefor, a improved function of polishing mechanism was put forward based on two axis lapping machine and technology experience, and the pad testing based on displacement sensor and the active conditioning method of the pad is applied in this research. Moreover , the clamping deformation of the thin glass is solved by the new pitch dispensing method. The experimental results show that the surface figure of the 152mm×152mm×6.35mm optical glass is 0.25λ(λ=633nm) and the roughness is 0.32nm ,which has meet the requirements of mask substrate for 90 45nm nodes.

  16. Study of Profile Changes during Mechanical Polishing using Relocation Profilometry

    Science.gov (United States)

    Kumaran, S. Chidambara; Shunmugam, M. S.

    2017-10-01

    Mechanical polishing is a finishing process practiced conventionally to enhance quality of surface. Surface finish is improved by mechanical cutting action of abrasive particles on work surface. Polishing is complex in nature and research efforts have been focused on understanding the polishing mechanism. Study of changes in profile is a useful method of understanding behavior of the polishing process. Such a study requires tracing same profile at regular process intervals, which is a tedious job. An innovative relocation technique is followed in the present work to study profile changes during mechanical polishing of austenitic stainless steel specimen. Using special locating fixture, micro-indentation mark and cross-correlation technique, the same profile is traced at certain process intervals. Comparison of different parameters of profiles shows the manner in which metal removal takes place in the polishing process. Mass removal during process estimated by the same relocation technique is checked with that obtained using weight measurement. The proposed approach can be extended to other micro/nano finishing processes and favorable process conditions can be identified.

  17. A dielectric matrix calculation of the surface-plasmon energy for the silicon (100) surface

    International Nuclear Information System (INIS)

    Forsyth, A.J.; Smith, A.E.; Josefsson, T.W.

    1996-01-01

    Full text: As an extension of previous work, we present preliminary calculations for the dielectric properties of the silicon (100) surface. In particular, the |q|→0 and |q|=2π/a(1,0,0) surface loss function, and corresponding surface plasmon energies have been calculated within a simple model for the silicon surface. The results have been obtained from the Adler and Wiser dielectric matrix (DM). The bandstructure used for the calculation was based on the highly successful empirical pseudopotential method of Cohen and Chelikovsky. We have used a 59 plane wave basis for the bandstructure, and have chosen a DM size of 59 x 59. Results are compared and contrasted with volume plasmon calculations, free electron calculations and experiment

  18. Impact of chemical polishing on surface roughness and dimensional quality of electron beam melting process (EBM) parts

    Science.gov (United States)

    Dolimont, Adrien; Rivière-Lorphèvre, Edouard; Ducobu, François; Backaert, Stéphane

    2018-05-01

    Additive manufacturing is growing faster and faster. This leads us to study the functionalization of the parts that are produced by these processes. Electron Beam melting (EBM) is one of these technologies. It is a powder based additive manufacturing (AM) method. With this process, it is possible to manufacture high-density metal parts with complex topology. One of the big problems with these technologies is the surface finish. To improve the quality of the surface, some finishing operations are needed. In this study, the focus is set on chemical polishing. The goal is to determine how the chemical etching impacts the dimensional accuracy and the surface roughness of EBM parts. To this end, an experimental campaign was carried out on the most widely used material in EBM, Ti6Al4V. Different exposure times were tested. The impact of these times on surface quality was evaluated. To help predicting the excess thickness to be provided, the dimensional impact of chemical polishing on EBM parts was estimated. 15 parts were measured before and after chemical machining. The improvement of surface quality was also evaluated after each treatment.

  19. APS 3D: a new benchmark in aspherical polishing

    Science.gov (United States)

    Gauch, Daniel; Mikulic, Dalibor; Veit, Christian

    2017-10-01

    The APS 3D system performs polishing and form correction in one step in order to reduce overall process time, reduce the number of polishing steps required and eliminate the need for highly skilled operators while providing a repeatable polishing process. This new 3D Polishing system yields better surface quality, and a better slope error, automatically determining the optimum speeds, feed rates and polish pressures to achieve a deterministic process based on the required quality parameters input by the operator. The process flow is always the same to ensure consistent quality and target quality values are defined before polishing begins.

  20. Investigating reliability attributes of silicon photovoltaic cells - An overview

    Science.gov (United States)

    Royal, E. L.

    1982-01-01

    Reliability attributes are being developed on a wide variety of advanced single-crystal silicon solar cells. Two separate investigations: cell-contact integrity (metal-to-silicon adherence), and cracked cells identified with fracture-strength-reducing flaws are discussed. In the cell-contact-integrity investigation, analysis of contact pull-strength data shows that cell types made with different metallization technologies, i.e., vacuum, plated, screen-printed and soldered, have appreciably different reliability attributes. In the second investigation, fracture strength was measured using Czochralski wafers and cells taken at various stages of processing and differences were noted. Fracture strength, which is believed to be governed by flaws introduced during wafer sawing, was observed to improve (increase) after chemical polishing and other process steps that tend to remove surface and edge flaws.

  1. Influence of finishing/polishing on color stability and surface roughness of composites submitted to accelerated artificial aging

    Directory of Open Access Journals (Sweden)

    Gustavo Da Col dos Santos Pinto

    2013-01-01

    Full Text Available Aim: To assess the influence of finishing/polishing procedure on color stability (ΔE and surface roughness (Ra of composites (Heliomolar and Tetric - color A2 submitted to accelerated artificial aging (AAA. Materials and Methods : Sixty test specimens were made of each composite (12 mm × 2 mm and separated into six groups (n = 10, according to the type of finishing/polishing to which they were submitted: C, control; F, tip 3195 F; FF, tip 3195 FF; FP, tip 3195 F + diamond paste; FFP, tip 3195 FF + diamond paste; SF, Sof-Lex discs. After polishing, controlled by an electromechanical system, initial color (spectrophotometer PCB 6807 BYK GARDNER and Ra (roughness meter Surfcorder SE 1700, cut-off 0.25 mm readings were taken. Next, the test specimens were submitted to the AAA procedure (C-UV Comexim for 384 hours, and at the end of this period, new color readings and R a were taken. Results: Statistical analysis [2-way analysis of variance (ANOVA, Bonferroni, P < 0.05] showed that all composites demonstrated ΔE alteration above the clinically acceptable limits, with the exception of Heliomolar composite in FP. The greatest ΔE alteration occurred for Tetric composite in SF (13.38 ± 2.10 statistically different from F and FF (P < 0.05. For Ra , Group F showed rougher samples than FF with statistically significant difference (P < 0.05. Conclusion: In spite of the surface differences, the different finishing/polishing procedures were not capable of providing color stability within the clinically acceptable limits.

  2. Influence of finishing/polishing on color stability and surface roughness of composites submitted to accelerated artificial aging.

    Science.gov (United States)

    Pinto, Gustavo Da Col dos Santos; Dias, Kleber Campioni; Cruvinel, Diogo Rodrigues; Garcia, Lucas da Fonseca Roberti; Consani, Simonides; Pires-De-Souza, Fernanda de Carvalho Panzeri

    2013-01-01

    To assess the influence of finishing/polishing procedure on color stability (ΔE ) and surface roughness (R(a)) of composites (Heliomolar and Tetric - color A2) submitted to accelerated artificial aging (AAA). Sixty test specimens were made of each composite (12 mm × 2 mm) and separated into six groups (n = 10), according to the type of finishing/polishing to which they were submitted: C, control; F, tip 3195 F; FF, tip 3195 FF; FP, tip 3195 F + diamond paste; FFP, tip 3195 FF + diamond paste; SF, Sof-Lex discs. After polishing, controlled by an electromechanical system, initial color (spectrophotometer PCB 6807 BYK GARDNER) and R(a) (roughness meter Surfcorder SE 1700, cut-off 0.25 mm) readings were taken. Next, the test specimens were submitted to the AAA procedure (C-UV Comexim) for 384 hours, and at the end of this period, new color readings and R(a) were taken. Statistical analysis [2-way analysis of variance (ANOVA), Bonferroni, P < 0.05] showed that all composites demonstrated ΔE alteration above the clinically acceptable limits, with the exception of Heliomolar composite in FP. The greatest ΔE alteration occurred for Tetric composite in SF (13.38 ± 2.10) statistically different from F and FF (P < 0.05). For R(a), Group F showed rougher samples than FF with statistically significant difference (P < 0.05). In spite of the surface differences, the different finishing/polishing procedures were not capable of providing color stability within the clinically acceptable limits.

  3. Integrated Surface Topography Characterization of Variously Polished Niobium for Superconducting Particle Accelerators

    International Nuclear Information System (INIS)

    Tian, Hui; Reece, Charles; Kelley, Michael; Ribeill, G.

    2009-01-01

    As superconducting niobium radio-frequency (SRF) cavities approach fundamental material limits, there is increased interest in understanding the details of topographical influences on realized performance limitations. Micro-and nano-roughness are implicated in both direct geometrical field enhancements as well as complications of the composition of the 50 nm surface layer in which the super-currents flow. Interior surface chemical polishing (BCP/EP) to remove mechanical damage leaves surface topography, including pits and protrusions of varying sharpness. These may promote RF magnetic field entry, locally quenching superconductivity, so as to degrade cavity performance. A more incisive analysis of surface topography than the widely-used average roughness is needed. In this study, a power spectral density (PSD) approach based on Fourier analysis of surface topography data acquired by both stylus profilometry and atomic force microscopy (AFM) is being used to distinguish the scale-dependent smoothing effects. The topographical evolution of the Nb surface as a function of different steps of EP is reported, resulting in a novel qualitative and quantitative description of Nb surface topography.

  4. Acoustic Emission Based In-process Monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    The applicability of acoustic emission (AE) measurements for in-process monitoring in the Robot Assisted Polishing (RAP) process was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate removal...... improving the efficiency of the process. It also allows for intelligent process control and generally enhances the robustness and reliability of the automated RAP system in industrial applications....... of the part from the machine tool. In this study, development of surface roughness during polishing rotational symmetric surfaces by the RAP process was inferred from AE measurements. An AE sensor was placed on a polishing tool, and a cylindrical rod of Vanadis 4E steel having an initial turned surface...

  5. Effects of laser polishing on surface microstructure and corrosion resistance of additive manufactured CoCr alloys

    Science.gov (United States)

    Wang, W. J.; Yung, K. C.; Choy, H. S.; Xiao, T. Y.; Cai, Z. X.

    2018-06-01

    Laser polishing of 3D printed metal components has drawn great interest in view of its potential applications in the dental implant industries. In this study, corrosion resistance, surface composition and crystalline structure of CoCr alloys were investigated. The corrosion resistance, micromorphology, composition, phase transformations and crystalline structures of samples were characterized using an electrochemical analyzer, scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD) and transmission electron microscope (TEM), respectively. The results indicate that high laser powers and low object distances within a certain range can facilitate the formation of complex oxide films, which exhibits high corrosion resistance. Further, object distances have a significant influence on cooling rates during the solidification of the melt pool in laser polishing, and fast cooling generates vast amounts of vacancies and defects, which result in the crystalline phase transformation from γ to ε. Consequently, the formed oxides play an important role in corrosion resistance on the outer layer, and inner layer with γ phase also helps keep the CoCr alloys in a stable structure with high resistant to corrosion. The two process parameters in laser polishing, laser power and object distances, are demonstrated as being important for controlling the surface microstructures and corrosion resistance of the additive manufactured CoCr alloy components.

  6. Silicon photomultipliers for positron emission tomography detectors with depth of interaction encoding capability

    International Nuclear Information System (INIS)

    Taghibakhsh, Farhad; Reznik, Alla; Rowlands, John A.

    2011-01-01

    Silicon photomultipliers (SiPMs) are receiving increasing attention in the field of positron emission tomography (PET) detectors. Compared to photomultiplier tubes, they offer novel detector configurations for the extraction of depth of interaction (DOI) information, or enable emerging medical imaging modalities such as simultaneous PET-magnetic resonant imaging (MRI). In this article, we used 2x2x20 mm 3 LYSO scintillator crystals coupled to SiPMs on both ends (dual-ended readout configuration) to evaluate the detector performance for DOI-PET applications. We investigated the effect of scintillator crystal surface finishing on sensitivity and resolution of DOI, as well as on energy and timing resolution. Measurements indicate DOI sensitivity and resolution of 7.1% mm -1 and 2.1±0.6 mm for saw-cut, and 1.3% mm -1 and 9.0±1.5 mm, for polished scintillator crystals, respectively. Energy resolution varies from 19% when DOI is in the center, to 15% with DOI at either end of the saw-cut crystal, while it remains constant at ∼14% for polished scintillators. Based on our results we conclude that 2x2x20 mm 3 saw-cut (without any special side wall polishing) LYSO crystals coupled to 2x2 mm 2 silicon photomultipliers are optimal for isotropic 2 mm resolution DOI-PET applications.

  7. Thermal Stress of Surface of Mold Cavities and Parting Line of Silicone Molds

    Directory of Open Access Journals (Sweden)

    Bajčičák Martin

    2014-06-01

    Full Text Available The paper is focused on the study of thermal stress of surface of mold cavities and parting line of silicone molds after pouring. The silicone mold White SD - THT was thermally stressed by pouring of ZnAl4Cu3 zinc alloy with pouring cycle 20, 30 and 40 seconds. The most thermally stressed part of surface at each pouring cycle is gating system and mold cavities. It could be further concluded that linear increase of the pouring cycle time leads to the exponential increasing of the maximum temperature of mold surface after its cooling. The elongated pouring cycle increases the temperature accumulated on the surface of cavities and the ability of silicone mold to conduct the heat on its surface decreases, because the low thermal conductivity of silicone molds enables the conduction of larger amount of heat into ambient environment.

  8. High surface area silicon materials: fundamentals and new technology.

    Science.gov (United States)

    Buriak, Jillian M

    2006-01-15

    Crystalline silicon forms the basis of just about all computing technologies on the planet, in the form of microelectronics. An enormous amount of research infrastructure and knowledge has been developed over the past half-century to construct complex functional microelectronic structures in silicon. As a result, it is highly probable that silicon will remain central to computing and related technologies as a platform for integration of, for instance, molecular electronics, sensing elements and micro- and nanoelectromechanical systems. Porous nanocrystalline silicon is a fascinating variant of the same single crystal silicon wafers used to make computer chips. Its synthesis, a straightforward electrochemical, chemical or photochemical etch, is compatible with existing silicon-based fabrication techniques. Porous silicon literally adds an entirely new dimension to the realm of silicon-based technologies as it has a complex, three-dimensional architecture made up of silicon nanoparticles, nanowires, and channel structures. The intrinsic material is photoluminescent at room temperature in the visible region due to quantum confinement effects, and thus provides an optical element to electronic applications. Our group has been developing new organic surface reactions on porous and nanocrystalline silicon to tailor it for a myriad of applications, including molecular electronics and sensing. Integration of organic and biological molecules with porous silicon is critical to harness the properties of this material. The construction and use of complex, hierarchical molecular synthetic strategies on porous silicon will be described.

  9. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  10. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    Science.gov (United States)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  11. Models of nanoparticles movement, collision, and friction in chemical mechanical polishing (CMP)

    Energy Technology Data Exchange (ETDEWEB)

    Ilie, Filip, E-mail: filip@meca.omtr.pub.ro [Polytechnic University of Bucharest, Department of Machine Elements and Tribology (Romania)

    2012-03-15

    Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10-100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO{sub 2}, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.

  12. Monitoring of Robot Assisted Polishing through parameters of acoustic emission

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Bilberg, Arne

    The polishing process is essential for the surface generation of machine tooling components in advanced manufacturing. While robot assisted polishing is faster and more consistent than manual polishing, it can still consume a significant part of ma- chining time and operator presence time...

  13. Effect of Irradiation Parameters on Morphology of Polishing DF2 (AISI-O1 Surface by Nd:YAG Laser

    Directory of Open Access Journals (Sweden)

    Wei Guo

    2007-01-01

    Full Text Available Pulse Nd:YAG laser was used to polish DF2 cold work steel. Influence of irradiation parameters on the 3D surface morphology was studied by 3D profilometer, scanning electron microscopy (SEM, and atomic force microscope (AFM. Results among the tests showed when DF2 specimens were irradiated with parameters of (i laser input energy P=1 J, (ii pulse feedrate=300 mm/min, (iii pulse duration (PD =3 milliseconds, and (iv pulse frequency f=20∼25 Hz, laser polishing of DF2 cold work steel seemed to be successful.

  14. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  15. Surface Coating Technique of Northern Black Polished Ware by the Microscopic Analysis

    Directory of Open Access Journals (Sweden)

    Dilruba Sharmin

    2012-12-01

    Full Text Available An organic substance has been identified in the top layer of Northern Black Polished Ware (NBPW excavated from the Wari-Boteshwar and Mahasthangarh sites in Bangladesh. NBPW is the most distinctive ceramic of Early Historic period and the technique of its surface gloss acquired numerous theories. This particular paper is an analytical study of collected NBPW sherds from these two sites including surface observations using binocular and scanning electron microscopes and Thin Section Analysis of potsherds. Thin section analysis identified two different layers of coating on the surface of the NBPW. One layer is a ‘slip’ (ground coat and the other is a ‘top layer or top coat ’. The slip was made from refined clay and the top layer was derived from organic substance. Microscopic analysis confirmed the solid and non-clayey characteristics of the top coat.

  16. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.; Holdener, F.R.; Heck, J.L. Jr.

    1994-04-01

    New machining and polishing techniques have been developed for large scintillating crystal arrays such as the Barium Fluoride Electromagnetic Calorimeter for the GEM Detector at SSCL, the Crystal Clear Collaboration's cerium fluoride or lead tungstenate calorimeter at the proposed LHC and CERN, the PHENIX Detector at RHIC (barium fluoride), and the cesium iodide Calorimeter for the BaBar Detector at PEP-2 B Factory at SLAC. The machining and polishing methods to be presented in this paper provide crystalline surfaces without sub-surface damage or deformation as verified by Rutherford Back-scattering (RBS) analysis. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large barium fluoride crystal samples. Mass production techniques have also been developed for machining the proper angled surfaces and polishing up to five 50 cm long crystals at one time. These techniques utilize kinematic mount technology developed at LLNL to allow precision machining and polishing of complex surfaces. They will present this technology along with detailed surface studies of barium fluoride and cerium fluoride crystals polished with this technique

  17. Surface functionalization of HF-treated silicon nanowires

    Indian Academy of Sciences (India)

    Administrator

    place when silicon nanowires reacted with 2,2,2-trifluoroethyl acrylate, and reductive deposition reaction occurred in the ... detection of fM level of protein. 14 and DNA. 15 ... surfaces can be easily modified to act as both elec- tron-transfer ...

  18. Covalent Attachment of Bent-Core Mesogens to Silicon Surfaces

    NARCIS (Netherlands)

    Scheres, L.; Achten, R.; Giesbers, M.; Smet, de L.; Arafat, A.; Sudhölter, E.J.R.; Marcelis, A.T.M.; Zuilhof, H.

    2009-01-01

    Two vinyl-terminated bent core-shaped liquid crystalline molecules that exhibit thermotropic antiferroelectric SmCPA phases have been covalently attached onto a hydrogen-terminated silicon(111) surface. The surface attachment was achieved via a mild procedure from a mesitylene solution, using

  19. Dielectric properties of DNA oligonucleotides on the surface of silicon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: bagraev@mail.ioffe.ru [St. Petersburg Polytechnic University (Russian Federation); Chernev, A. L. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation); Klyachkin, L. E. [St. Petersburg Polytechnic University (Russian Federation); Malyarenko, A. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Emel’yanov, A. K.; Dubina, M. V. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation)

    2016-10-15

    Planar silicon nanostructures that are formed as a very narrow silicon quantum well confined by δ barriers heavily doped with boron are used to study the dielectric properties of DNA oligonucleotides deposited onto the surface of the nanostructures. The capacitance characteristics of the silicon nanostructures with oligonucleotides deposited onto their surface are determined by recording the local tunneling current–voltage characteristics by means of scanning tunneling microscopy. The results show the possibility of identifying the local dielectric properties of DNA oligonucleotide segments consisting of repeating G–C pairs. These properties apparently give grounds to correlate the segments with polymer molecules exhibiting the properties of multiferroics.

  20. Formation of a silicon terminated (100) diamond surface

    International Nuclear Information System (INIS)

    Schenk, Alex; Sear, Michael; Pakes, Chris; Tadich, Anton; O'Donnell, Kane M.; Ley, Lothar; Stacey, Alastair

    2015-01-01

    We report the preparation of an ordered silicon terminated diamond (100) surface with a two domain 3 × 1 reconstruction as determined by low energy electron diffraction. Based on the dimensions of the surface unit cell and on chemical information provided by core level photoemission spectra, a model for the structure is proposed. The termination should provide a homogeneous, nuclear, and electron spin-free surface for the development of future near-surface diamond quantum device architectures

  1. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  2. [A study of different polishing techniques for amalgams and glass-cermet cement by scanning electron microscope (SEM)].

    Science.gov (United States)

    Kakaboura, A; Vougiouklakis, G; Argiri, G

    1989-01-01

    Finishing and polishing an amalgam restoration, is considered as an important and necessary step of the restorative procedure. Various polishing techniques have been recommended to success a smooth amalgam surface. The aim of this study was to investigate the influence of three different polishing treatments on the marginal integrity and surface smoothness of restorations made of three commercially available amalgams and a glass-cermet cement. The materials used were the amalgams, Amalcap (Vivadent), Dispersalloy (Johnson and Johnson), Duralloy (Degussa) and the glass-cermet Katac-Silver (ESPE). The occlusal surfaces of the restorations were polished by the methods: I) round bur, No4-rubber cup-zinc oxide paste in a small brush, II) round bur No 4-bur-brown, green and super green (Shofu) polishing cups and points successively and III) amalgam polishing bur of 12-blades-smooth amalgam polishing bur. Photographs from unpolished and polished surfaces of the restorations, were taken with scanning electron microscope, to evaluate the polishing techniques. An improvement of marginal integrity and surface smoothness of all amalgam restorations was observed after the specimens had been polished with the three techniques. Method II, included Shofu polishers, proved the best results in comparison to the methods I and III. Polishing of glass-cermet cement was impossible with the examined techniques.

  3. Note on the polishing of small spheres of ferrimagnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Grunberg, J. G.; Antier, G. [Centre d' etudes nucleaires de Grenoble - C.E.N.G. (France); Seiden, P. E. [Institut Fourier, Universite de Grenoble (France)

    1961-07-01

    This note describes a simple and rapid method that we have used for obtaining a high degree of polish on spheres of ferrimagnetic materials. A high surface polish is of particular importance if one desires to perform ferrimagnetic resonance experiments on very narrow linewidth materials such as Yttrium Iron Garnet. It is not possible to obtain the very narrow linewidths without polishing the sample with a very fine abrasive such as 'Linde A'. Although the methods presently used for the fine polishing of ferrite spheres give satisfactory results, the method described here is of particular interest because of its simplicity and speed. For example with the air-jet tumbling technique it can take as long as three days of polishing to obtain an acceptable surface while our method will give the same results in one to two hours. (author)

  4. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  5. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  6. Anchoring of alkyl chain molecules on oxide surface using silicon alkoxide

    Energy Technology Data Exchange (ETDEWEB)

    Narita, Ayumi, E-mail: narita.ayumi@jaea.go.jp [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan); Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Yaita, Tsuyoshi [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan)

    2012-01-01

    Chemical states of the interfaces between octadecyl-triethoxy-silane (ODTS) molecules and sapphire surface were measured by X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure (NEXAFS) using synchrotron soft X-rays. The nearly self-assembled monolayer of ODTS was formed on the sapphire surface. For XPS and NEXAFS measurements, it was elucidated that the chemical bond between silicon alkoxide in ODTS and the surface was formed, and the alkane chain of ODTS locates upper side on the surface. As a result, it was elucidated that the silicon alkoxide is a good anchor for the immobilization of organic molecules on oxides.

  7. A surface code quantum computer in silicon

    Science.gov (United States)

    Hill, Charles D.; Peretz, Eldad; Hile, Samuel J.; House, Matthew G.; Fuechsle, Martin; Rogge, Sven; Simmons, Michelle Y.; Hollenberg, Lloyd C. L.

    2015-01-01

    The exceptionally long quantum coherence times of phosphorus donor nuclear spin qubits in silicon, coupled with the proven scalability of silicon-based nano-electronics, make them attractive candidates for large-scale quantum computing. However, the high threshold of topological quantum error correction can only be captured in a two-dimensional array of qubits operating synchronously and in parallel—posing formidable fabrication and control challenges. We present an architecture that addresses these problems through a novel shared-control paradigm that is particularly suited to the natural uniformity of the phosphorus donor nuclear spin qubit states and electronic confinement. The architecture comprises a two-dimensional lattice of donor qubits sandwiched between two vertically separated control layers forming a mutually perpendicular crisscross gate array. Shared-control lines facilitate loading/unloading of single electrons to specific donors, thereby activating multiple qubits in parallel across the array on which the required operations for surface code quantum error correction are carried out by global spin control. The complexities of independent qubit control, wave function engineering, and ad hoc quantum interconnects are explicitly avoided. With many of the basic elements of fabrication and control based on demonstrated techniques and with simulated quantum operation below the surface code error threshold, the architecture represents a new pathway for large-scale quantum information processing in silicon and potentially in other qubit systems where uniformity can be exploited. PMID:26601310

  8. A surface code quantum computer in silicon.

    Science.gov (United States)

    Hill, Charles D; Peretz, Eldad; Hile, Samuel J; House, Matthew G; Fuechsle, Martin; Rogge, Sven; Simmons, Michelle Y; Hollenberg, Lloyd C L

    2015-10-01

    The exceptionally long quantum coherence times of phosphorus donor nuclear spin qubits in silicon, coupled with the proven scalability of silicon-based nano-electronics, make them attractive candidates for large-scale quantum computing. However, the high threshold of topological quantum error correction can only be captured in a two-dimensional array of qubits operating synchronously and in parallel-posing formidable fabrication and control challenges. We present an architecture that addresses these problems through a novel shared-control paradigm that is particularly suited to the natural uniformity of the phosphorus donor nuclear spin qubit states and electronic confinement. The architecture comprises a two-dimensional lattice of donor qubits sandwiched between two vertically separated control layers forming a mutually perpendicular crisscross gate array. Shared-control lines facilitate loading/unloading of single electrons to specific donors, thereby activating multiple qubits in parallel across the array on which the required operations for surface code quantum error correction are carried out by global spin control. The complexities of independent qubit control, wave function engineering, and ad hoc quantum interconnects are explicitly avoided. With many of the basic elements of fabrication and control based on demonstrated techniques and with simulated quantum operation below the surface code error threshold, the architecture represents a new pathway for large-scale quantum information processing in silicon and potentially in other qubit systems where uniformity can be exploited.

  9. [Adhesion of oral microorganisms on dental porcelain polished and glazed].

    Science.gov (United States)

    Wang, Yi-ning; Wen, Guo-jiang; Shi, Bin; Pan, Xin-hua

    2003-09-01

    This study compared the roughness of porcelain polished or glazed surfaces and the adhesion of oral streptococcus mutans to them in vitro. 30 porcelain samples were made. Porcelain samples in group A were polished with diamond paste. Porcelain samples were glazed in group B and were polished with Al2O3 (240#) bur in group C. Their roughness values were measured by profilometer. Standardized cell suspensions were incubated with test samples for one hour at 37 degrees C, then retained cells were counted by image analysis (percentage area of a microscopic field covered by cells). Roughness values of group A, B, C were respectively (0.1987 +/- 0.057) microm, (0.1990 +/- 0.091) microm, (0.4260 +/- 0.174) microm. There was no significantly difference between group A and group B. The roughness samples in group C were significantly rougher than that in the other groups. The amount of retained cells in group A, group B, group C was respectively (15.92 +/- 4.37)%, (16.39 +/- 6.31)% and (41.48 +/- 12.1)%. There was no significant difference between the cell adhesion on porcelain surface glazed and polished, but more bacteria adhered on the porcelain surface in group C. Porcelain surface polished treatment was clinically acceptable compared with its glazed. They all exhibited the least amount of bacteria adhesion. The more porcelain surface was rough, the more bacteria adhered on it.

  10. 1.06 μm 150 psec laser damage study of diamond turned, diamond turned/polished and polished metal mirrors

    International Nuclear Information System (INIS)

    Saito, T.T.; Milam, D.; Baker, P.; Murphy, G.

    1975-01-01

    Using a well characterized 1.06 μm 150 ps glass laser pulse the damage characteristics for diamond turned, diamond turned/ polished, and polished copper and silver mirrors less than 5 cm diameter were studied. Although most samples were tested with a normal angle of incidence, some were tested at 45 0 with different linear polarization showing an increase in damage threshold for S polarization. Different damage mechanisms observed will be discussed. Laser damage is related to residual surface influences of the fabrication process. First attempts to polish diamond turned surfaces resulted in a significant decrease in laser damage threshold. The importance of including the heat of fusion in the one dimensional heat analysis of the theoretical damage threshold and how close the samples came to the theoretical damage threshold is discussed. (auth)

  11. Mirror-smooth surfaces and repair of defects in superconducting RF cavities by mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Cooper, C. A. [Fermilab; Cooley, L. D. [Fermilab

    2012-11-22

    Mechanical techniques for polishing the inside surface of niobium superconducting radio-frequency (SRF) cavities have been systematically explored. By extending known techniques to fine polishing, mirror-like finishes were produced, with <15 nm RMS (root mean square) roughness over 1 mm2 scan area. This is an order of magnitude less than the typical roughness produced by the electropolishing of niobium cavities. The extended mechanical polishing (XMP) process was applied to several SRF cavities which exhibited equator defects that caused quench at <20 MV m-1 and were not improved by further electropolishing. Cavity optical inspection equipment verified the complete removal of these defects, and minor acid processing, which dulled the mirror finish, restored performance of the defective cells to the high gradients and quality factors measured for adjacent cells when tested with other harmonics. This innate repair feature of XMP could be used to increase manufacturing yield. Excellent superconducting properties resulted after initial process optimization, with quality factor Q of 3 × 1010 and accelerating gradient of 43 MV m-1 being attained for a single-cell TESLA cavity, which are both close to practical limits. Several repaired nine-cell cavities also attained Q > 8 × 109 at 35 MV m-1, which is the specification for the International Linear Collider. Future optimization of the process and pathways for eliminating requirements for acid processing are also discussed.

  12. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  13. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  14. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  15. Cleansing orthodontic brackets with air-powder polishing: effects on frictional force and degree of debris.

    Science.gov (United States)

    Leite, Brisa Dos Santos; Fagundes, Nathalia Carolina Fernandes; Aragón, Mônica Lídia Castro; Dias, Carmen Gilda Barroso Tavares; Normando, David

    2016-01-01

    Debris buildup on the bracket-wire interface can influence friction. Cleansing brackets with air-powder polishing can affect this process. The aim of this study was to evaluate the frictional force and amount of debris remaining on orthodontic brackets subjected to prophylaxis with air-powder polishing. Frictional force and debris buildup on the surface of 28 premolar brackets were evaluated after orthodontic treatment. In one hemiarch, each bracket was subjected to air-powder polishing (n = 14) for five seconds, while the contralateral hemiarch (n = 14) served as control. Mechanical friction tests were performed and images of the polished bracket surfaces and control surfaces were examined. Wilcoxon test was applied for comparative analysis between hemiarches at p Brackets that had been cleaned with air-powder polishing showed lower friction (median = 1.27 N) when compared to the control surfaces (median = 4.52 N) (p orthodontic brackets with air-powder polishing significantly reduces debris buildup on the bracket surface while decreasing friction levels observed during sliding mechanics.

  16. Characterization of the silicon nanopillar-surface filled and grafted with nanomaterials

    International Nuclear Information System (INIS)

    He, Yuan; Che, Xiangchen; Que, Long

    2014-01-01

    This paper reports the characterization of the silicon nanopillar-surface filled and grafted with nanomaterials. Usually a silicon nanopillar-surface contains nanopillars and air among them. The air is not a good medium to absorb and trap the incoming photons. In order to improve this capability, the air should be replaced with other material. To this end, copper sulfide–gold (CuS–Au) core–shell nanostructures and silver nanoplates are used as two representative substitutes for air among the nanopillars. Experiments find that the reflectance of the nanomaterial-coated nanopillar-surface can be reduced at least 50% compared to that of the bare nanopillar-surface. Different nanomaterial-coated nanopillar-surface can tune the optical reflectance and absorption profile, thereby trapping photons in different wavelength ranges. (paper)

  17. Oil Contact Angles in a Water-Decane-Silicon Dioxide System: Effects of Surface Charge.

    Science.gov (United States)

    Xu, Shijing; Wang, Jingyao; Wu, Jiazhong; Liu, Qingjie; Sun, Chengzhen; Bai, Bofeng

    2018-04-19

    Oil wettability in the water-oil-rock systems is very sensitive to the evolution of surface charges on the rock surfaces induced by the adsorption of ions and other chemical agents in water flooding. Through a set of large-scale molecular dynamics simulations, we reveal the effects of surface charge on the oil contact angles in an ideal water-decane-silicon dioxide system. The results show that the contact angles of oil nano-droplets have a great dependence on the surface charges. As the surface charge density exceeds a critical value of 0.992 e/nm 2 , the contact angle reaches up to 78.8° and the water-wet state is very apparent. The variation of contact angles can be confirmed from the number density distributions of oil molecules. With increasing the surface charge density, the adsorption of oil molecules weakens and the contact areas between nano-droplets and silicon dioxide surface are reduced. In addition, the number density distributions, RDF distributions, and molecular orientations indicate that the oil molecules are adsorbed on the silicon dioxide surface layer-by-layer with an orientation parallel to the surface. However, the layered structure of oil molecules near the silicon dioxide surface becomes more and more obscure at higher surface charge densities.

  18. Multi-objective optimization of circular magnetic abrasive polishing of SUS304 and Cu materials

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, NhatTan; Yin, ShaoHui; Chen, FengJun; Yin, HanFeng [Hunan University, Changsha (China); Pham, VanThoan [Hanoi University, Hanoi (Viet Nam); Tran, TrongNhan [Industrial University of Ho Chi Minh City, HCM City (Viet Nam)

    2016-06-15

    In this paper, a Multi-objective particle swarm optimization algorithm (MOPSOA) is applied to optimize surface roughness of workpiece after circular magnetic abrasive polishing. The most important parameters of polishing model, namely current, gap between pole and workpiece, spindle speed and polishing time, were considered in this approach. The objective functions of the MOPSOA depend on the quality of surface roughness of polishing materials with both simultaneous surfaces (Ra1, Ra2), which are determined by means of experimental approach with the aid of circular magnetic field. Finally, the effectiveness of the approach is compared between the optimal results with the experimental data. The results show that the new proposed polishing optimization method is more feasible.

  19. Soft tissue adhesion of polished versus glazed lithium disilicate ceramic for dental applications.

    Science.gov (United States)

    Brunot-Gohin, C; Duval, J-L; Azogui, E-E; Jannetta, R; Pezron, I; Laurent-Maquin, D; Gangloff, S C; Egles, C

    2013-09-01

    Ceramics are widely used materials for prosthesis, especially in dental fields. Despite multiple biomedical applications, little is known about ceramic surface modifications and the resulting cell behavior at its contact. The aim of this study is to evaluate the biological response of polished versus glazed surface treatments on lithium disilicate dental ceramic. We studied a lithium disilicate ceramic (IPS e.max(®) Press, Ivoclar Vivadent) with 3 different surface treatments: raw surface treatment, hand polished surface treatment, and glazed surface treatment (control samples are Thermanox(®), Nunc). In order to evaluate the possible modulation of cell response at the surface of ceramic, we compared polished versus glazed ceramics using an organotypic culture model of chicken epithelium. Our results show that the surface roughness is not modified as demonstrated by equivalent Ra measurements. On the contrary, the contact angle θ in water is very different between polished (84°) and glazed (33°) samples. The culture of epithelial tissues allowed a very precise assessment of histocompatibility of these interfaces and showed that polished samples increased cell adhesion and proliferation as compared to glazed samples. Lithium disilicate polished ceramic provided better adhesion and proliferation than lithium disilicate glazed ceramic. Taken together, our results demonstrate for the first time, how it is possible to use simple surface modifications to finely modulate the adhesion of tissues. Our results will help dental surgeons to choose the most appropriate surface treatment for a specific clinical application, in particular for the ceramic implant collar. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  20. Initial polishing time affects gloss retention in resin composites.

    Science.gov (United States)

    Waheeb, Nehal; Silikas, Nick; Watts, David

    2012-10-01

    To determine the effect of finishing and polishing time on the surface gloss of various resin-composites before and after simulated toothbrushing. Eight representative resin-composites (Ceram X mono, Ceram X duo, Tetric EvoCeram, Venus Diamond, EsteliteSigma Quick, Esthet.X HD, Filtek Supreme XT and Spectrum TPH) were used to prepare 80 disc-shaped (12 mm x 2 mm) specimens. The two step system Venus Supra was used for polishing the specimens for 3 minutes (Group A) and 10 minutes (Group B). All specimens were subjected to 16,000 cycles of simulated toothbrushing. The surface gloss was measured after polishing and after brushing using the gloss meter. Results were evaluated using one way ANOVA, two ways ANOVA and Dennett's post hoc test (P = 0.05). Group B (10-minute polishing) resulted in higher gloss values (GV) for all specimens compared to Group A (3 minutes). Also Group B showed better gloss retention compared to Group A after simulated toothbrushing. In each group, there was a significant difference between the polished composite resins (P gloss after the simulated toothbrushing.

  1. Experimental Study on Abrasive Waterjet Polishing of Hydraulic Turbine Blades

    International Nuclear Information System (INIS)

    Khakpour, H; Birglenl, L; Tahan, A; Paquet, F

    2014-01-01

    In this paper, an experimental investigation is implemented on the abrasive waterjet polishing technique to evaluate its capability in polishing of surfaces and edges of hydraulic turbine blades. For this, the properties of this method are studied and the main parameters affecting its performance are determined. Then, an experimental test-rig is designed, manufactured and tested to be used in this study. This test-rig can be used to polish linear and planar areas on the surface of the desired workpieces. Considering the number of parameters and their levels, the Taguchi method is used to design the preliminary experiments. All experiments are then implemented according to the Taguchi L 18 orthogonal array. The signal-to-noise ratios obtained from the results of these experiments are used to determine the importance of the controlled polishing parameters on the final quality of the polished surface. The evaluations on these ratios reveal that the nozzle angle and the nozzle diameter have the most important impact on the results. The outcomes of these experiments can be used as a basis to design a more precise set of experiments in which the optimal values of each parameter can be estimated

  2. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M.J.; Wielunski, L.S.; Netterfield, R.P.; Martin, P.J.; Leistner, A. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1996-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  3. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M J; Wielunski, L S; Netterfield, R P; Martin, P J; Leistner, A [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1997-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  4. Laser direct writing of oxide structures on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Birkelund, Karen; Grey, Francois

    1996-01-01

    on amorphous and crystalline silicon surfaces in order to determine the depassivation mechanism. The minimum linewidth achieved is about 450 nm using writing speeds of up to 100 mm/s. The process is fully compatible with local oxidation of silicon by scanning probe lithography. Wafer-scale patterns can...

  5. Analysis of water microdroplet condensation on silicon surfaces

    Science.gov (United States)

    Honda, Takuya; Fujimoto, Kenya; Yoshimoto, Yuta; Mogi, Katsuo; Kinefuchi, Ikuya; Sugii, Yasuhiko; Takagi, Shu; Univ. of Tokyo Team; Tokyo Inst. of Tech. Team

    2016-11-01

    We observed the condensation process of water microdroplets on flat silicon (100) surfaces by means of the sequential visualization of the droplets using an environmental scanning electron microscope. As previously reported for nanostructured surfaces, the condensation process of water microdroplets on the flat silicon surfaces also exhibits two modes: the constant base (CB) area mode and the constant contact angle (CCA) mode. In the CB mode, the contact angle increases with time while the base diameter is constant. Subsequently, in the CCA mode, the base diameter increases with time while the contact angle remains constant. The dropwise condensation model regulated by subcooling temperature does not reproduce the experimental results. Because the subcooling temperature is not constant in the case of a slow condensation rate, this model is not applicable to the condensation of the long time scale ( several tens of minutes). The contact angle of water microdroplets ( several μm) tended to be smaller than the macro contact angle. Two hypotheses are proposed as the cause of small contact angles: electrowetting and the coalescence of sub- μm water droplets.

  6. The effect of subcrestal placement of the polished surface of ITI implants on marginal soft and hard tissues.

    Science.gov (United States)

    Hämmerle, C H; Brägger, U; Bürgin, W; Lang, N P

    1996-06-01

    In order to achieve esthetically more satisfying results, it has been proposed to place ITI implants with their border between the rough and smooth surfaces below the level of the alveolar crest, thereby obtaining a submucosally located implant shoulder following healing. The aim of the present experimental study was to clinically and radiographically evaluate the tissue response to the placement of one-stage transmucosal implants with the border between the rough and the smooth surfaces sunk by 1 mm into a subcrestal location. 11 patients underwent comprehensive dental care including the placement of 2 implants of the ITI Dental Implant System in the same quadrant (test and control). Randomly assigned control implants were placed according to the manufacturer's instructions, i.e. the border between the rough titanium plasma-sprayed and the smooth polished surfaces precisely at the alveolar crest. At the test implant the apical border of the polished surface was placed approximately 1 mm below the alveolar crest. Probing bone levels were assessed at implant placement (baseline), 4 and 12 months later. Modified plaque and modified gingival indices were recorded at 1, 2, 3, 4 and 12 months. Clinical probing depth and "attachment" levels were measured at 4 and 12 months. All parameters were assessed at 6 sites around each implant. The mean for each implant was calculated and used for analysis. The Wilcoxon matched pairs signed rank test and the Student t-test were applied to detect differences over time and between the test and control implants. At baseline, a mean difference in probing bone level of -0.86 mm (SD 0.43 mm, p placed more deeply. Both test and control implants lost a significant amount of clinical bone height during the first 4 months (test 1.16 mm, p placed under standard conditions, the bone adjacent to the polished surface of more deeply placed ITI implants is also lost over time. From a biological point of view, the placement of the border between

  7. Role of oxygen in surface segregation of metal impurities in silicon poly- and bicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Amarray, E.; Deville, J.P.

    1987-07-01

    Metal impurities at surfaces of polycrystalline silicon ribbons have been characterized by surface sensitive methods. Oxygen and heat treatments were found to be a driving force for surface segregation of these impurities. To better analyse their influence and their possible incidence in gettering, model studies were undertaken on Czochralski grown silicon bicrystals. Two main factors of surface segregation have been studied: the role of an ultra-thin oxide layer and the effect of heat treatments. The best surface purification was obtained after an annealing process at 750/sup 0/C of a previously oxidized surface at 450/sup 0/C. This was related to the formation of SiO clusters, followed by a coalescence of SiO/sub 4/ units leading to the subsequent injection of silicon self-interstitials in the lattice.

  8. Role of water in the tribochemical removal of bare silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng; Xiao, Chen [Tribology Research Institute, National Traction Power Laboratory, Southwest Jiaotong University, Chengdu 610031 (China); Wang, Xiaodong [Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Zhang, Peng; Chen, Lei; Qi, Yaqiong [Tribology Research Institute, National Traction Power Laboratory, Southwest Jiaotong University, Chengdu 610031 (China); Qian, Linmao, E-mail: linmao@swjtu.edu.cn [Tribology Research Institute, National Traction Power Laboratory, Southwest Jiaotong University, Chengdu 610031 (China)

    2016-12-30

    Highlights: • The wear of bare silicon against SiO{sub 2} micro-spherical tip is a tribochemical process with participation of water. • The water amount at Si/SiO{sub 2} interface plays a significant role on the wear of bare silicon. • The role of water relies on the hydroxylation by auto-ionized OH{sup −}, the hydrolysis of H{sub 2}O molecules, and the dissolution of SiO{sub m}H{sub n} in water. - Abstract: Nanowear tests of bare silicon against a SiO{sub 2} microsphere were conducted in air (relative humidity [RH] = 0%–89%) and water using an atomic force microscope. Experimental results revealed that the water played an important role in the tribochemical wear of the bare silicon. A hillock-like wear trace with a height of 0.7 nm was generated on the bare silicon surface in dry air. As the RH increased, the wear depth increased and reached the maximum level in water. Analysis of frictional dissipated energy suggested that the wear of the bare silicon was not dominated by mechanical interactions. High-resolution transmission electron microscopy detection demonstrated that the silicon atoms and crystal lattice underneath the worn area maintained integral perfectly and thus further confirmed the tribochemical wear mechanism of the bare silicon. Finally, the role of water in the tribochemical wear of the bare silicon may be explained by the following three aspects: the hydroxylation by hydroxyl ions auto-ionized in water, the hydrolytic reaction of water molecules, and the dissolution of the tribochemical product SiO{sub m}H{sub n} in liquid water. With increasing RH, a greater water amount would adsorb to the Si/SiO{sub 2} interface and induce a more serious tribochemical wear on the bare silicon surface. The results of this paper may provide further insight into the tribochemical removal mechanism of bare monocrystalline silicon and furnish the wider reaction cognition for chemical mechanical polishing.

  9. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  10. Evaluation of one-step micro polishers for residual resin removal after debonding on fluorosed teeth

    Directory of Open Access Journals (Sweden)

    Padmalatha Challa

    2014-01-01

    Full Text Available Aim and objectives: To evaluate the effectiveness of one step micro polishers for residual resin removal on fluorosed teeth using scanning electron microscope (SEM. Methods and Material: 55 teeth with mild to moderate fluorosis were selected with five teeth as control. Metal brackets were bonded onto 50 teeth which were divided into 5 groups. The finishing and polishing methods which were tested include tungsten carbide burs (TCB, multistep finishing system (Sof-Lex, one step polishers (PoGo and combination of TCB with multistep and one step polishing systems. After resin removal, all the samples were examined under SEM for assessment of the enamel surface. Results: The enamel surface was closest to untouched enamel in samples finished with the PoGo one step polishers followed by Sof-Lex multistep finishing system. However, they took the longest time to finish. TCB required the shortest time for residual resin removal. Conclusions: All polishing systems produce a certain degree of damage to the enamel surface with the smoothest surface being produced by one step polishers on fluorosed teeth.

  11. Elementary structural building blocks encountered in silicon surface reconstructions

    International Nuclear Information System (INIS)

    Battaglia, Corsin; Monney, Claude; Didiot, Clement; Schwier, Eike Fabian; Garnier, Michael Gunnar; Aebi, Philipp; Gaal-Nagy, Katalin; Onida, Giovanni

    2009-01-01

    Driven by the reduction of dangling bonds and the minimization of surface stress, reconstruction of silicon surfaces leads to a striking diversity of outcomes. Despite this variety even very elaborate structures are generally comprised of a small number of structural building blocks. We here identify important elementary building blocks and discuss their integration into the structural models as well as their impact on the electronic structure of the surface. (topical review)

  12. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  13. Attachment chemistry of aromatic compounds on a Silicon(100) surface

    Science.gov (United States)

    Henriksson, Anders; Nishiori, Daiki; Maeda, Hiroaki; Miyachi, Mariko; Yamanoi, Yoshinori; Nishihara, Hiroshi

    2018-03-01

    A mild method was developed for the chemical attachment of aromatic compounds directly onto a hydrogen-terminated Si(100) (H-Si(100)) surface. In the presence of palladium catalyst and base, 4-iodophenylferrocene and a π-conjugated iron complex were attached to H-Si(100) electrodes and hydrogen-terminated silicon nanowires (H-SiNWs), both of which have predominant dihydride species on their surfaces. The reactions were conducted in 1,4-dioxane at 100 °C and the immobilization of both 4-ferrocenylphenyl group and π-conjugated molecular wires were confirmed and quantified by XPS and electrochemical measurements. We reported densely packed monolayer whose surface coverage (Γ), estimated from the electrochemical measurements are in analogue to similar monolayers prepared via thermal or light induced hydrosilylation reactions with alkenes or alkynes. The increase in electrochemical response observed on nanostructured silicon surfaces corresponds well to the increase in surface area, those strongly indicating that this method may be applied for the functionalization of electrodes with a variety of surface topographies.

  14. Electrochemical Polishing Applications and EIS of a Vitamin B4-Based Ionic Liquid

    International Nuclear Information System (INIS)

    Wixtrom, Alex I.; Buhler, Jessica E.; Reece, Charles E.; Abdel-Fattah, Tarek M.

    2013-01-01

    Modern particle accelerators require minimal interior surface roughness for Niobium superconducting radio frequency (SRF) cavities. Polishing of the Nb is currently achieved via electrochemical polishing with concentrated mixtures of sulfuric and hydrofluoric acids. This acid-based approach is effective at reducing the surface roughness to acceptable levels for SRF use, but due to acid-related hazards and extra costs (including safe disposal of used polishing solutions), an acid-free method would be preferable. This study focuses on an alternative electrochemical polishing method for Nb, using a novel ionic liquid solution containing choline chloride, also known as Vitamin B 4 (VB 4 ). Potentiostatic electrochemical impedance spectroscopy (EIS) was also performed on the VB4-based system. Nb polished using the VB4-based method was found to have a final surface roughness comparable to that achieved via the acid-based method, as assessed by atomic force microscopy (AFM). These findings indicate that acid-free VB 4 -based electrochemical polishing of Nb represents a promising replacement for acid-based methods of SRF cavity preparation

  15. The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface

    International Nuclear Information System (INIS)

    Ciampi, Simone; Choudhury, Moinul H.; Ahmad, Shahrul Ainliah Binti Alang; Darwish, Nadim; Brun, Anton Le; Gooding, J.Justin

    2015-01-01

    Graphical abstract: The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface. ABSTRACT: The impact of the coverage of ferrocene moieties, attached to a silicon electrode modified via hydrosilylation of a dialkyne, on the kinetics of electron transfer between the redox species and the electrode is explored. The coverage of ferrocene is controlled by varying the coupling time between azidomethylferrocene and the distal alkyne of the monolayer via the copper assisted azide-alkyne cycloaddition reaction. All other variables in the surface preparation are maintained identical. What is observed is that the higher the surface coverage of the ferrocene moieties the faster the apparent rates of electron transfer. This surface coverage-dependent kinetic effect is attributed to electrons hopping between ferrocene moieties across the redox film toward hotspots for the electron transfer event. The origin of these hotspots is tentatively suggested to result from minor amounts of oxide on the underlying silicon surface that reduce the barrier for the electron transfer.

  16. Effect of conditioner load on the polishing pad surface during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Cheol Min; Qin, Hong Yi; Hong, Seok Jun; Jeon, Sang Hyuk; Kulkarni, Atul; Kim, Tae Sun [Sungkyunkwan University, Suwon (Korea, Republic of)

    2016-12-15

    During the Chemical mechanical planarization (CMP), the pad conditioning process can affect the pad surface characteristics. Among many CMP process parameters, the improper applied load on the conditioner arm may have adverse effects on the polyurethane pad. In this work, we evaluated the pad surface properties under the various conditioner arm applied during pad conditioning process. The conditioning pads were evaluated for surface topography, surface roughness parameters such as Rt and Rvk and Material removal rate (MRR) and within-wafer non-uniformity after wafer polishing. We observed that, the pad asperities were collapsed in the direction of conditioner rotation and blocks the pad pores applied conditioner load. The Rvk value and MRR were founded to be in relation with 4 > 1 > 7 kgF conditioner load. Hence, this study shows that, 4 kgF applied load by conditioner is most suitable for the pad conditioning during CMP.

  17. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  18. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  19. Material removal mechanisms in electrochemical-mechanical polishing of tantalum

    International Nuclear Information System (INIS)

    Gao, F.; Liang, H.

    2009-01-01

    Material removal mechanisms in tantalum chemical-mechanical polishing (CMP) and electrochemical-mechanical polishing (ECMP) were investigated using the single frequency electrochemical impedance spectroscopy (EIS). Through measuring the impedance of the tantalum surface, the single frequency EIS scan made it possible to observe the CMP and ECMP processes in situ. The impedance results presented competing mechanisms of removal and formation of a surface oxide layer of tantalum. Analysis indicated that the thickness of the oxide layer formed during polishing was related to the mechanical power correlated to the friction force and the rotating speed. Furthermore, the rate of growth and removal of the oxide film was a function of the mechanical power. This understanding is beneficial for optimization of CMP and ECMP processes.

  20. The influence of toothbrushing and coffee staining on different composite surface coatings.

    Science.gov (United States)

    Zimmerli, Brigitte; Koch, Tamara; Flury, Simon; Lussi, Adrian

    2012-04-01

    The aim of our study is to evaluate the performance of surface sealants and conventional polishing after ageing procedures. Eighty circular composite restorations were performed on extracted human molars. After standardised roughening, the restorations were either sealed with one of three surface sealants (Lasting Touch (LT), BisCover LV (BC), G-Coat Plus (GP) or a dentin adhesive Heliobond (HB)) or were manually polished with silicon polishers (MP) (n = 16). The average roughness (Ra) and colourimetric parameters (CP) (L*a*b*) were evaluated. The specimens underwent an artificial ageing process by thermocycling, staining (coffee) and abrasive (toothbrushing) procedures. After each ageing step, Ra and CP measurements were repeated. A qualitative surface analysis was performed with SEM. The differences between the test groups regarding Ra and CP values were analysed with nonparametric ANOVA analysis (α = 0.05). The lowest Ra values were achieved with HB. BC and GP resulted in Ra values below 0.2 μm (clinically relevant threshold), whereas LT and MP sometimes led to higher Ra values. LT showed a significantly higher discolouration after the first coffee staining, but this was normalised to the other groups after toothbrushing. The differences between the measurements and test groups for Ra and CP were statistically significant. However, the final colour difference showed no statistical difference among the five groups. SEM evaluation showed clear alterations after ageing in all coating groups. Surface sealants and dentin adhesives have the potential to reduce surface roughness but tend to debond over time. Surface sealants can only be recommended for polishing provisional restorations.

  1. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  2. Experimental Study on Layered Ice Bonded Abrasive Polishing of Glass-ceramics

    Directory of Open Access Journals (Sweden)

    Yuli SUN

    2014-12-01

    Full Text Available Layered ice bonded abrasive tools (LIBAT is a new kind of one which not only has the ability of lapping and polishing but also has the effect of self-dressing. In this paper, two kinds of layered ice bonded abrasive tools were designed and manufactured. Experimental studies on layered ice bonded abrasive (LIBA polishing of glass-ceramics were conducted. The results show that the surface topography of glass-ceramics polished by micro α-Al2O3-nano α-Al2O3 LIBAT is better than that of polished by micro α-Al2O3-nano SiO2 LIBAT. The surface roughness Sa of glass-ceramics polished by the two kinds of LIBAT is at the nanometer scale. The reasons of this phenomenon were analyzed. The experimental results illustrate that the LIBAT shows good effect and can be used in production practice. DOI: http://dx.doi.org/10.5755/j01.ms.20.4.6149

  3. Ion-step method for surface potential sensing of silicon nanowires

    NARCIS (Netherlands)

    Chen, S.; van Nieuwkasteele, Jan William; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    This paper presents a novel stimulus-response method for surface potential sensing of silicon nanowire (Si NW) field-effect transistors. When an "ion-step" from low to high ionic strength is given as a stimulus to the gate oxide surface, an increase of double layer capacitance is therefore expected.

  4. Study on combined polishing process of aspherical aluminum mirrors

    Science.gov (United States)

    Deng, Jinqiu; Peng, Xiaoqiang; Hu, Hao; Ge, Kunpeng

    2017-10-01

    The aluminum mirrors are widely used as important optical components in some vital fields such as astronomical instruments or military installations due to the unique advantages of aluminum alloy. In order to simplify the structure of optical system and improve the performance at the same time, it's a tendency that the optics will be designed to aspherical or other freeform shapes. However, the traditional techniques are falling to have adequate abilities to deal with the increasing demands of aluminum optics. For example, the tool marks leaved on the surface from single point diamond turning (SPDT) has obvious adverse effects to optical system. The deterministic and sub-aperture polishing process has showed the potential to fabricate complex shapes over the few years. But it's still recognized as a problem to polish bare aluminum directly because of its soft surface and active chemical characteristics. Therefore, a combination of magnetorheological finishing (MRF) and small tool polishing (STP) is applied to obtain high performance aluminum optics in this paper. A paraboloid aluminum mirror was polished with this proposed method, and the results showed that the surface texture of the sample is restrained from rms 0.409λ (λ=632.8nm) to rms 0.025λ, and the surface roughness is improved from average Ra 6 7nm to Ra 3 4nm.

  5. Low temperature surface passivation of crystalline silicon and its application to interdigitated back contact silicon heterojunction (ibc-shj) solar cell

    Science.gov (United States)

    Shu, Zhan

    With the absence of shading loss together with improved quality of surface passivation introduced by low temperature processed amorphous silicon crystalline silicon (a-Si:H/c-Si) heterojunction, the interdigitated back contact silicon heterojunction (IBC-SHJ) solar cell exhibits a potential for higher conversion efficiency and lower cost than a traditional front contact diffused junction solar cell. In such solar cells, the front surface passivation is of great importance to achieve both high open-circuit voltage (Voc) and short-circuit current (Jsc). Therefore, the motivation of this work is to develop a low temperature processed structure for the front surface passivation of IBC-SHJ solar cells, which must have an excellent and stable passivation quality as well as a good anti-reflection property. Four different thin film materials/structures were studied and evaluated for this purpose, namely: amorphous silicon nitride (a-SiNx:H), thick amorphous silicon film (a-Si:H), amorphous silicon/silicon nitride/silicon carbide (a-Si:H/a-SiN x:H/a-SiC:H) stack structure with an ultra-thin a-Si:H layer, and zinc sulfide (ZnS). It was demonstrated that the a-Si:H/a-SiNx:H/a-SiC:H stack surpasses other candidates due to both of its excellent surface passivation quality (SRVSi surface is found to be resulted from (i) field effect passivation due to the positive fixed charge (Q fix~1x1011 cm-2 with 5 nm a-Si:H layer) in a-SiNx:H as measured from capacitance-voltage technique, and (ii) reduced defect state density (mid-gap Dit~4x1010 cm-2eV-1) at a-Si:H/c-Si interface provided by a 5 nm thick a-Si:H layer, as characterized by conductance-frequency measurements. Paralleled with the experimental studies, a computer program was developed in this work based on the extended Shockley-Read-Hall (SRH) model of surface recombination. With the help of this program, the experimental injection level dependent SRV curves of the stack passivated c-Si samples were successfully reproduced and

  6. Amphotericin B channels in phospholipid membrane-coated nanoporous silicon surfaces: implications for photovoltaic driving of ions across membranes.

    Science.gov (United States)

    Yilma, Solomon; Liu, Nangou; Samoylov, Alexander; Lo, Ting; Brinker, C Jeffrey; Vodyanoy, Vitaly

    2007-03-15

    The antimycotic agent amphotericin B (AmB) functions by forming complexes with sterols to form ion channels that cause membrane leakage. When AmB and cholesterol mixed at 2:1 ratio were incorporated into phospholipid bilayer membranes formed on the tip of patch pipettes, ion channel current fluctuations with characteristic open and closed states were observed. These channels were also functional in phospholipid membranes formed on nanoporous silicon surfaces. Electrophysiological studies of AmB-cholesterol mixtures that were incorporated into phospholipid membranes formed on the surface of nanoporous (6.5 nm pore diameter) silicon plates revealed large conductance ion channels ( approximately 300 pS) with distinct open and closed states. Currents through the AmB-cholesterol channels on nanoporous silicon surfaces can be driven by voltage applied via conventional electrical circuits or by photovoltaic electrical potential entirely generated when the nanoporous silicon surface is illuminated with a narrow laser beam. Electrical recordings made during laser illumination of AmB-cholesterol containing membrane-coated nanoporous silicon surfaces revealed very large conductance ion channels with distinct open and closed states. Our findings indicate that nanoporous silicon surfaces can serve as mediums for ion-channel-based biosensors. The photovoltaic properties of nanoporous silicon surfaces show great promise for making such biosensors addressable via optical technologies.

  7. Three-dimensional immobilization of beta-galactosidase on a silicon surface.

    Science.gov (United States)

    Betancor, Lorena; Luckarift, Heather R; Seo, Jae H; Brand, Oliver; Spain, Jim C

    2008-02-01

    Many alternative strategies to immobilize and stabilize enzymes have been investigated in recent years for applications in biosensors. The entrapment of enzymes within silica-based nanospheres formed through silicification reactions provides high loading capacities for enzyme immobilization, resulting in high volumetric activity and enhanced mechanical stability. Here we report a strategy for chemically associating silica nanospheres containing entrapped enzyme to a silicon support. beta-galactosidase from E. coli was used as a model enzyme due to its versatility as a biosensor for lactose. The immobilization strategy resulted in a three-dimensional network of silica attached directly at the silicon surface, providing a significant increase in surface area and a corresponding 3.5-fold increase in enzyme loading compared to enzyme attached directly at the surface. The maximum activity recovered for a silicon square sample of 0.5 x 0.5 cm was 0.045 IU using the direct attachment of the enzyme through glutaraldehyde and 0.16 IU when using silica nanospheres. The immobilized beta-galactosidase prepared by silica deposition was stable and retained more than 80% of its initial activity after 10 days at 24 degrees C. The ability to generate three-dimensional structures with enhanced loading capacity for biosensing molecules offers the potential to substantially amplify biosensor sensitivity. (c) 2007 Wiley Periodicals, Inc.

  8. Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary?

    Directory of Open Access Journals (Sweden)

    Violetta Koseska-Toszewa

    2015-11-01

    Full Text Available Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary? The trilingual dictionary (M. Duszkin, V. Koseska, J. Satoła and A. Tzoneva is being elaborated based on a working Polish-Bulgarian-Russian electronic parallel corpus authored by Maksim Duszkin, Violetta Koseska-Toszewa and Joanna Satoła-Staśkowiak, and works by A. Tzoneva. It is the first corpus comparing languages belonging to three different Slavic language groups: western, southern and eastern. Works on the dictionary are based on Gramatyka konfrontatywna bułgarsko-polska (Bulgarian-Polish confrontative grammar and the proposed there semantic-oriented interlanguage. Two types of classifiers have been introduced into the dictionary: classic and semantic. The trilingual dictionary will present a consistent and homogeneous set of facts of grammar and semantics. The Authors point out that in a traditional dictionary it is not clear for example whether aspect should be understood as imperfective / perfective form of a verb or as its meaning. Therefore in the dictionary forms and meaning are separated in a regular way. Imperfective verb form has two meanings: state and configuration of states and events culminating in state. Also perfective verb form has two meanings: event and configuration of states and events culminating in event. These meanings are described by the semantic classifiers, respectively, state and event, state1 and event1. The way of describing language units, mentioned in the article, gives a possibility to present language material (Polish, Bulgarian, Russian in any required order, hence the article’s title.

  9. Smooth polishing of femtosecond laser induced craters on cemented carbide by ultrasonic vibration method

    Science.gov (United States)

    Wang, H. P.; Guan, Y. C.; Zheng, H. Y.

    2017-12-01

    Rough surface features induced by laser irradiation have been a challenging for the fabrication of micro/nano scale features. In this work, we propose hybrid ultrasonic vibration polishing method to improve surface quality of microcraters produced by femtosecond laser irradiation on cemented carbide. The laser caused rough surfaces are significantly smoothened after ultrasonic vibration polishing due to the strong collision effect of diamond particles on the surfaces. 3D morphology, SEM and AFM analysis has been conducted to characterize surface morphology and topography. Results indicate that the minimal surface roughness of Ra 7.60 nm has been achieved on the polished surfaces. The fabrication of microcraters with smooth surfaces is applicable to molding process for mass production of micro-optical components.

  10. Porous silicon surfaces for metabonomics: Detection and identification of nucleotides without matrix interference

    Energy Technology Data Exchange (ETDEWEB)

    Gomez, D.; Azcarate, Sabino [Dpto. de Micro y Nanotecnologias, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain); Fernandez, Jose A.; Astigarraga, Egoitz [Dpto. de Quimica Fisica, Universidad del Pais Vasco, Campus de Lejona, Lejona (Spain); Marcaide, Arrate [Dpto. de Procesos de Fabricacion, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain)

    2007-07-01

    In present work, porous silicon surfaces (PSS) have been developed for time of flight mass spectrometric experiments (TOF-MS) in the monitoring of nucleotides, commonly found as metabolites in the cell. The mass range of the studied molecules ({proportional_to} 400 amu) is common to several important messengers and other metabolites. Different porosified surfaces have been developed by means of electrochemical etching and different degree of porosity and pore size achieved as function of silicon dopant concentration, silicon resistivity, current density and the presence or absence of illumination along the process. As main conclusion, it can be said that an interesting commercial nucleotide (Cyclic adenosine monophosphate, c-AMP) has been detected on low concentrations ({proportional_to}hundreds of femtomols) for some of the fabricated porous surfaces. Taking into account that these concentrations are similar to the ones found in real samples, this result opens the possibility to the fabrication of DIOS (Desorption Ionization On Silicon) chips for the detection of nucleotides in biological fluids. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Directional radiative properties of anisotropic rough silicon and gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Lee, H.J.; Chen, Y.B.; Zhang, Z.M. [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States)

    2006-11-15

    Recent studies have shown that the topography of some chemically etched microrough silicon surfaces is non-Gaussian and may be strongly anisotropic. However, the bidirectional reflectance distribution function (BRDF) of anisotropic surfaces has not been fully understood. The present study uses the Monte Carlo method to investigate the out-of-plane BRDF, multiple scattering, and the change of the polarization state upon reflection. Two ray-tracing algorithms are developed that incorporate the surface topography or slope distribution of the samples obtained by the use of an atomic force microscope. The predicted BRDFs for silicon surfaces with or without a gold coating are in reasonable agreement with the results measured using a laser scatterometer at a wavelength of 635nm. The employment of surface topographic data is indispensable to the BRDF modeling of anisotropic surfaces. While first-order scattering makes the dominant contribution to reflections from the studied surfaces, it is critical to consider the polarization state change in order to correctly predict the out-of-plane BRDF. The versatile Monte Carlo modeling tools developed through the present study help gain a better understanding of the directional radiative properties of microrough surfaces and, furthermore, will have an impact on thermal metrology in the semiconductor industry. (author)

  12. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  13. Gold Nanostructures for Surface-Enhanced Raman Spectroscopy, Prepared by Electrodeposition in Porous Silicon

    Directory of Open Access Journals (Sweden)

    Yukio H. Ogata

    2011-04-01

    Full Text Available Electrodeposition of gold into porous silicon was investigated. In the present study, porous silicon with ~100 nm in pore diameter, so-called medium-sized pores, was used as template electrode for gold electrodeposition. The growth behavior of gold deposits was studied by scanning electron microscope observation of the gold deposited porous silicon. Gold nanorod arrays with different rod lengths were prepared, and their surface-enhanced Raman scattering properties were investigated. We found that the absorption peak due to the surface plasmon resonance can be tuned by changing the length of the nanorods. The optimum length of the gold nanorods was ~600 nm for surface-enhanced Raman spectroscopy using a He-Ne laser. The reason why the optimum length of the gold nanorods was 600 nm was discussed by considering the relationship between the absorption peak of surface plasmon resonance and the wavelength of the incident laser for Raman scattering.

  14. Nd:YOV4 laser polishing on WC-Co HVOF coating

    Science.gov (United States)

    Giorleo, L.; Ceretti, E.; Montesano, L.; La Vecchia, G. M.

    2017-10-01

    WC/Co coatings are widely applied to different types of components due to their extraordinary performance properties including high hardness and wear properties. In industrial applications High Velocity Oxy-Fuel (HVOF) technique is extensively used to deposit hard metal coatings. The main advantage of HVOF compared to other thermal spray techniques is the ability to accelerate the melted powder particles of the feedstock material at a relatively high velocity, leading to obtain good adhesion and low porosity level. However, despite the mentioned benefits, the surface finish quality of WC-Co HVOF coatings results to be poor (Ra higher than 5 µm) thus a mechanical polishing process is often needed. The main problem is that the high hardness of coating leads the polishing process expensive in terms of time and tool wear; moreover polishing becomes difficult and not always possible in case of limited accessibility of a part, micro dimensions or undercuts. Nowadays a different technique available to improve surface roughness is the laser polishing process. The polishing principle is based on focused radiation of a laser beam that melts a microscopic layer of surface material. Compared to conventional polishing process (as grinding) it ensures the possibility of avoiding tool wear, less pollution (no abrasive or liquids), no debris, less machining time and coupled with a galvo system it results to be more suitable in case of 3D complex workpieces. In this paper laser polishing process executed with a Nd:YOV4 Laser was investigated: the effect of different process parameters as initial coating morphology, laser scan speed and loop cycles were tested. Results were compared by a statistical approach in terms of average roughness along with a morphological analysis carried out by Scanning Electron Microscope (SEM) investigation coupled with EDS spectra.

  15. Investigation of silicon sensors quality as a function of the ohmic side processing technology

    CERN Document Server

    Bloch, P; Golubkov, S A; Golutvin, I A; Egorov, N; Konjkov, K; Kozlov, Y; Peisert, Anna; Sidorov, A; Zamiatin, N I; Cheremuhin, A E

    2002-01-01

    Silicon sensors designed for the CMS Preshower detector must have a high breakdown voltage in order to be fully efficient after a strong irradiation. Studies made by several groups left bracket 1,2,3 right bracket have underlined the importance of the p**+ side geometrical parameters, such as the metal width and the number and spacing of guard rings. We have in addition investigated the effects related to the ohmic side processing and found that the breakdown voltage depends strongly on the depth of the effective "dead" n**+ layer. By increasing this thickness from mum to 2.5mum, the fraction of sensors with breakdown voltage higher than 500V increased from 22% to more than 80%. On the other hand, it was noticed that the starting surface quality of the wafer (double side polished or single side polished) does not affect the detectors parameters for a given production technology. The thick n**+-layer protects against initial wafer surface and defects caused by the technological treatment during the detector pr...

  16. Dwell Time and Surface Parameter Effects on Removal of Silicone Oil From D6ac Steel Using TCA

    Science.gov (United States)

    Boothe, R. E.

    2003-01-01

    This study was conducted to evaluate the impact of dwell time, surface roughness, and the surface activation state on 1,1,1-trichloroethane's (TCA's) effectiveness for removing silicone oil from D6ac steel. Silicone-contaminated test articles were washed with TCA solvent, and then the surfaces were analyzed for residue, using Fourier transform infrared spectroscopy. The predominant factor affecting the ability to remove the silicone oil was surface roughness.

  17. Fast surface modification by microwave assisted click reactions on silicon substrates

    NARCIS (Netherlands)

    Haensch, C.; Erdmenger, T.; Fijten, M.W.M.; Höppener, S.; Schubert, U.S.

    2009-01-01

    Microwave irradiation has been used for the chemical modification of functional monolayers on silicon surfaces. The thermal and chemical stability of these layers was tested under microwave irradiation to investigate the possibility to use this alternative heating process for the surface

  18. Influence of nanoscale topology on bactericidal efficiency of black silicon surfaces

    Science.gov (United States)

    Linklater, Denver P.; Khuong Duy Nguyen, Huu; Bhadra, Chris M.; Juodkazis, Saulius; Ivanova, Elena P.

    2017-06-01

    The nanostructuring of materials to create bactericidal and antibiofouling surfaces presents an exciting alternative to common methods of preventing bacterial adhesion. The fabrication of synthetic bactericidal surfaces has been inspired by the anti-wetting and anti-biofouling properties of insect wings, and other topologies found in nature. Black silicon is one such synthetic surfaces which has established bactericidal properties. In this study we show that time-dependent plasma etching of silicon wafers using 15, 30, and 45 min etching intervals, is able to produce different surface geometries with linearly increasing heights of approximately 280, 430, and 610 nm, respectively. After incubation on these surfaces with Gram-positive Staphylococcus aureus and Gram-negative Pseudomonas aeruginosa bacterial cells it was established that smaller, more densely packed pillars exhibited the greatest bactericidal activity with 85% and 89% inactivation of bacterial cells, respectively. The decrease in the pillar heights, pillar cap diameter and inter-pillar spacing corresponded to a subsequent decrease in the number of attached cells for both bacterial species.

  19. Robotic Automation in Computer Controlled Polishing

    Science.gov (United States)

    Walker, D. D.; Yu, G.; Bibby, M.; Dunn, C.; Li, H.; Wu, Y.; Zheng, X.; Zhang, P.

    2016-02-01

    We first present a Case Study - the manufacture of 1.4 m prototype mirror-segments for the European Extremely Large Telescope, undertaken by the National Facility for Ultra Precision Surfaces, at the OpTIC facility operated by Glyndwr University. Scale-up to serial-manufacture demands delivery of a 1.4 m off-axis aspheric hexagonal segment with surface precision robots and computer numerically controlled ('CNC') polishing machines for optical fabrication. The objective was not to assess which is superior. Rather, it was to understand for the first time their complementary properties, leading us to operate them together as a unit, integrated in hardware and software. Three key areas are reported. First is the novel use of robots to automate currently-manual operations on CNC polishing machines, to improve work-throughput, mitigate risk of damage to parts, and reduce dependence on highly-skilled staff. Second is the use of robots to pre-process surfaces prior to CNC polishing, to reduce total process time. The third draws the threads together, describing our vision of the automated manufacturing cell, where the operator interacts at cell rather than machine level. This promises to deliver a step-change in end-to-end manufacturing times and costs, compared with either platform used on its own or, indeed, the state-of-the-art used elsewhere.

  20. A high volume cost efficient production macrostructuring process. [for silicon solar cell surface treatment

    Science.gov (United States)

    Chitre, S. R.

    1978-01-01

    The paper presents an experimentally developed surface macro-structuring process suitable for high volume production of silicon solar cells. The process lends itself easily to automation for high throughput to meet low-cost solar array goals. The tetrahedron structure observed is 0.5 - 12 micron high. The surface has minimal pitting with virtually no or very few undeveloped areas across the surface. This process has been developed for (100) oriented as cut silicon. Chemi-etched, hydrophobic and lapped surfaces were successfully texturized. A cost analysis as per Samics is presented.

  1. Covalent and stable CuAAC modification of silicon surfaces for control of cell adhesion

    DEFF Research Database (Denmark)

    Vutti, Surendra; Buch-Månson, Nina; Schoffelen, Sanne

    2015-01-01

    in the vapor or liquid phase. In this work, we compared these two methods for oxidized silicon surfaces and thoroughly characterized the functionalization steps by tagging and fluorescence imaging. We demonstrate that the vapor-phase functionalization only provided transient surface modification that was lost...... on extensive washing. For stable surface modification, a liquid-phase method was developed. In this method, silicon wafers were decorated with azides, either by silanization with (3-azidopropyl)triethoxysilane or by conversion of the amine groups of an aminopropylated surface by means of the azido...

  2. Formation of quasi-periodic nano- and microstructures on silicon surface under IR and UV femtosecond laser pulses

    International Nuclear Information System (INIS)

    Ionin, Andrei A; Golosov, E V; Kolobov, Yu R; Kudryashov, Sergei I; Ligachev, A E; Makarov, Sergei V; Novoselov, Yurii N; Seleznev, L V; Sinitsyn, D V

    2011-01-01

    Quasi-periodic nano- and microstructures have been formed on silicon surface using IR ( λ ≈ 744 nm) and UV ( λ ≈ 248 nm) femtosecond laser pulses. The influence of the incident energy density and the number of pulses on the structured surface topology has been investigated. The silicon nanostructurisation thresholds have been determined for the above-mentioned wavelengths. Modulation of the surface relief at the doubled spatial frequency is revealed and explained qualitatively. The periods of the nanostructures formed on the silicon surface under IR and UV femtosecond laser pulses are comparatively analysed and discussed.

  3. Surface/subsurface observation and removal mechanisms of ground reaction bonded silicon carbide

    Science.gov (United States)

    Yao, Wang; Zhang, Yu-Min; Han, Jie-cai; Zhang, Yun-long; Zhang, Jian-han; Zhou, Yu-feng; Han, Yuan-yuan

    2006-01-01

    Reaction Bonded Silicon Carbide (RBSiC) has long been recognized as a promising material for optical applications because of its unique combination of favorable properties and low-cost fabrication. Grinding of silicon carbide is difficult because of its high hardness and brittleness. Grinding often induces surface and subsurface damage, residual stress and other types of damage, which have great influence on the ceramic components for optical application. In this paper, surface integrity, subsurface damage and material removal mechanisms of RBSiC ground using diamond grinding wheel on creep-feed surface grinding machine are investigated. The surface and subsurface are studied with scanning electron microscopy (SEM) and optical microscopy. The effects of grinding conditions on surface and subsurface damage are discussed. This research links the surface roughness, surface and subsurface cracks to grinding parameters and provides valuable insights into the material removal mechanism and the dependence of grind induced damage on grinding conditions.

  4. UV laser ablation of silicon carbide ring surfaces for mechanical seal applications

    Science.gov (United States)

    Daurelio, Giuseppe; Bellosi, Alida; Sciti, Diletta; Chita, Giuseppe; Allegretti, Didio; Guerrini, Fausto

    2000-02-01

    Silicon carbide ceramic seal rings are treated by KrF excimer laser irradiation. Surface characteristics, induced by laser treatment, depend upon laser fluence, the number of laser pulses, their energy and frequency, the rotation rate of the ring and the processing atmosphere. It was ascertained that silicon carbide has to be processed under an inert atmosphere to avoid surface oxidation. Microstructural analyses of surface and cross section of the laser processed samples showed that the SiC surface is covered by a scale due to the melting/resolidification processes. At high fluence there are no continuous scales on the surfaces; materials is removed by decomposition/vaporization and the ablation depth is linearly dependent on the number of pulses. Different surface morphologies are observed. The evolution of surface morphology and roughness is discussed with reference to compositions, microstructure and physical and optical properties of the ceramic material and to laser processing parameters. Preliminary results on tribological behavior of the treated seals are reported.

  5. A size selective porous silicon grating-coupled Bloch surface and sub-surface wave biosensor.

    Science.gov (United States)

    Rodriguez, Gilberto A; Ryckman, Judson D; Jiao, Yang; Weiss, Sharon M

    2014-03-15

    A porous silicon (PSi) grating-coupled Bloch surface and sub-surface wave (BSW/BSSW) biosensor is demonstrated to size selectively detect the presence of both large and small molecules. The BSW is used to sense large immobilized analytes at the surface of the structure while the BSSW that is confined inside but near the top of the structure is used to sensitively detect small molecules. Functionality of the BSW and BSSW modes is theoretically described by dispersion relations, field confinements, and simulated refractive index shifts within the structure. The theoretical results are experimentally verified by detecting two different small chemical molecules and one large 40 base DNA oligonucleotide. The PSi-BSW/BSSW structure is benchmarked against current porous silicon technology and is shown to have a 6-fold higher sensitivity in detecting large molecules and a 33% improvement in detecting small molecules. This is the first report of a grating-coupled BSW biosensor and the first report of a BSSW propagating mode. © 2013 Published by Elsevier B.V.

  6. About the optical properties of oxidized black silicon structures

    Science.gov (United States)

    Pincik, E.; Brunner, R.; Kobayashi, H.; Mikula, M.; Kučera, M.; Švec, P.; Greguš, J.; Vojtek, P.; Zábudlá, Z.; Imamura, K.; Zahoran, M.

    2017-02-01

    The paper deals with the optical and morphological properties of thermally oxidized black silicon (OBSi) nano-crystalline specimens produced by the surface structure chemical transfer method (SSCT). This method can produce a nano-crystalline Si black color layer on c-Si with a range of thickness of ∼50 nm to ∼300 nm by the contact of c-Si immersed in chemical solutions HF + H2O2 with a catalytic mesh. We present and discuss mainly the photoluminescence properties of both polished c-Si and OBSi structures, respectively. The similar photoluminescence (PL) behaviors recorded at liquid helium (6 K) and room temperatures on both polished crystalline Si and OBSi samples, respectively, indicate the similar origin of recorded luminescence light. As the positions of PL maxima of OBSi structures are mainly related to the size of Si nanocrystallites and SiO(x), we therefore suppose that the size of the dominant parts of the luminated OBSi nanostructure is pre-determined by the used polishing Si procedure, and/or the distribution function of the number of formed crystallites on their size is very similar. The blue shift of both PL spectra reaching almost value of 0.40 eV observed after the decrease of the sample temperature to 6 K we relate also with the change of the semiconductor band gap width.

  7. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  8. Surface studies of niobium chemically polished under conditions for superconducting radio frequency (SRF) cavity production

    Science.gov (United States)

    Tian, Hui; Reece, Charles E.; Kelley, Michael J.; Wang, Shancai; Plucinski, Lukasz; Smith, Kevin E.; Nowell, Matthew M.

    2006-11-01

    The performance of niobium superconducting radiofrequency (SRF) accelerator cavities is strongly impacted by the topmost several nanometers of the active (interior) surface, especially as influenced by the final surface conditioning treatments. We examined the effect of the most commonly employed treatment, buffered chemical polishing (BCP), on polycrystalline niobium sheet over a range of realistic solution flow rates using electron back scatter diffraction (EBSD), stylus profilometry, atomic force microscopy, laboratory XPS and synchrotron (variable photon energy) XPS, seeking to collect statistically significant datasets. We found that the predominant general surface orientation is (1 0 0), but others are also present and at the atomic-level details of surface plane orientation are more complex. The post-etch surface exhibits micron-scale roughness, whose extent does not change with treatment conditions. The outermost surface consists of a few-nm thick layer of niobium pentoxide, whose thickness increases with solution flow rate to a maximum of 1.3-1.4 times that resulting from static solution. The standard deviation of the roughness measurements is ±30% and that of the surface composition is ±5%.

  9. Surface studies of niobium chemically polished under conditions for superconducting radio frequency (SRF) cavity production

    Energy Technology Data Exchange (ETDEWEB)

    Tian Hui [Thomas Jefferson National Accelerator Facility and College of William and Mary (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility and College of William and Mary (United States); Kelley, Michael J. [Thomas Jefferson National Accelerator Facility and College of William and Mary (United States)]. E-mail: mkelley@jlab.org; Wang Shancai [Department of Physics, Boston University (United States); Plucinski, Lukasz [Department of Physics, Boston University (United States); Smith, Kevin E. [Department of Physics, Boston University (United States); Nowell, Matthew M. [EDAX TSL (United States)

    2006-11-30

    The performance of niobium superconducting radiofrequency (SRF) accelerator cavities is strongly impacted by the topmost several nanometers of the active (interior) surface, especially as influenced by the final surface conditioning treatments. We examined the effect of the most commonly employed treatment, buffered chemical polishing (BCP), on polycrystalline niobium sheet over a range of realistic solution flow rates using electron back scatter diffraction (EBSD), stylus profilometry, atomic force microscopy, laboratory XPS and synchrotron (variable photon energy) XPS, seeking to collect statistically significant datasets. We found that the predominant general surface orientation is (1 0 0), but others are also present and at the atomic-level details of surface plane orientation are more complex. The post-etch surface exhibits micron-scale roughness, whose extent does not change with treatment conditions. The outermost surface consists of a few-nm thick layer of niobium pentoxide, whose thickness increases with solution flow rate to a maximum of 1.3-1.4 times that resulting from static solution. The standard deviation of the roughness measurements is {+-}30% and that of the surface composition is {+-}5%.

  10. Surface Studies of Niobium Chemically Polished Under Conditions for Superconducting Radio Frequency (SRF) Cavity Production

    Energy Technology Data Exchange (ETDEWEB)

    Tian,H.; Reece, C.; Kelley, M.; Wang, S.; Plucinski, L.; Smith, K.; Nowell, M.

    2006-01-01

    The performance of niobium superconducting radiofrequency (SRF) accelerator cavities is strongly impacted by the topmost several nanometers of the active (interior) surface, especially as influenced by the final surface conditioning treatments. We examined the effect of the most commonly employed treatment, buffered chemical polishing (BCP), on polycrystalline niobium sheet over a range of realistic solution flow rates using electron back scatter diffraction (EBSD), stylus profilometry, atomic force microscopy, laboratory XPS and synchrotron (variable photon energy) XPS, seeking to collect statistically significant datasets. We found that the predominant general surface orientation is (1 0 0), but others are also present and at the atomic-level details of surface plane orientation are more complex. The post-etch surface exhibits micron-scale roughness, whose extent does not change with treatment conditions. The outermost surface consists of a few-nm thick layer of niobium pentoxide, whose thickness increases with solution flow rate to a maximum of 1.3-1.4 times that resulting from static solution. The standard deviation of the roughness measurements is {+-}30% and that of the surface composition is {+-}5%.

  11. Apparatus and method for deterministic control of surface figure during full aperture polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Dennis; Steele, William Augustus

    2013-11-19

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  12. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    International Nuclear Information System (INIS)

    Mora, M.B. de la; Bornacelli, J.; Nava, R.; Zanella, R.; Reyes-Esqueda, J.A.

    2014-01-01

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material

  13. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    Energy Technology Data Exchange (ETDEWEB)

    Mora, M.B. de la; Bornacelli, J. [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Nava, R. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico); Zanella, R. [Centro de Ciencias Aplicadas y Desarrollo Tecnológico, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Reyes-Esqueda, J.A., E-mail: betarina@gmail.com [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico)

    2014-02-15

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material.

  14. Investigations of surface characterization of silicone rubber due to ...

    Indian Academy of Sciences (India)

    Unknown

    †Department of Polymer Technology, Crescent Engineering College, Chennai 600 048, India. Abstract. In the present work, tracking ... Silicone rubber; surface degradation; tracking; WAXD; TG–DTA. 1. Introduction. Power transmission at ... mena in polymer insulators under d.c. voltages. Hence the tracking phenomena ...

  15. Microscopic alterations in silicone tubes surface after application of ophthalmological lubricants

    Directory of Open Access Journals (Sweden)

    Jacqueline Sousa

    2015-02-01

    Full Text Available Objective: To identify microscopic morphological alterations in the surface of silicone tubes used for intubation of the lachrymal system after exposure to ophthalmological lubricants. Methods: Experimental, descriptive and longitudinal study consisted of the application of ophthalmological lubricants in silicone tubes. The tubes were divided in: Group 1 (Cylocort®, 2 (Epitezan®, 3 (Labcaína®, 4 (Liposic®, 5 (Maxinom® and 6 (Vista Gel®. One tube was not exposed to any lubricant, used as control. The tubes were observed and photographed after 2 hours, 30 days, 45 days before and after cleaning the surface and lumen. The following aspects were observed: surface (regularity, transparency, quantity, size and shape of the substances and lumen (obstruction. Results: Control: irregular surface with pores after 2 hours: Group 1 – irregular surface with presence of film; Groups 2, 3 and 5 – abundant and irregular quantity of ointment at the surface; Group 4 – discrete modification at the surface; Group 6 – growth of pigmented (brownish structures with filaments in the lumen, with discrete film in the surface. 30 Days: Groups 1, 4 and 5 – increase of the irregular superficial film; Group 2 – crust with notorious horizontal lines; Group 3 – diminution of the superficial film; Group 4 – crust less evident. Group 6 – increase of the structure seen with 2 hours of exposition, arboriform aspect. Forty-five days pre cleaning: Group 4 – diminution of the surface crust; Group 6 – expansion of the arboriform structure; unaltered findings in other groups. 45 days after cleaning: Groups 1 and 5 – light diminution of the surface crust; Groups 2, 3 and 4 – kept the modifications; Group 6 – the structure inside the lumen was not identified, clear surface, without evidence of film. Conclusions: Microscopic morphological alterations in the surface and lumen of silicone tubes can occur when those remain in contact with determined

  16. Selective Growth and SERS Property of Gold Nanoparticles on Amorphized Silicon Surface

    International Nuclear Information System (INIS)

    Matsuoka, T; Nishi, M; Sakakura, M; Shimotsuma, Y; Miura, K; Hirao, K

    2011-01-01

    We have fabricated gold patterns on a silicon substrate by a simple three-step method using a focused ion beam (FIB). The obtained gold patterns consisted of a large number of gold nanoparticles which grew selectively on the preprocessed silicon surface from an Au ion-containing solution dropped on the substrate. The solution was prepared by reacting HAuCl 4 aqueous solution with (3-mercaptopropyl)trimethoxysilane (MPTMS). It was found that the size and shape of the precipitating gold nanoparticles is controllable by changing the mixing ratio between HAuCl 4 aqueous solution and MPTMS. Additionally, we confirmed that the fabricated gold structures were surface enhanced Raman scattering (SERS)-active; the enhanced Raman peaks of rhodamin 6G (R6G) were detected on the fabricated gold structures, whereas no peak was detected on the alternative silicon surface. We also demonstrated the gold patterning using a femtosecond laser instead of an FIB. We believe that our method is a favorable candidate for fabricating SERS-active substrates, since the substrates can be prepared very simply and flexibly.

  17. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  18. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface

    Science.gov (United States)

    Levchenko, Iryna; Tomashyk, Vasyl; Stratiychuk, Iryna; Malanych, Galyna; Korchovyi, Andrii; Kryvyi, Serhii; Kolomys, Oleksandr

    2018-04-01

    The features of the InAs, InSb, GaAs, and GaSb ultra-smooth surface have been investigated using chemical-mechanical polishing with the (NH4)2Cr2O7-HBr-CH2(OH)CH2(OH)-etching solutions. The etching rate of the semiconductors has been measured as a function of the solution saturation by organic solvent (ethylene glycol). It was found that mechanical effect significantly increases the etching rate from 1.5 to 57 µm/min, and the increase of the organic solvent concentration promotes the decrease of the damaged layer-removing rate. According to AFM, RS, HRXRD results, the treatment with the (NH4)2Cr2O7-HBr-ethylene glycol solutions produces the clean surface of the nanosize level (R a < 0.5 nm).

  19. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  20. Influence of Surface Chemistry on the Release of an Antibacterial Drug from Nanostructured Porous Silicon.

    Science.gov (United States)

    Wang, Mengjia; Hartman, Philip S; Loni, Armando; Canham, Leigh T; Bodiford, Nelli; Coffer, Jeffery L

    2015-06-09

    Nanostructured mesoporous silicon possesses important properties advantageous to drug loading and delivery. For controlled release of the antibacterial drug triclosan, and its associated activity versus Staphylococcus aureus, previous studies investigated the influence of porosity of the silicon matrix. In this work, we focus on the complementary issue of the influence of surface chemistry on such properties, with particular regard to drug loading and release kinetics that can be ideally adjusted by surface modification. Comparison between drug release from as-anodized, hydride-terminated hydrophobic porous silicon and the oxidized hydrophilic counterpart is complicated due to the rapid bioresorption of the former; hence, a hydrophobic interface with long-term biostability is desired, such as can be provided by a relatively long chain octyl moiety. To minimize possible thermal degradation of the surfaces or drug activity during loading of molten drug species, a solution loading method has been investigated. Such studies demonstrate that the ability of porous silicon to act as an effective carrier for sustained delivery of antibacterial agents can be sensitively altered by surface functionalization.

  1. Using STED and ELSM confocal microscopy for a better knowledge of fused silica polished glass interface

    International Nuclear Information System (INIS)

    Catrin, Rodolphe; Neauport, Jerome; Taroux, Daniel; Corbineau, Thomas; Cormont, Philippe; Maunier, Cedric; Legros, Philippe

    2013-01-01

    Characteristics and nature of close surface defects existing in fused silica polished optical surfaces were explored. Samples were deliberately scratched using a modified polishing process in presence of different fluorescent dyes. Various techniques including Epi-fluorescence Laser Scanning Mode (ELSM) or Stimulated Emission Depletion (STED) confocal microscopy were used to measure and quantify scratches that are sometimes embedded under the polished layer. We show using a nondestructive technique that depth of the modified region extends far below the surface. Moreover cracks of 120 nm width can be present ten micrometers below the surface. (authors)

  2. Wear characteristics of polished and glazed lithium disilicate ceramics opposed to three ceramic materials.

    Science.gov (United States)

    Saiki, Osamu; Koizumi, Hiroyasu; Akazawa, Nobutaka; Kodaira, Akihisa; Okamura, Kentaro; Matsumura, Hideo

    2016-01-01

    This study compared the wear characteristics of a heat-pressed lithium disilicate ceramic material opposed to feldspathic porcelain, a lithium disilicate glass ceramic, and zirconia materials. Ceramic plate specimens were prepared from feldspathic porcelain (EX-3 nA1B), lithium disilicate glass ceramics (e.max CAD MO1/C14), and zirconia (Katana KT 10) and then ground or polished. Rounded rod specimens were fabricated from heat-pressed lithium disilicate glass ceramic (e.max press LT A3) and then glazed or polished. A sliding wear testing apparatus was used for wear testing. Wear of glazed rods was greater than that of polished rods when they were abraded with ground zirconia, ground porcelain, polished porcelain, or polished lithium disilicate ceramics. For both glazed and polished rods, wear was greater when the rods were abraded with ground plates. The findings indicate that application of a polished surface rather than a glazed surface is recommended for single restorations made of heat-pressed lithium disilicate material. In addition, care must be taken when polishing opposing materials, especially those used in occlusal contact areas. (J Oral Sci 58, 117-123, 2016).

  3. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  4. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.

    1993-05-01

    New machining and polishing techniques have been developed for large barium fluoride scintillating crystals that provide crystalline surfaces without sub-surface damage or deformation as verified by Atomic Force Microscopy (AFM) and Rutherford Back-scattering (RBS) analyses. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large crystal samples. Mass production techniques have also been developed for machining and polishing up to five 50 cm long crystals at one time. We present this technology along with surface studies of barium fluoride crystals polished with this technique. This technology is applicable for a number of new crystal detectors proposed at Colliders including the Barium Fluoride Electromagnetic Calorimeter at SSC, the Crystal Clear Collaboration's cerium fluoride calorimeter at LHC, and the KTeV and PHENIX scintillating hodoscopes at Fermilab, and RHIC, respectively. Lawrence Livermore National Laboratory (LLNL) has an active program of study on barium fluoride scintillating crystals for the Barium Fluoride Electromagnetic Calorimeter Collaboration and cerium fluoride and lead fluoride for the Crystal Clear Collaboration. This program has resulted in a number of significant improvements in the mechanical processing, polishing and coating of fluoride crystals. Techniques have been developed using diamond-loaded pitch lapping that can produce 15 angstrom RMS surface finishes over large areas. Also, special polishing fixtures have been designed based on mounting technology developed for the 1.1 m diameter optics used in LLNL's Nova Laser. These fixtures allow as many as five 25--50 cm long crystals to be polished and lapped at the same time with tolerances satisfying the stringent requirements of crystal calorimeters. We also discuss results on coating barium fluoride with UV reflective layers of magnesium fluoride and aluminum

  5. Characterization of Al2O3 surface passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Albadri, Abdulrahman M.

    2014-01-01

    A study of the passivation of silicon surface by aluminum oxide (Al 2 O 3 ) is reported. A correlation of fixed oxide charge density (Q f ) and interface trap density (D it ) on passivation efficiency is presented. Low surface recombination velocity (SRV) was obtained even by as-deposited Al 2 O 3 films and this was found to be associated to the passivation of interface states. Fourier transfer infrared spectroscopy spectra show the existence of an interfacial silicon oxide thin layer in both as-deposited and annealed Al 2 O 3 films. Q f is found positive in as-deposited films and changing to negative upon subsequent annealing, providing thus an enhancement of the passivation in p-type silicon wafers, associated to field effects. Secondary ion mass spectrometry analysis confirms the correlation between D it and hydrogen concentration at the Al 2 O 3 /Si interface. A lowest SRV of 15 cm/s was obtained after an anneal at 400 °C in nitrogen atmosphere. - Highlights: • Al 2 O 3 provides superior passivation for silicon surfaces. • Atomic layer deposition-Al 2 O 3 was deposited at a low temperature of 200 °C. • A lowest surface passivation velocity of 15 cm/s was obtained after an anneal at 400 °C in nitrogen. • As-deposited Al 2 O 3 films form very thin SiO 2 layer responsible of low interface trap densities. • High negative fixed charge density of (− 2 × 10 12 cm −2 ) was achieved upon annealing at 400 °C

  6. High voltage performance of a dc photoemission electron gun with centrifugal barrel-polished electrodes

    Science.gov (United States)

    Hernandez-Garcia, C.; Bullard, D.; Hannon, F.; Wang, Y.; Poelker, M.

    2017-09-01

    The design and fabrication of electrodes for direct current (dc) high voltage photoemission electron guns can significantly influence their performance, most notably in terms of maximum achievable bias voltage. Proper electrostatic design of the triple-point junction shield electrode minimizes the risk of electrical breakdown (arcing) along the insulator-cable plug interface, while the electrode shape is designed to maintain work, we describe a centrifugal barrel-polishing technique commonly used for polishing the interior surface of superconducting radio frequency cavities but implemented here for the first time to polish electrodes for dc high voltage photoguns. The technique reduced polishing time from weeks to hours while providing surface roughness comparable to that obtained with diamond-paste polishing and with unprecedented consistency between different electrode samples. We present electrode design considerations and high voltage conditioning results to 360 kV (˜11 MV/m), comparing barrel-polished electrode performance to that of diamond-paste polished electrodes. Tests were performed using a dc high voltage photogun with an inverted-geometry ceramic insulator design.

  7. Lewis basicity, adhesion thermodynamic work and coordinating ability on aminated silicon surfaces

    International Nuclear Information System (INIS)

    Sánchez, M. Alejandra; Paniagua, Sergio A.; Borge, Ignacio; Viales, Christian; Montero, Mavis L.

    2014-01-01

    Highlights: • Silicon(1 0 0) surfaces with diamines followed by anchoring of copper complexes over the diamine layer, an approach that could be used for advanced functionalization of semiconducting surfaces. • Lewis basicity (using Fowkes–van Oss–Chaudhury–Good surface tension model) and adhesion thermodynamic work (using chemical force microscopy) were determined. • Higher basicity and thermodynamic work correlate with selective copper acetate monolayer grow. The cyclic voltammetry studies confirm the confined copper redox activity. - Abstract: Silicon(1 0 0) surfaces have been modified with three different amines (aniline, benzylamine and dodecylamine) and diamines (4-aminopyridine, 4-aminomethylpyridine, 1,12-dodecyldiamine). The surface energy was measured by contact angle technique. For Si-diamine surfaces, Lewis basicity (using Fowkes–van Oss–Chaudhury–Good surface tension model) and adhesion thermodynamic work (using chemical force microscopy) were determined. We related these data, the amine/diamine nature and their geometry on the surface (via DFT calculations) with the consequent ability to coordinate copper(II) acetate. Finally, copper(II) acetate monolayers behavior was studied by cyclic voltammetry

  8. Effect of implantoplasty on fracture resistance and surface roughness of standard diameter dental implants.

    Science.gov (United States)

    Costa-Berenguer, Xavier; García-García, Marta; Sánchez-Torres, Alba; Sanz-Alonso, Mariano; Figueiredo, Rui; Valmaseda-Castellón, Eduard

    2018-01-01

    To assess the effect of implantoplasty on the fracture resistance, surface roughness, and macroscopic morphology of standard diameter (4.1 mm) external connection dental implants. An in vitro study was conducted in 20 screw-shaped titanium dental implants with an external connection. In 10 implants, the threads and surface were removed and polished with high-speed burs (implantoplasty), while the remaining 10 implants were used as controls. The final implant dimensions were recorded. The newly polished surface quality was assessed by scanning electron microscopy (SEM) and by 3D surface roughness analysis using a confocal laser microscope. Finally, all the implants were subjected to a mechanical pressure resistance test. A descriptive analysis of the data was made. Also, Student's t tests were employed to detect differences regarding the compression tests. Implantoplasty was carried out for a mean time of 10 min and 48 s (standard deviation (SD) of 1 min 22 s). Macroscopically, the resulting surface had a smooth appearance, although small titanium shavings and silicon debris were present. The final surface roughness (S a values 0.1 ± 0.02 μm) was significantly lower than that of the original (0.75 ± 0.08 μm S a ) (p = .005). There was minimal reduction in the implant's inner body diameter (0.19 ± 0.03 mm), and no statistically significant differences were found between the test and control implants regarding the maximum resistance force (896 vs 880 N, respectively). Implantoplasty, although technically demanding and time-consuming, does not seem to significantly alter fracture resistance of standard diameter external connection implants. A smooth surface with S a values below 0.1 μm can be obtained through the use of silicon polishers. A larger sample is required to confirm that implantoplasty does not significantly affect the maximum resistance force of standard diameter external connection implants. © 2017 John Wiley & Sons A/S. Published

  9. Hydrogenated amorphous silicon nitride photonic crystals for improved-performance surface electromagnetic wave biosensors.

    Science.gov (United States)

    Sinibaldi, Alberto; Descrovi, Emiliano; Giorgis, Fabrizio; Dominici, Lorenzo; Ballarini, Mirko; Mandracci, Pietro; Danz, Norbert; Michelotti, Francesco

    2012-10-01

    We exploit the properties of surface electromagnetic waves propagating at the surface of finite one dimensional photonic crystals to improve the performance of optical biosensors with respect to the standard surface plasmon resonance approach. We demonstrate that the hydrogenated amorphous silicon nitride technology is a versatile platform for fabricating one dimensional photonic crystals with any desirable design and operating in a wide wavelength range, from the visible to the near infrared. We prepared sensors based on photonic crystals sustaining either guided modes or surface electromagnetic waves, also known as Bloch surface waves. We carried out for the first time a direct experimental comparison of their sensitivity and figure of merit with surface plasmon polaritons on metal layers, by making use of a commercial surface plasmon resonance instrument that was slightly adapted for the experiments. Our measurements demonstrate that the Bloch surface waves on silicon nitride photonic crystals outperform surface plasmon polaritons by a factor 1.3 in terms of figure of merit.

  10. The influence of noble-gas ion bombardment on the electrical and optical properties of clean silicon surfaces

    International Nuclear Information System (INIS)

    Martens, J.W.D.

    1980-01-01

    A study of the effect of argon and helium ion bombardment on the electrical and optical properties of the clean silicon (211) surface is described. The objective of the study was to determine the effect of noble gas ions on the density of surface states at the clean silicon surface. (Auth.)

  11. Pseudo-random tool paths for CNC sub-aperture polishing and other applications.

    Science.gov (United States)

    Dunn, Christina R; Walker, David D

    2008-11-10

    In this paper we first contrast classical and CNC polishing techniques in regard to the repetitiveness of the machine motions. We then present a pseudo-random tool path for use with CNC sub-aperture polishing techniques and report polishing results from equivalent random and raster tool-paths. The random tool-path used - the unicursal random tool-path - employs a random seed to generate a pattern which never crosses itself. Because of this property, this tool-path is directly compatible with dwell time maps for corrective polishing. The tool-path can be used to polish any continuous area of any boundary shape, including surfaces with interior perforations.

  12. In situ metalation of free base phthalocyanine covalently bonded to silicon surfaces

    Directory of Open Access Journals (Sweden)

    Fabio Lupo

    2014-11-01

    Full Text Available Free 4-undecenoxyphthalocyanine molecules were covalently bonded to Si(100 and porous silicon through thermic hydrosilylation of the terminal double bonds of the undecenyl chains. The success of the anchoring strategy on both surfaces was demonstrated by the combination of X-ray photoelectron spectroscopy with control experiments performed adopting the commercially available 2,3,9,10,16,17,23,24-octakis(octyloxy-29H,31H-phthalocyanine, which is not suited for silicon anchoring. Moreover, the study of the shape of the XPS N 1s band gave relevant information on the interactions occurring between the anchored molecules and the substrates. The spectra suggest that the phthalocyanine ring interacts significantly with the flat Si surface, whilst ring–surface interactions are less relevant on porous Si. The surface-bonded molecules were then metalated in situ with Co by using wet chemistry. The efficiency of the metalation process was evaluated by XPS measurements and, in particular, on porous silicon, the complexation of cobalt was confirmed by the disappearance in the FTIR spectra of the band at 3290 cm−1 due to –NH stretches. Finally, XPS results revealed that the different surface–phthalocyanine interactions observed for flat and porous substrates affect the efficiency of the in situ metalation process.

  13. Buffered Electrochemical Polishing of Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Ciovati, Gianluigi [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Tian, Hui [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); College of William and Mary, Williamsburg, VA (United States); Corcoran, Sean [Virginia Polytechnic Inst. and State Univ. (Virginia Tech), Blacksburg, VA (United States)

    2011-03-01

    The standard preparation of superconducting radio-frequency (SRF) cavities made of pure niobium include the removal of a 'damaged' surface layer, by buffered chemical polishing (BCP) or electropolishing (EP), after the cavities are formed. The performance of the cavities is characterized by a sharp degradation of the quality factor when the surface magnetic field exceeds about 90 mT, a phenomenon referred to as 'Q-drop.' In cavities made of polycrystalline fine grain (ASTM 5) niobium, the Q-drop can be significantly reduced by a low-temperature (? 120 °C) 'in-situ' baking of the cavity if the chemical treatment was EP rather than BCP. As part of the effort to understand this phenomenon, we investigated the effect of introducing a polarization potential during buffered chemical polishing, creating a process which is between the standard BCP and EP. While preliminary results on the application of this process to Nb cavities have been previously reported, in this contribution we focus on the characterization of this novel electrochemical process by measuring polarization curves, etching rates, surface finish, electrochemical impedance and the effects of temperature and electrolyte composition. In particular, it is shown that the anodic potential of Nb during BCP reduces the etching rate and improves the surface finish.

  14. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  15. Impact of microcrystalline silicon carbide growth using hot-wire chemical vapor deposition on crystalline silicon surface passivation

    International Nuclear Information System (INIS)

    Pomaska, M.; Beyer, W.; Neumann, E.; Finger, F.; Ding, K.

    2015-01-01

    Highly crystalline microcrystalline silicon carbide (μc-SiC:H) with excellent optoelectronic material properties is a promising candidate as highly transparent doped layer in silicon heterojunction (SHJ) solar cells. These high quality materials are usually produced using hot wire chemical vapor deposition under aggressive growth conditions giving rise to the removal of the underlying passivation layer and thus the deterioration of the crystalline silicon (c-Si) surface passivation. In this work, we introduced the n-type μc-SiC:H/n-type μc-SiO x :H/intrinsic a-SiO x :H stack as a front layer configuration for p-type SHJ solar cells with the μc-SiO x :H layer acting as an etch-resistant layer against the reactive deposition conditions during the μc-SiC:H growth. We observed that the unfavorable expansion of micro-voids at the c-Si interface due to the in-diffusion of hydrogen atoms through the layer stack might be responsible for the deterioration of surface passivation. Excellent lifetime values were achieved under deposition conditions which are needed to grow high quality μc-SiC:H layers for SHJ solar cells. - Highlights: • High surface passivation quality was preserved after μc-SiC:H deposition. • μc-SiC:H/μc-SiO x :H/a-SiO x :H stack a promising front layer configuration • Void expansion at a-SiO x :H/c-Si interface for deteriorated surface passivation • μc-SiC:H provides a high transparency and electrical conductivity.

  16. One - step nanosecond laser microstructuring, sulfur hyperdoping, and annealing of silicon surfaces in liquid carbondisulfide

    Science.gov (United States)

    Van Luong, Nguyen; Danilov, P. A.; Ionin, A. A.; Khmel'nitskii, P. A.; Kudryashov, S. I.; Mel'nik, N. N.; Saraeva, I. N.; Смirnov, H. A.; Rudenko, A. A.; Zayarny, D. A.

    2017-09-01

    We perform a single-shot IR nanosecond laser processing of commercial silicon wafers in ambient air and under a 2 mm thick carbon disulfide liquid layer. We characterize the surface spots modified in the liquid ambient and the spots ablated under the same conditions in air in terms of its surface topography, chemical composition, band-structure modification, and crystalline structure by means of SEM and EDX microscopy, as well as of FT-IR and Raman spectroscopy. These studies indicate that single-step microstructuring and deep (up to 2-3% on the surface) hyperdoping of the crystalline silicon in its submicron surface layer, preserving via pulsed laser annealing its crystallinity and providing high (103 - 104 cm-1) spectrally at near- and mid-IR absorption coefficients, can be obtained in this novel approach, which is very promising for thin - film silicon photovoltaic devices

  17. Electroluminescence Analysis by Tilt Polish Technique of InP-Based Semiconductor Lasers

    Science.gov (United States)

    Ichikawa, Hiroyuki; Sasaki, Kouichi; Hamada, Kotaro; Yamaguchi, Akira

    2010-03-01

    We developed an effective electroluminescence (EL) analysis method to specify the degraded region of InP-based semiconductor lasers. The EL analysis method is one of the most important methods for failure analysis. However, EL observation was difficult because opaque electrodes surround an active layer. A portion of each electrode had to be left intact for wiring to inject the current. Thus, we developed a partial polish technique for the bottom electrode. Tilt polish equipment with a rotating table was introduced; a flat polished surface and a sufficiently wide remaining portion of the bottom electrode were obtained. As a result, clear EL from the back surface of the laser was observed.

  18. Nano-hydroxyapatite colloid suspension coated on chemically modified porous silicon by cathodic bias: a suitable surface for cell culture

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, Alejandra [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Gonzalez, Jerson [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Garcia-Pineres, Alfonso [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Investigacion en Biologia Celular y Molecular (CIBCM), Universidad de Costa Rica, 2060 (Costa Rica); Montero, Mavis L. [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Centro de Ciencia e Ingenieria en Materiales (CICIMA), Universidad de Costa Rica, 2060 (Costa Rica)

    2011-06-15

    The properties of porous silicon make it an interesting material for biological applications. However, porous silicon is not an appropriate surface for cell growth. Surface modification is an alternative that could afford a bioactive material. In this work, we report a method to yield materials by modification of the porous silicon surface with hydroxyapatite of nanometric dimensions, produced using an electrochemical process and coated on macroporous silicon substrates by cathodic bias. The chemical nature of the calcium phosphate deposited on the substrates after the experimental process and the amount of cell growth on these surfaces were characterized. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Influence of the crystal-surface unevenness on the angular spread of an x-ray diffracted beam

    International Nuclear Information System (INIS)

    Hrda, JaromIra; Potlovskiy, Kirill; Hrdy, JaromIr; Slechtova, Venceslava

    2005-01-01

    One of the factors influencing the focus size in diffractive-refractive optics is the quality of diffracting surface. If the surface is uneven, as it is when the silicon crystal surface is only etched, then the diffraction at each point of the surface is a combination of an asymmetric and inclined diffraction (general asymmetric diffraction). This somewhat deviates and spreads the diffracted beam. The integration over the surface hit by an incident beam gives the angular spread of the diffracted beam. It is shown theoretically that in some cases (highly asymmetric, highly inclined cut) the etched surface may create the spread of the diffracted beam such that it causes a significant broadening of the focus. In this case a mechanical-chemical polishing is necessary. This has been verified by us earlier in a preliminary experiment with synchrotron radiation. In this work the new experiment with the same crystals is performed using double crystal (+, -) arrangement and a laboratory x-ray source (CuKα radiation). We compared two samples; one of them is mechanically-chemically (MC) polished and thus the diffracting surface is almost perfect; the other is only etched. This experiment allows a better comparison of the result with the theory. The difference between the measured rocking curve widths for the etched and MC polished crystals (10'') roughly agrees with theory (7''), which supports the correctness of the theoretical approach

  20. Functionality of novel black silicon based nanostructured surfaces studied by TOF SIMS

    DEFF Research Database (Denmark)

    Talian, Ivan; Aranyosiova, M.; Orinak, A.

    2010-01-01

    A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre-ionization e......A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre......-ionization effect in SIMS due to nanostructure type and the assistance of the noble metal surface coating (Ag or Au) for secondary ion formation. As a testing analyte a Rhodamine 6G was applied. Bi+ has been used as SIMS primary ions. It was found out that SIMS signal enhancement of the analyte significantly...... depends on Ag layer thickness and measured ion mode (negative, positive). The best SIMS signal enhancement was obtained at BS2 surface coated with 400 nm of Ag layer. SIMS fragmentation schemes were developed for a model analyte deposited onto a silver and gold surface. Significant differences in pre...

  1. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  2. Charged particle discrimination with silicon surface barrier detectors

    International Nuclear Information System (INIS)

    Coote, G.E.; Pithie, J.; Vickridge, I.C.

    1996-01-01

    The application for materials analysis of nuclear reactions that give rise to charged particles is a powerful surface analytical and concentration depth profiling technique. Spectra of charged particles, with energies in the range 0.1 to 15 MeV, emitted from materials irradiated with beams of light nuclei such as deuterons are measured with silicon surface barrier detectors. The spectra from multi-elemental materials typically encountered in materials research are usually composed of an overlapping superposition of proton, alpha, and other charged particle spectra. Interpretation of such complex spectra would be simplified if a means were available to electronically discriminate between the detector response to the different kinds of charged particle. We have investigated two methods of discriminating between different types of charged particles. The fast charge pulses from a surface barrier detector have different shapes, depending on the spatial distribution of energy deposition of the incident particle. Fast digitisation of the pulses, followed by digital signal processing provides one avenue for discrimination. A second approach is to use a thin transmission detector in front of a thick detector as a detector telescope. For a given incident energy, different types of charged particles will lose different amounts of energy in the thin detector, providing an alternative means of discrimination. We show that both approaches can provide significant simplification in the interpretation of charged particle spectra in practical situations, and suggest that silicon surface barrier detectors having graded electronic properties could provide improved discrimination compared to the current generation of detectors having homogeneous electronic properties. (author).12 refs., 2 tabs., 28 figs

  3. Statistical characterization of surface defects created by Ar ion bombardment of crystalline silicon

    International Nuclear Information System (INIS)

    Ghazisaeidi, M.; Freund, J. B.; Johnson, H. T.

    2008-01-01

    Ion bombardment of crystalline silicon targets induces pattern formation by the creation of mobile surface species that participate in forming nanometer-scale structures. The formation of these mobile species on a Si(001) surface, caused by sub-keV argon ion bombardment, is investigated through molecular dynamics simulation of Stillinger-Weber [Phys. Rev. B 31, 5262 (1985)] silicon. Specific criteria for identifying and classifying these mobile atoms based on their energy and coordination number are developed. The mobile species are categorized based on these criteria and their average concentrations are calculated

  4. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  5. Nickel in silicon: Room-temperature in-diffusion and interaction with radiation defects

    Energy Technology Data Exchange (ETDEWEB)

    Yarykin, Nikolai [Institute of Microelectronics Technology, RAS, Chernogolovka (Russian Federation); Weber, Joerg [Technische Universitaet Dresden (Germany)

    2017-07-15

    Nickel is incorporated into silicon wafers during chemomechanical polishing in an alkaline Ni-contaminated slurry at room temperature. The nickel in-diffusion is detected by DLTS depth profiles of a novel Ni{sub 183} level, which is formed due to a reaction between the diffusing nickel and the VO centers introduced before the polishing. The Ni{sub 183} profile extends up to 10 μm after a 2 min polishing. The available data provide a lower estimate for the room-temperature nickel diffusivity D{sub Ni} > 10{sup -9} cm{sup 2} s{sup -1}. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Signals from fluorescent materials on the surface of silicon micro-strip sensors

    CERN Document Server

    Sperlich, Dennis; The ATLAS collaboration

    2017-01-01

    For the High-Luminosity Upgrade of the Large Hadron Collider at CERN, the ATLAS Inner Detector will be replaced with a new, all-silicon tracker. In order to minimise the amount of material in the detector, circuit boards with readout electronics will be glued on to the active area of the sensor. Several adhesives investigated to be used for the construction of detector modules were found to become fluorescent when exposed to UV light. These adhesives could become a light source in the high-radiation environment of the ATLAS detector. The effect of fluorescent material covering the sensor surface in a high- radiation environment has been studied for a silicon micro-strip sensor using a micro-focused X-ray beam. By pointing the beam both inside the sensor and parallel to the sensor surface, the sensor responses from direct hits and fluorescence can be compared with high precision. This contribution presents a setup to study the susceptibility of silicon strip sensors to light contamination from fluorescent mate...

  7. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  8. Precision Surface Grinding of Silicon Carbide

    Directory of Open Access Journals (Sweden)

    Mohamed Konneh

    2016-12-01

    Full Text Available Silicon carbide (SiC is well known for its excellent material properties, high durability, high wear resistance, light weight and extreme hardness. Among the engineering applications of this material, it is an excellent candidate for optic mirrors used in an Airbone Laser (ABL device. However, the low fracture toughness and extreme brittleness characteristics of SiC are predominant factors for its poor machinability. This paper presents surface grinding of SiC using diamond cup wheels to assess the performance of diamond grits with respect to the roughness produced on the machined surfaces and also the morphology of the ground work-piece. Resin bonded diamond cup wheels of grit sizes 46 µm, 76 µm and 107 µm; depth of cut of 10 µm, 20 µm and 30 µm; and feed rate of 2 mm/min, 12 mm/min and 22 mm/min were used during this machining investigation. It has been observed that the 76 grit performs better in terms of low surface roughness value and morphology.

  9. Effect of pyrolysis atmospheres on the morphology of polymer-derived silicon oxynitrocarbide ceramic films coated aluminum nitride surface and the thermal conductivity of silicone rubber composites

    Science.gov (United States)

    Chiu, Hsien T.; Sukachonmakul, Tanapon; Wang, Chen H.; Wattanakul, Karnthidaporn; Kuo, Ming T.; Wang, Yu H.

    2014-02-01

    Amorphous silicon oxycarbide (SiOC) and silicon oxynitrocarbide (SiONC) ceramic films coated aluminum nitride (AlN) were prepared by using preceramic-polysilazane (PSZ) with dip-coating method, followed by pyrolysis at 700 °C in different (air, Ar, N2 and NH3) atmospheres to converted PSZ into SiOCair and SiONC(Ar,N2andNH3) ceramic. The existence of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface was characterized by FTIR, XRD and XPS. The interfacial adhesion between silicone rubber and AlN was significantly improved after the introduction of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. It can be observed from AFM that the pyrolysis of PSZ at different atmosphere strongly affected to films morphology on AlN surface as SiOCair and SiONCNH3 ceramic films were more flat and smooth than SiONCN2 and SiONCAr ceramic films. Besides, the enhancement of the thermal conductivity of silicone rubber composites was found to be related to the decrease in the surface roughness of SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. This present work provided an alternative surface modification of thermally conductive fillers to improve the thermal conductivity of silicon rubber composites by coating with amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films.

  10. Abstracts Book of 42. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    1999-01-01

    Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry is the most important chemical forum of Polish chemists organised annually. The state of art of many fundamental and applied investigations have been presented and discussed. The following scientific sessions and microsymposia have been proposed: plenary session, analytical chemistry, inorganic chemistry, organic chemistry, chemistry and environment, chemistry and technology of polymers, chemistry didactics, electrochemistry, young scientists forum, chemical technology, chemical engineering, high energetics materials, computers in research and teaching of chemistry, structure modelling and polymer properties, silicon-organic compounds

  11. Analysis the complex interaction among flexible nanoparticles and materials surface in the mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Han Xuesong, E-mail: hanxuesongphd@yahoo.com.cn [School of Mechanical Engineering, Tianjin University, 300072 (China); Gan, Yong X. [Department of Mechanical, Industrial and Manufacturing Engineering, University of Toledo, OH 43606 (United States)

    2011-02-01

    Mechanical polishing (MP), being the important technique of realizing the surface planarization, has already been widely applied in the area of microelectronic manufacturing and computer manufacturing technology. The surface planarization in the MP is mainly realized by mechanical process which depended on the microdynamic behavior of nanoparticle. The complex multibody interaction among nanoparticles and materials surface is different from interaction in the macroscopic multibody system which makes the traditional classical materials machining theory cannot accurately uncover the mystery of the surface generation in the MP. Large-scale classical molecular dynamic (MD) simulation of interaction among nanoparticles and solid surface has been carried out to investigate the physical essence of surface planarization. The particles with small impact angle can generate more uniform global planarization surface but the materials removal rate is lower. The shear interaction between particle and substrate may induce large friction torque and lead to the rotation of particle. The translation plus rotation makes the nanoparticle behaved like micro-milling tool. The results show that the nanoparticles may aggregrate together and form larger cluster thus deteriorate surface the quality. This MD simulation results illuminate that the f inal planarized surface can only be acquired by synergic behavior of all particles using various means such as cutting, impacting, scratching, indentation and so on.

  12. Apparatus and method for deterministic control of surface figure during full aperture pad polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Douglas; Steele, William Augustus

    2017-10-10

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  13. Investigation into the surface of implanted monocrystalline silicon with the aid of wetting angle

    International Nuclear Information System (INIS)

    Lebedeva, N.N.; Bakovets, V.V.; Sedymova, E.A.; Pridachin, N.B.

    1986-01-01

    The dependence of silicon wetting margical angle on its irradiation dose by ions of electrically active and neutral materials is studied. It has been found that the system of immiscible liquids - ether and water can be successfully used for studying the silicon ion implantation effect on its water wetting. Changing of implanted silicon wetting is bound up with the increase of the defects presence level of surface layers. The specimens annealing reestablishes silicon wetting up to parameters characteristic of non irradiated specimens. The most effective annealing region is within the 550-700 deg C range. The implanted silicon wetting by melts at increased temperatures can be employed for studying kinetics and defect annealing mechanism

  14. A parametric study of laser induced ablation-oxidation on porous silicon surfaces

    International Nuclear Information System (INIS)

    De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo

    2008-01-01

    We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective

  15. Contact pressure distribution during the polishing process of ceramic tiles: A laboratory investigation

    International Nuclear Information System (INIS)

    Sani, A S A; Hamedon, Z; Azhari, A; Sousa, F J P

    2016-01-01

    During the polishing process of porcelain tiles the difference in scratching speed between innermost and peripheral abrasives leads to pressure gradients linearly distributed along the radial direction of the abrasive tool. The aim of this paper is to investigate such pressure gradient in laboratory scale. For this purpose polishing tests were performed on ceramic tiles according to the industrial practices using a custom-made CNC tribometer. Gradual wear on both abrasives and machined surface of the floor tile were measured. The experimental results suggested that the pressure gradient tends to cause an inclination of the abraded surfaces, which becomes stable after a given polishing period. In addition to the wear depth of the machined surface, the highest value of gloss and finest surface finish were observed at the lowest point of the worn out surface of the ceramic floor tile corresponding to the point of highest pressure and lowest scratching speed. (paper)

  16. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  17. Bactericidal effects of plasma-modified surface chemistry of silicon nanograss

    International Nuclear Information System (INIS)

    Ostrikov, Kola; Macgregor-Ramiasa, Melanie; Cavallaro, Alex; Ostrikov, Kostya; Vasilev, Krasimir

    2016-01-01

    The surface chemistry and topography of biomaterials regulate the adhesion and growth of microorganisms in ways that are still poorly understood. Silicon nanograss structures prepared via inductively coupled plasma etching were coated with plasma deposited nanometer-thin polymeric films to produce substrates with controlled topography and defined surface chemistry. The influence of surface properties on Staphylococcus aureus proliferation is demonstrated and explained in terms of nanograss substrate wetting behaviour. With the combination of the nanograss topography; hydrophilic plasma polymer coatings enhanced antimicrobial activity while hydrophobic coatings reduced it. This study advances the understanding of the effects of surface wettability on the bactericidal properties of reactive nano-engineered surfaces. (paper)

  18. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  19. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Silva, Julio Batista Rodrigues

    2016-01-01

    In this work it was developed radiation detectors silicon surface barrier that were capable of detecting the presence of gamma radiation from a low energy of iodine-125 seeds used in brachytherapy treatments. >From commercial silicon substrates detectors were developed, one sequence left of chemical treatments to the surfaces of these substrates with the intention of minimizing the possible noise generated, validation of the samples obtained as diodes, ensuring detector characteristics and effective use as detector for Iodine-125 radioactive sources with energy of about 25 keV and Americium-251 with energy on the order of 59 keV. Finished performing the analysis of the obtained energy spectra and so it was possible to observe the ability of these detectors to measure the energy from these seeds. (author)

  20. Electric field strength and plasma delay in silicon surface barrier detector

    International Nuclear Information System (INIS)

    Kanno, I.; Inbe, T.; Kanazawa, S.; Kimura, I.

    1994-01-01

    The resistivity change of a silicon irradiated by high energy neutrons became an interest of study associated with the large scale accelerator projects . The increase of the resistivity of the silicon of a silicon surface barrier detector (SSBD) was studied as a function of neutron fluence. The plasma delay, which was an interesting but not favorite timing property of the SSBD, was reported being dependent on the resistivity of silicon . The neutron irradiation brings the change of timing property as well as the resistivity change on the SSBD. The resistivity dependence of the plasma delay should be studied for the purpose of high energy accelerator experiments. Some empirical formulae of the plasma delay were reported, however, there were no discussions on the physical meanings of the resistivity dependence of the plasma delay. The plasma delay in a SSBD is discussed in the light of electric field strength in the depletion layer of the SSBD. The explanation of the plasma delay is presented taking into account of the competing two electric forces. The resistivity of the silicon affects the plasma delay through the electric forces. 3 figs, 3 refs. (author)

  1. Microstructure of pulsed-laser deposited PZT on polished and annealed MGO substrates

    NARCIS (Netherlands)

    King, S.L.; Coccia, L.G.; Gardeniers, Johannes G.E.; Boyd, I.W.

    1996-01-01

    Thin films of Lead-Zirconate-Titanate (PZT) have been grown by pulsed-laser-deposition (PLD) onto polished MgO substrates both with and without pre-annealing. The surface morphology of polished MgO substrates, which are widely used for deposition, is examined by AFM. Commercially available,

  2. Plaque, gingival bleeding and calculus formation after supragingival scaling with and without polishing: a randomised clinical trial.

    Science.gov (United States)

    Zanatta, Fabricio Batistin; Pinto, Tatiana Militz; Kantorski, Karla Zanini; Rösing, Cassiano Kuchenbecker

    2011-01-01

    The aim of this study was to compare the effect of polishing after scaling and root planing on supragingival plaque, calculus formation, and gingival bleeding. The study was designed as a split-mouth randomised clinical trial. Seventy-six patients were submitted to supragingival scaling on the six mandibular anterior teeth with manual curettes until a smooth surface was achieved. Subsequently, quadrants were randomly selected to be polished (test) or not (control) with a rubber cup and pumice. One, two and three weeks following treatment, a blinded examiner evaluated the visible plaque index, gingival bleeding index and the presence of supragingival calculus on the lingual tooth surfaces. The results showed that unpolished surfaces exhibited higher mean percentages of visible plaque in the third week. No statistically significant differences were observed between unpolished and polished sites related to gingival bleeding. Calculus formation was higher on unpolished sites than on polished sites at 2 and 3 weeks. Dental polishing after supragingival scaling contributed to reducing plaque and calculus formation. Polishing exerts an inhibitory effect on plaque and calculus formation.

  3. Comparison of tool feed influence in CNC polishing between a novel circular-random path and other pseudo-random paths.

    Science.gov (United States)

    Takizawa, Ken; Beaucamp, Anthony

    2017-09-18

    A new category of circular pseudo-random paths is proposed in order to suppress repetitive patterns and improve surface waviness on ultra-precision polished surfaces. Random paths in prior research had many corners, therefore deceleration of the polishing tool affected the surface waviness. The new random path can suppress velocity changes of the polishing tool and thus restrict degradation of the surface waviness, making it suitable for applications with stringent mid-spatial-frequency requirements such as photomask blanks for EUV lithography.

  4. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  5. Effect of nanometer scale surface roughness of titanium for osteoblast function

    Directory of Open Access Journals (Sweden)

    Satoshi Migita

    2017-02-01

    Full Text Available Surface roughness is an important property for metallic materials used in medical implants or other devices. The present study investigated the effects of surface roughness on cellular function, namely cell attachment, proliferation, and differentiation potential. Titanium (Ti discs, with a hundred nanometer- or nanometer-scale surface roughness (rough and smooth Ti surface, respectively were prepared by polishing with silicon carbide paper. MC3T3-E1 mouse osteoblast-like cells were cultured on the discs, and their attachment, spreading area, proliferation, and calcification were analyzed. Cells cultured on rough Ti discs showed reduced attachment, proliferation, and calcification ability suggesting that the surface inhibited osteoblast function. The findings can provide a basis for improving the biocompatibility of medical devices.

  6. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  7. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  8. Surface States and Effective Surface Area on Photoluminescent P-Type Porous Silicon

    Science.gov (United States)

    Weisz, S. Z.; Porras, A. Ramirez; Resto, O.; Goldstein, Y.; Many, A.; Savir, E.

    1997-01-01

    The present study is motivated by the possibility of utilizing porous silicon for spectral sensors. Pulse measurements on the porous-Si/electrolyte system are employed to determine the surface effective area and the surface-state density at various stages of the anodization process used to produce the porous material. Such measurements were combined with studies of the photoluminescence spectra. These spectra were found to shift progressively to the blue as a function of anodization time. The luminescence intensity increases initially with anodization time, reaches a maximum and then decreases with further anodization. The surface state density, on the other hand, increases with anodization time from an initial value of about 2 x 10(exp 12)/sq cm surface to about 1013 sq cm for the anodized surface. This value is attained already after -2 min anodization and upon further anodization remains fairly constant. In parallel, the effective surface area increases by a factor of 10-30. This behavior is markedly different from the one observed previously for n-type porous Si.

  9. Immunophenotypic characterization of human T cells after in vitro exposure to different silicone breast implant surfaces.

    Directory of Open Access Journals (Sweden)

    Giuseppe Cappellano

    Full Text Available The most common complication of silicone breast implants is capsular contracture (massive scar formation around the implant. We postulate that capsular contracture is always a sequel to inflammatory processes, with both innate and adaptive immune mechanisms participating. In general, fibroblasts and macrophages have been used as cell types to evaluate in vitro the biocompatibility of breast implant surfaces. Moreover, also T cells have been found at the implant site at the initial stage of fibrous capsule formation. However, only few studies have addressed the influence of surfaces with different textures on T-cell responses. The aim of the present study was to investigate the immune response of human peripheral blood mononuclear cells (PBMC to commercially available silicone breast implants in vitro. PBMC from healthy female blood donors were cultured on each silicone surface for 4 days. Proliferation and phenotype of cultured cells were assessed by flow cytometry. Cytokine levels were determined by multiplex and real-time assay. We found that silicone surfaces do not induce T-cell proliferation, nor do they extensively alter the proportion of T cell subsets (CD4, CD8, naïve, effector memory. Interestingly, cytokine profiling identified matrix specific differences, especially for IL-6 and TNF-α on certain surface topographies that could lead to increased fibrosis.

  10. Effects of air polishing and an amino acid buffered hypochlorite solution to dentin surfaces and periodontal ligament cell survival, attachment, and spreading.

    Science.gov (United States)

    Schmidlin, Patrick R; Fujioka-Kobayashi, Masako; Mueller, Heinz-Dieter; Sculean, Anton; Lussi, Adrian; Miron, Richard J

    2017-06-01

    The aim of this study is to examine morphological changes of dentin surfaces following air polishing or amino acid buffered hypochlorite solution application and to assess their influence on periodontal ligament (PDL) cell survival, attachment, and spreading to dentin discs in vitro. Bovine dentin discs were treated with either (i) Classic, (ii) Plus, or (iii) Perio powder (EMS). Furthermore, Perisolv® a hypochlorite solution buffered with various amino acids was investigated. Untreated dentin discs served as controls. Morphological changes to dentin discs were assessed using scanning electron microscopy (SEM). Human PDL cells were seeded onto the respectively treated discs, and samples were then investigated for PDL cell survival, attachment, and spreading using a live/dead assay, adhesion assay, and SEM imaging, respectively. Both control and Perisolv®-rinsed dentin discs demonstrated smooth surfaces at low and high magnifications. The Classic powders demonstrated the thickest coating followed by the Powder Plus. The Perio powder demonstrated marked alterations of dentin discs by revealing the potential to open dentinal tubules even before rinsing. Seeding of PDL cells demonstrated an almost 100 % survival rate on all samples demonstrating very high biocompatibility for all materials. Significantly higher PDL cell numbers were observed on samples treated with the Perio powder and the Perisolv® solution (approximately 40 % more cells; p air polishing or application with Perisolv®. Future in vitro and animal testing is necessary to further characterize the beneficial effects of either system in a clinical setting. The use of air polishing or application with Perisolv amino acid buffered hypochlorite solution was effective in treating root surfaces and allowed for near 100 % PDL cell survival, attachment, and spreading onto all root surfaces.

  11. Control of single-electron charging of metallic nanoparticles onto amorphous silicon surface.

    Science.gov (United States)

    Weis, Martin; Gmucová, Katarína; Nádazdy, Vojtech; Capek, Ignác; Satka, Alexander; Kopáni, Martin; Cirák, Július; Majková, Eva

    2008-11-01

    Sequential single-electron charging of iron oxide nanoparticles encapsulated in oleic acid/oleyl amine envelope and deposited by the Langmuir-Blodgett technique onto Pt electrode covered with undoped hydrogenated amorphous silicon film is reported. Single-electron charging (so-called quantized double-layer charging) of nanoparticles is detected by cyclic voltammetry as current peaks and the charging effect can be switched on/off by the electric field in the surface region induced by the excess of negative/positive charged defect states in the amorphous silicon layer. The particular charge states in amorphous silicon are created by the simultaneous application of a suitable bias voltage and illumination before the measurement. The influence of charged states on the electric field in the surface region is evaluated by the finite element method. The single-electron charging is analyzed by the standard quantized double layer model as well as two weak-link junctions model. Both approaches are in accordance with experiment and confirm single-electron charging by tunnelling process at room temperature. This experiment illustrates the possibility of the creation of a voltage-controlled capacitor for nanotechnology.

  12. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  13. Cancer morbidity among polishers.

    Science.gov (United States)

    Järvholm, B; Thiringer, G; Axelson, O

    1982-01-01

    The mortality pattern among 86 men was determined to investigate the possible hazards of polishing steel. The men had polished steel with polishing paste for at least five years. The polishing pastes had contained tallow, beeswax, carnauba wax, alundum, carborundum, ferric oxide, and chalk. A total of 18 men had died compared with 13.3 expected. Four had died of stomach cancer compared with 0.44 expected (p less than 0.005). The mortality for other causes of death was not increased. The study does not permit any definite conclusion but indicates a possible cancer hazard among polishers. PMID:7066237

  14. Evaluation of the surface hardness, roughness, gloss and color of composites after different finishing/polishing treatments and thermocycling using a multitechnique approach.

    Science.gov (United States)

    Pala, Kanşad; Tekçe, Neslihan; Tuncer, Safa; Serim, Merve Efe; Demirci, Mustafa

    2016-01-01

    The objectives of this study were to evaluate the mechanical and physical properties of resin composites. The materials evaluated were the Clearfil Majesty Posterior, Filtek Z550 and G-aenial Posterior composites. A total of 189 specimens were fabricated for microhardness, roughness, gloss and color tests. The specimens were divided into three finishing and polishing systems: Enhance, OneGloss and Sof-Lex Spiral. Microhardness, roughness, gloss and color were measured after 24 h and after 10,000 thermocycles. Two samples from each group were evaluated using SEM and AFM. G-aenial Posterior exhibited the lowest microhardness values. The mean roughness ranged from 0.37 to 0.61 µm. The smoothest surfaces were obtained with Sof-Lex Spiral for each material. G-aenial Posterior with Enhance was determined to be the glossiest surfaces. All of the materials exhibited similar ΔE values ranging between 1.69 and 2.75. Sof-Lex Spiral discs could be used successfully to polish composites.

  15. Multifunctional porous silicon nanopillar arrays: antireflection, superhydrophobicity, photoluminescence, and surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Kiraly, Brian; Yang, Shikuan; Huang, Tony Jun

    2013-01-01

    We have fabricated porous silicon nanopillar arrays over large areas with a rapid, simple, and low-cost technique. The porous silicon nanopillars show unique longitudinal features along their entire length and have porosity with dimensions on the single-nanometer scale. Both Raman spectroscopy and photoluminescence data were used to determine the nanocrystallite size to be <3 nm. The porous silicon nanopillar arrays also maintained excellent ensemble properties, reducing reflection nearly fivefold from planar silicon in the visible range without any optimization, and approaching superhydrophobic behavior with increasing aspect ratio, demonstrating contact angles up to 138°. Finally, the porous silicon nanopillar arrays were made into sensitive surface-enhanced Raman scattering (SERS) substrates by depositing metal onto the pillars. The SERS performance of the substrates was demonstrated using a chemical dye Rhodamine 6G. With their multitude of properties (i.e., antireflection, superhydrophobicity, photoluminescence, and sensitive SERS), the porous silicon nanopillar arrays described here can be valuable in applications such as solar harvesting, electrochemical cells, self-cleaning devices, and dynamic biological monitoring. (paper)

  16. Development of on the machine process monitoring and control strategy in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano

    2015-01-01

    Robot Assisted Polishing (RAP) can be used to polish rotational symmetric and free form components achieving surface roughness down to Sa 10 nm. With the aim to enable unmanned robust and cost efficient application of RAP, this paper presents the development of a monitoring and control strategy....... The multisensory approach was experimentally validated in polishing with bonded abrasives demonstrating its suitability for process control in RAP....

  17. Silicon surface barrier detectors used for liquid hydrogen density measurement

    Science.gov (United States)

    James, D. T.; Milam, J. K.; Winslett, H. B.

    1968-01-01

    Multichannel system employing a radioisotope radiation source, strontium-90, radiation detector, and a silicon surface barrier detector, measures the local density of liquid hydrogen at various levels in a storage tank. The instrument contains electronic equipment for collecting the density information, and a data handling system for processing this information.

  18. The Effect of Grinding and Polishing Procedure of Tool Steels in Sheet Metal Forming

    DEFF Research Database (Denmark)

    Lindvall, F.; Bergström, J.; Krakhmalev, P.

    2010-01-01

    The surface finish of tools in sheet metal forming has a large influence on the performance of the forming tool. Galling, concern of wear in sheet metal forming, is a severe form of adhesive wear where sheet material is transferred on to the tool surface. By polishing the tools to a fine surface ...... 40 and Vanadis 6 and up to ten different grinding and polishing treatments were tested against AISI 316 stainless steel. The tests showed that an optimum surface preparation might be found at the transition between abrasive and adhesive wear....

  19. Engineering the size and density of silicon agglomerates by controlling the initial surface carbonated contamination

    Energy Technology Data Exchange (ETDEWEB)

    Borowik, Ł., E-mail: Lukasz.Borowik@cea.fr [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Chevalier, N.; Mariolle, D.; Martinez, E.; Bertin, F.; Chabli, A.; Barbé, J.-C. [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2013-04-01

    Actually, thermally induced thin-films dewetting silicon in the silicon-on-insulator is a way to obtain silicon agglomerates with a size and a density fixed by the silicon film thickness. In this paper we report a new method to monitor both the size and the density of the Si agglomerates thanks to the deposition of a carbon-like layer. We show that using a 5-nm thick layer of silicon and additional ≤1-nm carbonated layer; we obtain agglomerates sizes ranging from 35 nm to 60 nm with respectively an agglomerate density ranging from 38 μm{sup −2} to 18 μm{sup −2}. Additionally, for the case of strained silicon films an alternative dewetting mechanism can be induced by monitoring the chemical composition of the sample surface.

  20. Chemical Mechanical Polishing of Ruthenium, Cobalt, and Black Diamond Films

    Science.gov (United States)

    Peethala, Brown Cornelius

    Ta/TaN bilayer serves as the diffusion barrier as well as the adhesion promoter between Cu and the dielectric in 32 nm technology devices. A key concern of future technology devices (layer (vs. a bilayer of Ta/TaN) to act as a barrier. During patterning, they need to be planarized using conventional chemical mechanical polishing (CMP) to achieve a planar surface. However, CMP of these new barrier materials requires novel slurry compositions that provide adequate selectivity towards Cu and dielectric films, and minimize galvanic corrosion. Apart from the application as a barrier, Ru also has been proposed as a lower electrode material in metal-insulator-metal capacitors where high (> 50 nm/min) Ru removal rates (RRs) are required and as a stop layer in magnetic recording head fabrication where low (hydroxide (KOH). It was also determined that increased the ionic strength is not responsible for the observed increase in Ru removal rate. Benzotirazole (BTA) and ascorbic acid were added to the slurry to reduce the open circuit potential (Eoc) difference between Cu and Ru to ˜20 mV from about 550 mV in the absence of additives. A removal mechanism with KIO4 as the oxidizing agent is proposed based on the formation of several ruthenium oxides, some of which formed residues on the polishing pad below a pH of ˜7. Next, a colloidal silica-based slurry with hydrogen peroxide (H 2O2) as the oxidizer (1 wt%), and arginine (0.5 wt%) as the complexing agent was developed to polish Co at pH 10. The Eoc between Cu and Co at the above conditions was reduced to ˜20 mV compared to ˜250 mV in the absence of additives, suggestive of reduced galvanic corrosion during the Co polishing. The slurry also has the advantages of good post-polish surface quality at pH 10, and no dissolution rate. BTA at a concentration of 5mM in this slurry inhibited Cu dissolution rates and yielded a Cu/Co RR ratio of ˜0.8:1 while the open potential difference between Cu and Co was further reduced to ˜10

  1. Temporary surface passivation for characterisation of bulk defects in silicon : a review

    OpenAIRE

    Grant, Nicholas E.; Murphy, John D.

    2017-01-01

    Accurate measurements of the bulk minority carrier lifetime in high-quality silicon materials is challenging due to the influence of surface recombination. Conventional surface passivation processes such as thermal oxidation or dielectric deposition often modify the bulk lifetime significantly before measurement. Temporary surface passivation processes at room or very low temperatures enable a more accurate measurement of the true bulk lifetime, as they limit thermal reconfiguration of bulk d...

  2. Modeling surface topography of state-of-the-art x-ray mirrors as a result of stochastic polishing process: recent developments

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Tyurin, Yuri N.; Tyurina, Anastasia

    2016-09-01

    Recently, an original method for the statistical modeling of surface topography of state-of-the-art mirrors for usage in xray optical systems at light source facilities and for astronomical telescopes [Opt. Eng. 51(4), 046501, 2012; ibid. 53(8), 084102 (2014); and ibid. 55(7), 074106 (2016)] has been developed. In modeling, the mirror surface topography is considered to be a result of a stationary uniform stochastic polishing process and the best fit time-invariant linear filter (TILF) that optimally parameterizes, with limited number of parameters, the polishing process is determined. The TILF model allows the surface slope profile of an optic with a newly desired specification to be reliably forecast before fabrication. With the forecast data, representative numerical evaluations of expected performance of the prospective mirrors in optical systems under development become possible [Opt. Eng., 54(2), 025108 (2015)]. Here, we suggest and demonstrate an analytical approach for accounting the imperfections of the used metrology instruments, which are described by the instrumental point spread function, in the TILF modeling. The efficacy of the approach is demonstrated with numerical simulations for correction of measurements performed with an autocollimator based surface slope profiler. Besides solving this major metrological problem, the results of the present work open an avenue for developing analytical and computational tools for stitching data in the statistical domain, obtained using multiple metrology instruments measuring significantly different bandwidths of spatial wavelengths.

  3. Computer-Controlled Cylindrical Polishing Process for Large X-Ray Mirror Mandrels

    Science.gov (United States)

    Khan, Gufran S.; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    We are developing high-energy grazing incidence shell optics for hard-x-ray telescopes. The resolution of a mirror shells depends on the quality of cylindrical mandrel from which they are being replicated. Mid-spatial-frequency axial figure error is a dominant contributor in the error budget of the mandrel. This paper presents our efforts to develop a deterministic cylindrical polishing process in order to keep the mid-spatial-frequency axial figure errors to a minimum. Simulation software is developed to model the residual surface figure errors of a mandrel due to the polishing process parameters and the tools used, as well as to compute the optical performance of the optics. The study carried out using the developed software was focused on establishing a relationship between the polishing process parameters and the mid-spatial-frequency error generation. The process parameters modeled are the speeds of the lap and the mandrel, the tool s influence function, the contour path (dwell) of the tools, their shape and the distribution of the tools on the polishing lap. Using the inputs from the mathematical model, a mandrel having conical approximated Wolter-1 geometry, has been polished on a newly developed computer-controlled cylindrical polishing machine. The preliminary results of a series of polishing experiments demonstrate a qualitative agreement with the developed model. We report our first experimental results and discuss plans for further improvements in the polishing process. The ability to simulate the polishing process is critical to optimize the polishing process, improve the mandrel quality and significantly reduce the cost of mandrel production

  4. Effect of the back surface topography on the efficiency in silicon solar cells

    International Nuclear Information System (INIS)

    Guo Aijuan; Ye Famin; Feng Shimeng; Guo Lihui; Ji Dong

    2009-01-01

    Different processes are used on the back surface of silicon wafers to form cells falling into three groups: textured, planar, and sawed-off pyramid back surface. The characteristic parameters of the cells, I SC , V OC , FF, Pm, and E ff , are measured. All these parameters of the planar back surface cells are the best. The FF, Pm, and E ff of sawed-off pyramid back surface cells are superior to textured back surface cells, although I SC and V OC are lower. The parasitic resistance is analyzed to explain the higher FF of the sawed-off pyramid back surface cells. The cross-section scanning electron microscopy (SEM) pictures show the uniformity of the aluminum-silicon alloy, which has an important effect on the back surface recombination velocity and the ohmic contact. The measured value of the aluminum back surface field thickness in the SEM picture is in good agreement with the theoretical value deduced from the Al-Si phase diagram. It is shown in an external quantum efficiency (EQE) diagram that the planar back surface has the best response to a wavelength between 440 and 1000 nm and the sawed-off back surface has a better long wavelength response.

  5. Metrology of sub-micron structured polymer surfaces

    DEFF Research Database (Denmark)

    Quagliotti, Danilo; Tosello, Guido; Salaga, J.

    metal masters with different types of finish has been carried out.Four types of surface finish were considered: a) Diamond buff polishing. b) Grit paper polishing. c) Stone polishing. d) Dry blast polishing (see Fig. 1). Both master and replicated surfaces were measured using a laser scanning confocal...... of about 70 %. The worst amplitude replication was achieved for both diamond buff and grit paper polished surfaces with a replication fidelity around 50 %.The tendency is almost the same for slope replication but the replication fidelity values are lower: 70 % for stone polished surfaces. 50 % for dry...... evaluated according to ISO 15530-3:2011, adapted to optical measure-ments, and propagated to the replication fidelity.A good amplitude replication was achieved for stone polished surfaces with a replication fidelity larger than 90 %. The dry blast ones were evaluated with an amplitude replication fidelity...

  6. Mechanistic, kinetic, and processing aspects of tungsten chemical mechanical polishing

    Science.gov (United States)

    Stein, David

    This dissertation presents an investigation into tungsten chemical mechanical polishing (CMP). CMP is the industrially predominant unit operation that removes excess tungsten after non-selective chemical vapor deposition (CVD) during sub-micron integrated circuit (IC) manufacture. This work explores the CMP process from process engineering and fundamental mechanistic perspectives. The process engineering study optimized an existing CMP process to address issues of polish pad and wafer carrier life. Polish rates, post-CMP metrology of patterned wafers, electrical test data, and synergy with a thermal endpoint technique were used to determine the optimal process. The oxidation rate of tungsten during CMP is significantly lower than the removal rate under identical conditions. Tungsten polished without inhibition during cathodic potentiostatic control. Hertzian indenter model calculations preclude colloids of the size used in tungsten CMP slurries from indenting the tungsten surface. AFM surface topography maps and TEM images of post-CMP tungsten do not show evidence of plow marks or intergranular fracture. Polish rate is dependent on potassium iodate concentration; process temperature is not. The colloid species significantly affects the polish rate and process temperature. Process temperature is not a predictor of polish rate. A process energy balance indicates that the process temperature is predominantly due to shaft work, and that any heat of reaction evolved during the CMP process is negligible. Friction and adhesion between alumina and tungsten were studied using modified AFM techniques. Friction was constant with potassium iodate concentration, but varied with applied pressure. This corroborates the results from the energy balance. Adhesion between the alumina and the tungsten was proportional to the potassium iodate concentration. A heuristic mechanism, which captures the relationship between polish rate, pressure, velocity, and slurry chemistry, is presented

  7. Selective formation of porous silicon

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)

    1993-01-01

    A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.

  8. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO2 laser polishing

    International Nuclear Information System (INIS)

    Choi, Hun-Kook; Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak; Kim, Jin-Tae; Ahsan, Shamim

    2014-01-01

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO 2 laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO 2 laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO 2 laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  9. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  10. The influence of polishing techniques on pre-polymerized CAD\\CAM acrylic resin denture bases.

    Science.gov (United States)

    Alammari, Manal Rahma

    2017-10-01

    Lately, computer-aided design and computer-aided manufacturing (CAD/CAM) has broadly been successfully employed in dentistry. The CAD/CAM systems have recently become commercially available for fabrication of complete dentures, and are considered as an alternative technique to conventionally processed acrylic resin bases. However, they have not yet been fully investigated. The purpose of this study was to inspect the effects of mechanical polishing and chemical polishing on the surface roughness (Ra) and contact angle (wettability) of heat-cured, auto-cured and CAD/CAM denture base acrylic resins. This study was conducted at the Advanced Dental Research Laboratory Center of King Abdulaziz University from March to June 2017. Three denture base materials were selected: heat cure poly-methylmethacrylate resin, thermoplastic (polyamide resin) and (CAD\\CAM) denture base resin. Sixty specimens were prepared and divided into three groups, twenty in each. Each group was divided according to the polishing techniques into (Mech P) and (Chem P), ten specimens in each; surface roughness and wettability were investigated. Data were analyzed by SPSS version 22, using one-way ANOVA and Pearson coefficient. One-way analysis of variance (ANOVA) and post hoc tests were used for comparing the surface roughness values between three groups which revealed a statistical significant difference between them (p 1 CAD\\CAM denture base material (group III) showed the least mean values (1.08±0.23, 1.39±0.31, Mech P and Chem P respectively). CAD/CAM showed the least contact angle in both polishing methods, which were statistically significant at 5% level (p=0.034 and pCAD\\CAM denture base resin with superior smooth surface compared to chemical polishing. Mechanical polishing is considered the best effective polishing technique. CAD/CAM denture base material should be considered as the material of choice for complete denture construction in the near future, especially for older dental

  11. Aggregation performance of CdO grains grown on surface of N silicon crystal

    International Nuclear Information System (INIS)

    Zhang Jizhong; Zhao Huan

    2010-01-01

    Four kinds of aggregation patterns of CdO grains were formed on the surface of N silicon substrate heated at 580 deg. C for 1 h in an evaporation-deposition device. They were ellipse-shaped or quasi-circular-shaped aggregate, long ribbon-shaped aggregate, long chain-shaped or long double-chain-shaped aggregate, and long ellipse-chain-shaped aggregate. These aggregates consisted of numerous grains or tiny crystals, and deposited on top of the CdO bush-like long crystal clusters grown earlier. They exhibited clearly spontaneous self-organization aggregation performance. Surface defects of the virgin N silicon crystal were analyzed, and mechanism of the self-organization aggregation was discussed with a defect induced aggregation (DIA) model.

  12. The effect of texture unit shape on silicon surface on the absorption properties

    Energy Technology Data Exchange (ETDEWEB)

    Hua, Xiao-She; Zhang, Yi-Jie; Wang, Hao-Wei [Institute of Ecological and Environmental Materials, School of Environmental Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2010-02-15

    Improving the utilization ratio of sunlight is a key factor for the development of solar cell. In this work, different structures including triangular pyramid, rectangular pyramid, hexangular pyramid and cone structure are established to investigate the influences of many factors, like geometrical shape, density and the top angle of the texture unit on silicon front surface to sunlight absorption. Ray-tracing technology is used for simulation. The simulation results indicate that the triangular pyramid texture on silicon front surface performs the best, and its total absorption rate is more than 90% for the light with wavelength between 640 and 1080 nm when the top angle of pyramid is less than 100 . (author)

  13. Comparison of Anti-Reflective Coated and Uncoated Surfaces Figured by Pitch-Polishing and Magneto-Rheological Processes

    International Nuclear Information System (INIS)

    Chow, R.; Thomas, M.D.; Bickel, R.; Taylor, J.R.

    2002-01-01

    When completed, the National Ignition Facility (NIF) will provide laser energies in the Mega-joule range. Successful pulse amplification to these extremely high levels requires that all small optics, found earlier in the beamline, have stringent surface and laser fluence requirements. In addition, they must operate reliably for 30 years constituting hundreds of thousands of shots. As part of the first four beamlines, spherical and aspherical lenses were required for the beam relaying telescopes. The magneto-rheological technique allows for faster and more accurate finishing of aspheres. The spherical and aspherical lenses were final figured using both conventional-pitch polishing processes for high quality laser optics and the magneto-rheological finishing process. The purpose of this paper is to compare the surface properties between these two finishing processes. Some lenses were set aside from production for evaluation. The surface roughness in the mid-frequency range was measured and the scatter was studied. Laser damage testing at 1064 nm (3-ns pulse width) was performed on surfaces in both the uncoated and coated condition.

  14. Evolution of arsenic in high fluence plasma immersion ion implanted silicon : Behavior of the as-implanted surface

    NARCIS (Netherlands)

    Vishwanath, V.; Demenev, E.; Giubertoni, D.; Vanzetti, L.; Koh, A. L.; Steinhauser, G.; Pepponi, G.; Bersani, M.; Meirer, F.; Foad, M. A.

    2015-01-01

    High fluence (>1015 ions/cm2) low-energy (3 + on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon

  15. Preparation and handling of surfaces for superconducting radio frequency cavities

    International Nuclear Information System (INIS)

    Bloess, D.

    1988-01-01

    Fortunately, surface treatment for s.c. cavities knows only one simple rule. If one observes this rule strictly one will be successful, if not, one will fail! The rule is CLEANLINESS. This means: clean material (high purity niobium without inclusions), clean (analytical grade) polishing chemicals and solvents, ultraclean (semiconductor grade) rinsing water, ultraclean (class 100) assembly environment. In general, if one applies the same working practice as the semiconductor industry, one will produce surfaces that are less clean than silicon wafers, due to the shape of the cavity (an inner surface is much more difficult to clean than a flat wafer); due to its size and due to the material (niobium is hydrophilic which makes the water with all the dirt in it stick to the surface). 9 references

  16. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  17. Using quantum dots to tag subsurface damage in lapped and polished glass samples

    International Nuclear Information System (INIS)

    Williams, Wesley B.; Mullany, Brigid A.; Parker, Wesley C.; Moyer, Patrick J.; Randles, Mark H.

    2009-01-01

    Grinding, lapping, and polishing are finishing processes used to achieve critical surface parameters in a variety of precision optical and electronic components. As these processes remove material from the surface through mechanical and chemical interactions, they may induce a damaged layer of cracks, voids, and stressed material below the surface. This subsurface damage (SSD) can degrade the performance of a final product by creating optical aberrations due to diffraction, premature failure in oscillating components, and a reduction in the laser induced damage threshold of high energy optics. As these defects lie beneath the surface, they are difficult to detect, and while many methods are available to detect SSD, they can have notable limitations regarding sample size and type, preparation time, or can be destructive in nature. The authors tested a nondestructive method for assessing SSD that consisted of tagging the abrasive slurries used in lapping and polishing with quantum dots (nano-sized fluorescent particles). Subsequent detection of fluorescence on the processed surface is hypothesized to indicate SSD. Quantum dots that were introduced to glass surfaces during the lapping process were retained through subsequent polishing and cleaning processes. The quantum dots were successfully imaged by both wide field and confocal fluorescence microscopy techniques. The detected fluorescence highlighted features that were not observable with optical or interferometric microscopy. Atomic force microscopy and additional confocal microscope analysis indicate that the dots are firmly embedded in the surface but do not appear to travel deep into fractures beneath the surface. Etching of the samples exhibiting fluorescence confirmed that SSD existed. SSD-free samples exposed to quantum dots did not retain the dots in their surfaces, even when polished in the presence of quantum dots.

  18. Facile surface modification of silicone rubber with zwitterionic polymers for improving blood compatibility

    International Nuclear Information System (INIS)

    Liu, Pingsheng; Chen, Qiang; Yuan, Bo; Chen, Mengzhou; Wu, Shishan; Lin, Sicong; Shen, Jian

    2013-01-01

    A facile approach to modify silicone rubber (SR) membrane for improving the blood compatibility was investigated. The hydrophobic SR surface was firstly activated by air plasma, after which an initiator was immobilized on the activated surface for atom transfer radical polymerization (ATRP). Three zwitterionic polymers were then grafted from SR membrane via surface-initiated atom transfer radical polymerization (SI-ATRP). The surface composition, wettability, and morphology of the membranes before and after modification were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (WCA) measurement, and atomic force microscopy (AFM). Results showed that zwitterionic polymers were successfully grafted from SR surfaces, which remarkably improved the wettability of the SR surface. The blood compatibility of the membranes was evaluated by protein adsorption and platelet adhesion tests in vitro. As observed, all the zwitterionic polymer modified surfaces have improved resistance to nonspecific protein adsorption and have excellent resistance to platelet adhesion, showing significantly improved blood compatibility. This work should inspire many creative uses of SR based materials for biomedical applications such as vessel, catheter, and microfluidics. Highlights: • Facile surface modification of silicone rubber with functional brushes • Modified SR surfaces have improved resistance to nonspecific protein adsorption. • Modified SR surfaces have excellent resistance to platelet adhesion. • Zwitteironic surface significant improvement in blood compatibility • Could inspire many creative uses of SR based materials for biomedical

  19. Facile surface modification of silicone rubber with zwitterionic polymers for improving blood compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Pingsheng [School of Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Chen, Qiang, E-mail: chem100@nju.edu.cn [School of Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); High Technology Research Institute of Nanjing University, Changzhou 213164 (China); Yuan, Bo; Chen, Mengzhou; Wu, Shishan; Lin, Sicong [School of Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Shen, Jian, E-mail: shenj1957@yahoo.com.cn [School of Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China)

    2013-10-15

    A facile approach to modify silicone rubber (SR) membrane for improving the blood compatibility was investigated. The hydrophobic SR surface was firstly activated by air plasma, after which an initiator was immobilized on the activated surface for atom transfer radical polymerization (ATRP). Three zwitterionic polymers were then grafted from SR membrane via surface-initiated atom transfer radical polymerization (SI-ATRP). The surface composition, wettability, and morphology of the membranes before and after modification were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (WCA) measurement, and atomic force microscopy (AFM). Results showed that zwitterionic polymers were successfully grafted from SR surfaces, which remarkably improved the wettability of the SR surface. The blood compatibility of the membranes was evaluated by protein adsorption and platelet adhesion tests in vitro. As observed, all the zwitterionic polymer modified surfaces have improved resistance to nonspecific protein adsorption and have excellent resistance to platelet adhesion, showing significantly improved blood compatibility. This work should inspire many creative uses of SR based materials for biomedical applications such as vessel, catheter, and microfluidics. Highlights: • Facile surface modification of silicone rubber with functional brushes • Modified SR surfaces have improved resistance to nonspecific protein adsorption. • Modified SR surfaces have excellent resistance to platelet adhesion. • Zwitteironic surface significant improvement in blood compatibility • Could inspire many creative uses of SR based materials for biomedical.

  20. Functional Median Polish

    KAUST Repository

    Sun, Ying

    2012-08-03

    This article proposes functional median polish, an extension of univariate median polish, for one-way and two-way functional analysis of variance (ANOVA). The functional median polish estimates the functional grand effect and functional main factor effects based on functional medians in an additive functional ANOVA model assuming no interaction among factors. A functional rank test is used to assess whether the functional main factor effects are significant. The robustness of the functional median polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science, including one-way and two-way ANOVA when functional data are either curves or images. Specifically, Canadian temperature data, U. S. precipitation observations and outputs of global and regional climate models are considered, which can facilitate the research on the close link between local climate and the occurrence or severity of some diseases and other threats to human health. © 2012 International Biometric Society.

  1. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Costa, Fabio Eduardo da; Silva, Julio Batista Rodrigues da

    2015-01-01

    This work used 5 silicon substrates, n-type with resistivity between 500-20,000 Ω.cm, with 12 mm diameter and 1 mm thickness, from Wacker - Chemitronic, Germany. To produce the surface barrier detectors, the substrates were first cleaned, then, they were etched with HNO 3 solution. After this, a deposition of suitable materials on the crystal was made, to produce the desired population inversion of the crystal characteristics. The substrates received a 10 mm diameter gold contact in one of the surfaces and a 5 mm diameter aluminum in the other. The curves I x V and the energy spectra for 28 keV and 59 keV, for each of the produced detectors, were measured. From the 5 substrates, 4 of them resulted in detectors and one did not present even diode characteristics. The results showed that the procedures used are suitable to produce detectors with this type of silicon substrates. (author)

  2. Modulated surface textures for enhanced scattering in thin-film silicon solar cells

    NARCIS (Netherlands)

    Isabella, O.; Battaglia, C.; Ballif, C.; Zeman, M.

    2012-01-01

    Nano-scale randomly textured front transparent oxides are superposed on micro-scale etched glass substrates to form modulated surface textures. The resulting enhanced light scattering is implemented in single and double junction thin-film silicon solar cells.

  3. Stress-induced light scattering method for the detection of latent flaws on fine polished glass substrates.

    Science.gov (United States)

    Sakata, Y; Sakai, K; Nonaka, K

    2014-08-01

    Fine polishing techniques, such as the chemical mechanical polishing treatment, are one of the most important technique to glass substrate manufacturing. Mechanical interaction in the form of friction occurs between the abrasive and the substrate surface during polishing, which may cause formation of latent flaws on the glass substrate surface. Fine polishing-induced latent flaws may become obvious during a subsequent cleaning process if glass surfaces are corroded away by chemical interaction with the cleaning liquid. Latent flaws thus reduce product yield. In general, non-destructive inspection techniques, such as the light-scattering methods, used to detect foreign matters on the glass substrate surface. However, it is difficult to detect latent flaws by these methods because the flaws remain closed. Authors propose a novel inspection technique for fine polishing-induced latent flaws by combining the light scattering method with stress effects, referred to as the stress-induced light scattering method (SILSM). SILSM is able to distinguish between latent flaws and particles on the surface. In this method, samples are deformed by an actuator and stress effects are induced around the tips of latent flaws. Due to the photoelastic effect, the refractive index of the material around the tip of a latent flaw is changed. This changed refractive index is in turn detected by a cooled charge-coupled device camera as variations in light scattering intensity. In this report, surface latent flaws are detected non-destructively by applying SILSM to glass substrates, and the utility of SILSM evaluated as a novel inspection technique.

  4. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation

    Directory of Open Access Journals (Sweden)

    Lei ZY

    2016-10-01

    Full Text Available Ze-yuan Lei, Ting Liu, Wei-juan Li, Xiao-hua Shi, Dong-li Fan Department of Plastic and Cosmetic Surgery, XinQiao Hospital, The Third Military Medical University, ChongQing, People’s Republic of China Purpose: Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C-ion implantation. Materials and methods: Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Results: Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR and patterned C-ion-implanted silicone rubber (PC-SR. Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR. The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less

  5. Radical Initiated Hydrosilylation on Silicon Nanocrystal Surfaces: An Evaluation of Functional Group Tolerance and Mechanistic Study.

    Science.gov (United States)

    Yang, Zhenyu; Gonzalez, Christina M; Purkait, Tapas K; Iqbal, Muhammad; Meldrum, Al; Veinot, Jonathan G C

    2015-09-29

    Hydrosilylation is among the most common methods used for modifying silicon surface chemistry. It provides a wide range of surface functionalities and effective passivation of surface sites. Herein, we report a systematic study of radical initiated hydrosilylation of silicon nanocrystal (SiNC) surfaces using two common radical initiators (i.e., 2,2'-azobis(2-methylpropionitrile) and benzoyl peroxide). Compared to other widely applied hydrosilylation methods (e.g., thermal, photochemical, and catalytic), the radical initiator based approach is particle size independent, requires comparatively low reaction temperatures, and yields monolayer surface passivation after short reaction times. The effects of differing functional groups (i.e., alkene, alkyne, carboxylic acid, and ester) on the radical initiated hydrosilylation are also explored. The results indicate functionalization occurs and results in the formation of monolayer passivated surfaces.

  6. Functional Median Polish

    KAUST Repository

    Sun, Ying; Genton, Marc G.

    2012-01-01

    polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science

  7. Time-dependent protection of ground and polished Cu using graphene film

    International Nuclear Information System (INIS)

    Dong, Yuhua; Liu, Qingqing; Zhou, Qiong

    2015-01-01

    Highlights: • Graphene was deposited on polished and ground Cu sheets by CVD. • Graphene films provide better protection to polished Cu for short time. • Multilayer graphene films provide better protection for short time. - Abstract: Graphene was deposited on Cu sheets with different morphologies by chemical vapor deposition. Scanning electron microscopy (SEM) analysis indicated that the morphology of the Cu sheet affected the graphene film properties. Electrochemical impedance spectroscopy measurements showed that the graphene film did not effectively protect Cu against corrosion because of prolonged exposure to ionic environments (3.5 wt.% NaCl solution). For short durations, graphene films provided better protection to polished Cu than ground Cu. Prolonged electrolyte immersion of graphene-coated Cu samples showed that the graphene film from the polished Cu surface was detached more easily than that from ground Cu

  8. Hydrogen-terminated mesoporous silicon monoliths with huge surface area as alternative Si-based visible light-active photocatalysts

    KAUST Repository

    Li, Ting; Li, Jun; Zhang, Qiang; Blazeby, Emma; Shang, Congxiao; Xu, Hualong; Zhang, Xixiang; Chao, Yimin

    2016-01-01

    Silicon-based nanostructures and their related composites have drawn tremendous research interest in solar energy storage and conversion. Mesoporous silicon with a huge surface area of 400-900 m2 g-1 developed by electrochemical etching exhibits

  9. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  10. Surface Passivation for Silicon Heterojunction Solar Cells

    NARCIS (Netherlands)

    Deligiannis, D.

    2017-01-01

    Silicon heterojunction solar cells (SHJ) are currently one of the most promising solar cell technologies in the world. The SHJ solar cell is based on a crystalline silicon (c-Si) wafer, passivated on both sides with a thin intrinsic hydrogenated amorphous silicon (a-Si:H) layer. Subsequently, p-type

  11. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  12. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  13. Effect of finishing and polishing on the color stability of a composite resin immersed in staining solutions

    Directory of Open Access Journals (Sweden)

    Maiara Justo Polli

    2015-01-01

    Full Text Available Objective: To evaluate the influence of finishing/polishing methods and staining solutions using different immersion periods on the color stability of a microhybrid composite resin. Materials and Methods: Ninety specimens were fabricated using a stainless steel mold and polyester strips. The samples were randomly divided into five groups according to the finishing and polishing performed: Control group (no surface treatment was performed, Diamond Pro group, Diamond burs group, Enhance group, and SiC paper group. After finishing and polishing, six samples from each group were immersed in coffee, red wine, or water for 30 days. The color measurements were obtained using digital photography before immersion and after 7, 15, and 30 days of immersion. The red, green, and blue values provided by the Adobe Photoshop software were converted into CIELab values. A three-way analysis of variance and Tukey's test were used for statistical analysis (P ≤ 0.05. Results: The finishing and polishing methods, staining solutions, immersion times, and their interaction had statistically significant effects on the color change (P = 0.00. Coffee and red wine caused intense staining. Among the polishing methods, the highest color change value was observed in the control group (P < 0.05 and the Diamond Pro disks provided the most stain-resistant surfaces (P ≤ 0.05. Conclusion: The finishing and polishing method, staining solution, and immersion time influences the color stability. Finishing and polishing should be applied to obtain a more stain-resistant surface.

  14. Ultrahigh-frequency surface acoustic wave generation for acoustic charge transport in silicon

    NARCIS (Netherlands)

    Büyükköse, S.; Vratzov, B.; van der Veen, Johan (CTIT); Santos, P.V.; van der Wiel, Wilfred Gerard

    2013-01-01

    We demonstrate piezo-electrical generation of ultrahigh-frequency surface acoustic waves on silicon substrates, using high-resolution UV-based nanoimprint lithography, hydrogen silsequioxane planarization, and metal lift-off. Interdigital transducers were fabricated on a ZnO layer sandwiched between

  15. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO{sub 2} laser polishing

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Hun-Kook [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Chosun University, Gwangju (Korea, Republic of); Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Jin-Tae [Chosun University, Gwangju (Korea, Republic of); Ahsan, Shamim [Khulna University, Khulna (Bangladesh)

    2014-11-15

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO{sub 2} laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO{sub 2} laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO{sub 2} laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  16. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Directory of Open Access Journals (Sweden)

    Yanping Yuan

    2016-02-01

    Full Text Available In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2 is used to irradiate multi-walled carbon nanotubes (MWCNTs on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM. For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation.

  17. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Science.gov (United States)

    Yuan, Yanping; Chen, Jimin

    2016-01-01

    In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2) is used to irradiate multi-walled carbon nanotubes (MWCNTs) on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM). For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation. PMID:28344293

  18. Surface modification on silicon with chitosan and biological research

    International Nuclear Information System (INIS)

    Lue Xiaoying; Cui Wei; Huang Yan; Zhao Yi; Wang Zhigong

    2009-01-01

    The aim of the present study was to investigate the effect of chitosan modification of silicon (Si) on protein adsorption, cell adhesion and cell proliferation. Chitosan was first immobilized on the Si surface through a (3-aminopropyl)triethoxysilane (APTES) bridge. The surface was then characterized by contact angle measurement, atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and energy dispersive x-ray spectroscopy (EDX). The amount of protein adsorbed on the native Si and chitosan-modified Si surface was evaluated by a modified Coomassie brilliant blue (CBB) protein assay. The adhesion and proliferation behavior of L-929 and pc12 cells were then assessed by microscopy and methylthiazoltetrazolium (MTT) tests. The results showed that the chitosan modification could resist protein adsorption and inhibit the adhesion and proliferation of two kinds of cells on Si.

  19. Structural and optical properties of surface-hydrogenated silicon nanocrystallites prepared by reactive pulsed laser ablation

    International Nuclear Information System (INIS)

    Makino, Toshiharu; Inada, Mitsuru; Umezu, Ikurou; Sugimura, Akira

    2005-01-01

    Pulsed laser ablation (PLA) in an inert background gas is a promising technique for preparing Si nanoparticles. Although an inert gas is appropriate for preparing pure material, a reactive background gas can be used to prepare compound nanoparticles. We performed PLA in hydrogen gas to prepare hydrogenated silicon nanoparticles. The mean diameter of the primary particles measured using transmission electron microscopy was approximately 5 nm. The hydrogen content in the deposits was very high and estimated to be about 20%. The infrared absorption corresponding to Si-H n (n = 1, 2, 3) bonds on the surface were observed at around 2100 cm -1 . The Raman scattering peak corresponding to crystalline Si was observed, and that corresponding to amorphous Si was negligibly small. These results indicate that the Si nanoparticles were not an alloy of Si and hydrogen but Si nanocrystallite (nc-Si) covered by hydrogen or hydrogenated amorphous silicon. This means that PLA in reactive H 2 gas is a promising technique for preparing surface passivated nc-Si. The deposition mechanism and optical properties of the surface passivated silicon nanocrystallites are discussed

  20. Hydrogen, oxygen and hydroxyl on porous silicon surface: A joint density-functional perturbation theory and infrared spectroscopy approach

    International Nuclear Information System (INIS)

    Alfaro, Pedro; Palavicini, Alessio; Wang, Chumin

    2014-01-01

    Based on the density functional perturbation theory (DFPT), infrared absorption spectra of porous silicon are calculated by using an ordered pore model, in which columns of silicon atoms are removed along the [001] direction and dangling bonds are initially saturated with hydrogen atoms. When these atoms on the pore surface are gradually replaced by oxygen ones, the ab-initio infrared absorption spectra reveal oxygen, hydroxyl, and coupled hydrogen–oxygen vibrational modes. In a parallel way, freestanding porous silicon samples were prepared by using electrochemical etching and they were further thermally oxidized in a dry oxygen ambient. Fourier transform infrared spectroscopy was used to investigate the surface modifications caused by oxygen adsorption. In particular, the predicted hydroxyl and oxygen bound to the silicon pore surface are confirmed. Finally, a global analysis of measured transmittance spectra has been performed by means of a combined DFPT and thin-film optics approach. - Highlights: • The density functional perturbation theory is used to study infrared absorption. • An ordered pore model is used to investigate the oxidation in porous silicon (PSi). • Infrared transmittance spectra of oxidized PSi freestanding samples are measured

  1. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces

    Science.gov (United States)

    Carr,; Jeffrey, W [Livermore, CA

    2009-03-31

    Fabrication apparatus and methods are disclosed for shaping and finishing difficult materials with no subsurface damage. The apparatus and methods use an atmospheric pressure mixed gas plasma discharge as a sub-aperture polisher of, for example, fused silica and single crystal silicon, silicon carbide and other materials. In one example, workpiece material is removed at the atomic level through reaction with fluorine atoms. In this example, these reactive species are produced by a noble gas plasma from trace constituent fluorocarbons or other fluorine containing gases added to the host argon matrix. The products of the reaction are gas phase compounds that flow from the surface of the workpiece, exposing fresh material to the etchant without condensation and redeposition on the newly created surface. The discharge provides a stable and predictable distribution of reactive species permitting the generation of a predetermined surface by translating the plasma across the workpiece along a calculated path.

  2. Dynamic surface deformation of silicone elastomers for management of marine biofouling: laboratory and field studies using pneumatic actuation.

    Science.gov (United States)

    Shivapooja, Phanindhar; Wang, Qiming; Szott, Lizzy M; Orihuela, Beatriz; Rittschof, Daniel; Zhao, Xuanhe; López, Gabriel P

    2015-01-01

    Many strategies have been developed to improve the fouling release (FR) performance of silicone coatings. However, biofilms inevitably build on these surfaces over time. Previous studies have shown that intentional deformation of silicone elastomers can be employed to detach biofouling species. In this study, inspired by the methods used in soft-robotic systems, controlled deformation of silicone elastomers via pneumatic actuation was employed to detach adherent biofilms. Using programmed surface deformation, it was possible to release > 90% of biofilm from surfaces in both laboratory and field environments. A higher substratum strain was required to remove biofilms accumulated in the field environment as compared with laboratory-grown biofilms. Further, the study indicated that substratum modulus influences the strain needed to de-bond biofilms. Surface deformation-based approaches have potential for use in the management of biofouling in a number of technological areas, including in niche applications where pneumatic actuation of surface deformation is feasible.

  3. Effect of grinding and polishing on roughness and strength of zirconia.

    Science.gov (United States)

    Khayat, Waad; Chebib, Najla; Finkelman, Matthew; Khayat, Samer; Ali, Ala

    2018-04-01

    The clinical applications of high-translucency monolithic zirconia restorations have increased. Chairside and laboratory adjustments of these restorations are inevitable, which may lead to increased roughness and reduced strength. The influence of grinding and polishing on high-translucency zirconia has not been investigated. The purpose of this in vitro study was to compare the roughness averages (Ra) of ground and polished zirconia and investigate whether roughness influenced strength after aging. High-translucency zirconia disks were milled, sintered, and glazed according to the manufacturer's recommendations. Specimens were randomized to 4 equal groups. Group G received only grinding; groups GPB and GPK received grinding and polishing with different polishing systems; and group C was the (unground) control group. All specimens were subjected to hydrothermal aging in an autoclave at 134°C at 200 kPa for 3 hours. Roughness average was measured using a 3-dimensional (3D) optical interferometer at baseline (Ra1), after grinding and polishing (Ra2), and after aging (Ra3). A biaxial flexural strength test was performed at a rate of 0.5 mm/min. Statistical analyses were performed using commercial software (α=.05). Group G showed a significantly higher mean value of Ra3 (1.96 ±0.32 μm) than polished and glazed groups (P.05). Compared with baseline, the roughness of groups G and GPB increased significantly after surface treatments and after aging, whereas aging did not significantly influence the roughness of groups GPK or C. Group G showed the lowest mean value of biaxial flexural strength (879.01 ±157.99 MPa), and the highest value was achieved by group C (962.40 ±113.84 MPa); no statistically significant differences were found among groups (P>.05). Additionally, no significant correlation was detected between the Ra and flexural strength of zirconia. Grinding increased the roughness of zirconia restorations, whereas proper polishing resulted in smoothness

  4. Health Information in Polish (polski)

    Science.gov (United States)

    ... Tools You Are Here: Home → Multiple Languages → Polish (polski) URL of this page: https://medlineplus.gov/languages/polish.html Health Information in Polish (polski) To use the sharing features on this page, ...

  5. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    International Nuclear Information System (INIS)

    Chen Yong; Luo Guanghong; Diao Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-01-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3xω Nd:YAG laser in air, SF 6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ∼2 μm in SF 6 gas and to ∼5 μm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (∼10x) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits

  6. Process induced sub-surface damage in mechanically ground silicon wafers

    International Nuclear Information System (INIS)

    Yang Yu; De Munck, Koen; Teixeira, Ricardo Cotrin; Swinnen, Bart; De Wolf, Ingrid; Verlinden, Bert

    2008-01-01

    Micro-Raman spectroscopy, scanning electron microcopy, atomic force microscopy and preferential etching were used to characterize the sub-surface damage induced by the rough and fine grinding steps used to make ultra-thin silicon wafers. The roughly and ultra-finely ground silicon wafers were examined on both the machined (1 0 0) planes and the cross-sectional (1 1 0) planes. They reveal similar multi-layer damage structures, consisting of amorphous, plastically deformed and elastically stressed layers. However, the thickness of each layer in the roughly ground sample is much higher than its counterpart layers in the ultra-finely ground sample. The residual stress after rough and ultra-fine grinding is in the range of several hundreds MPa and 30 MPa, respectively. In each case, the top amorphous layer is believed to be the result of sequential phase transformations (Si-I to Si-II to amorphous Si). These phase transformations correspond to a ductile grinding mechanism, which is dominating in ultra-fine grinding. On the other hand, in rough grinding, a mixed mechanism of ductile and brittle grinding causes multi-layer damage and sub-surface cracks

  7. IR and UV laser-induced morphological changes in silicon surface under oxygen atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Jimenez-Jarquin, J.; Fernandez-Guasti, M.; Haro-Poniatowski, E.; Hernandez-Pozos, J.L. [Laboratorio de Optica Cuantica, Departamento de Fisica, Universidad Autonoma Metropolitana-Iztapalapa, Av. San Rafael Atlixco No. 186, Col. Vicentina, C.P. 09340, Mexico D.F. (Mexico)

    2005-08-01

    We irradiated silicon (100) wafers with IR (1064 nm) and UV (355 nm) nanosecond laser pulses with energy densities within the ablation regime and used scanning electron microscopy to analyze the morphological changes induced on the Si surface. The changes in the wafer morphology depend both on the incident radiation wavelength and the environmental atmosphere. We have patterned Si surfaces with a single focused laser spot and, in doing the experiments with IR or UV this reveals significant differences in the initial surface cracking and pattern formation, however if the experiment is carried out in O{sub 2} the final result is an array of microcones. We also employed a random scanning technique to irradiate the silicon wafer over large areas, in this case the microstructure patterns consist of a ''semi-ordered'' array of micron-sized cones. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Creation of hydrophobic surfaces using a paint containing functionalized oxide particles

    Science.gov (United States)

    Sino, Paul Albert L.; Herrera, Marvin U.; Balela, Mary Donnabelle L.

    2017-05-01

    Hydrophobic surfaces were created by coating various substrates (aluminum sheet, soda-lime glass, silicon carbide polishing paper, glass with double-sided adhesive) with paint containing functionalized oxide particles. The paint was created by functionalizing oxide particles (ground ZnO, TiO2 nanoparticles, or TiO2 microparticles) with fluorosilane molecules in absolute ethanol. Water contact angle of samples shows that the coated substrate becomes hydrophobic (water contact angle ≥ 90°). Among the oxides that were used, ground ZnO yielded contact angle exemplifying superhydrophobicity (water contact angle ≥ 150°). Scanning electron micrograph of paint-containing TiO2 nanoparticles shows rough functionalized oxides structures which probably increase the hydrophobicity of the surface.

  9. Fabrication of wear-resistant silicon microprobe tips for high-speed surface roughness scanning devices

    Science.gov (United States)

    Wasisto, Hutomo Suryo; Yu, Feng; Doering, Lutz; Völlmeke, Stefan; Brand, Uwe; Bakin, Andrey; Waag, Andreas; Peiner, Erwin

    2015-05-01

    Silicon microprobe tips are fabricated and integrated with piezoresistive cantilever sensors for high-speed surface roughness scanning systems. The fabrication steps of the high-aspect-ratio silicon microprobe tips were started with photolithography and wet etching of potassium hydroxide (KOH) resulting in crystal-dependent micropyramids. Subsequently, thin conformal wear-resistant layer coating of aluminum oxide (Al2O3) was demonstrated on the backside of the piezoresistive cantilever free end using atomic layer deposition (ALD) method in a binary reaction sequence with a low thermal process and precursors of trimethyl aluminum and water. The deposited Al2O3 layer had a thickness of 14 nm. The captured atomic force microscopy (AFM) image exhibits a root mean square deviation of 0.65 nm confirming the deposited Al2O3 surface quality. Furthermore, vacuum-evaporated 30-nm/200-nm-thick Au/Cr layers were patterned by lift-off and served as an etch mask for Al2O3 wet etching and in ICP cryogenic dry etching. By using SF6/O2 plasma during inductively coupled plasma (ICP) cryogenic dry etching, micropillar tips were obtained. From the preliminary friction and wear data, the developed silicon cantilever sensor has been successfully used in 100 fast measurements of 5- mm-long standard artifact surface with a speed of 15 mm/s and forces of 60-100 μN. Moreover, the results yielded by the fabricated silicon cantilever sensor are in very good agreement with those of calibrated profilometer. These tactile sensors are targeted for use in high-aspect-ratio microform metrology.

  10. 60-inch annular pitch polisher for LASL's LASER-fusion effort

    International Nuclear Information System (INIS)

    Williamson, R.

    1978-01-01

    The Antares laser will require that about 100 high-precision NaCl windows of 18-in. diameter be produced, maintained, and repaired. To aid the industry in achieving the required production rates, a polishing development program was undertaken by LASL's Laser Division in collaboration with the Air Force and International Laser Systems. The design and initial shakedown of the polishing machine is described. Preliminary results indicate the machine's design is sound, its operation is generally simple, and it should be capable of finishing 18-in. NaCl to better than lambda/2 visible and 20-10 surface. Shakedown work with glass has demonstrated 0-0 surface, complete absence of edge roll, and lambda/16 over 12 in., and lambda/6 over 19 in

  11. Enhancement in photovoltaic properties of silicon solar cells by surface plasmon effect of palladium nanoparticles

    Science.gov (United States)

    Atyaoui, Malek; Atyaoui, Atef; Khalifa, Marwen; Elyagoubi, Jalel; Dimassi, Wissem; Ezzaouia, Hatem

    2016-04-01

    This work presents the surface Plasmon effect of Palladium nanoparticles (Pd NPs) on the photovoltaic properties of silicon solar cells. Pd NPs were deposited on the p-type silicon base of the n+/p junction using a chemical deposition method in an aqueous solution containing Palladium (II) Nitrate (PdNO3)2 and Ammonium Hydroxide (NH4OH) followed by a thermal treatment at 500 °C under nitrogen atmosphere. Chemical composition and surface morphology of the treated silicon base were examined by energy dispersive X-ray (EDX) spectroscopy, scanning electronic microscopy (SEM) and Atomic Force Microscopy (AFM). The effect of the deposited Pd NPs on the electrical properties was evaluated by the internal quantum efficiency (IQE) and current-voltage (I-V) measurements. The results indicate that the formation of the Pd NPs is accompanied by an enhanced light absorption and improved photovoltaic parameters.

  12. Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.

    Science.gov (United States)

    Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P

    2014-04-01

    Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.

  13. Polish Cartographical Review

    Directory of Open Access Journals (Sweden)

    Nedjeljko Frančula

    2017-07-01

    Full Text Available The Polish Cartographical Review (PCR journal has been published in English four times a year since 2015. The journal is in open access and it is published by De Gruyter Open. It is edited by Polish scientists in collaboration with international experts.

  14. Improved the Surface Roughness of Silicon Nanophotonic Devices by Thermal Oxidation Method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Zujun; Shao Shiqian; Wang Yi, E-mail: ywangwnlo@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, No. 1037, Luoyu Street, Wuhan 430074 (China)

    2011-02-01

    The transmission loss of the silicon-on-insulator (SOI) waveguide and the coupling loss of the SOI grating are determined to a large extent by the surface roughness. In order to obtain smaller loss, thermal oxidation is a good choice to reduce the surface roughness of the SOI waveguide and grating. Before the thermal oxidation, the root mean square of the surface roughness is over 11 nm. After the thermal oxidation, the SEM figure shows that the bottom of the grating is as smooth as quartz surface, while the AFM shows that the root mean square of the surface is less than 5 nm.

  15. Research on Grinding and Polishing Force Control of Compliant Flange

    Directory of Open Access Journals (Sweden)

    Li Chuang

    2015-01-01

    Full Text Available The automation of the grinding and polishing process is important to improve the production efficiency of the part surfaces. In this paper, a new compliant flange mounted on the end of the industrial robots for the robotic grinding and polishing force control is developed. With regard to the non-linear and time-varying problem of the contact force, the mathematical model of the new force control system was presented and the fuzzy PID control strategy was used to drive the proposed system. Especially, the air spring and electric proportional valve is studied to establish the model. The simulation results show that the selected control strategy has quick response and good robustness, which satisfies the real-time requirements of the grinding and polishing force control in processing.

  16. Effect of argon implantation on solid-state dewetting: control of size and surface density of silicon nanocrystals.

    Science.gov (United States)

    Almadori, Y; Borowik, Ł; Chevalier, N; Barbé, J-C

    2017-01-27

    Thermally induced solid-state dewetting of ultra-thin films on insulators is a process of prime interest, since it is capable of easily forming nanocrystals. If no particular treatment is performed to the film prior to the solid-state dewetting, it is already known that the size, the shape and the density of nanocrystals is governed by the initial film thickness. In this paper, we report a novel approach to control the size and the surface density of silicon nanocrystals based on an argon-implantation preliminary surface treatment. Using 7.5 nm thin layers of silicon, we show that increasing the implantation dose tends to form smaller silicon nanocrystals with diameter and height lower than 50 nm and 30 nm, respectively. Concomitantly, the surface density is increased by a factor greater than 20, going from 5 μm -2 to values over 100 μm -2 .

  17. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    Science.gov (United States)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  18. Bio-inspired water repellent surfaces produced by ultrafast laser structuring of silicon

    International Nuclear Information System (INIS)

    Barberoglou, M.; Zorba, V.; Stratakis, E.; Spanakis, E.; Tzanetakis, P.; Anastasiadis, S.H.; Fotakis, C.

    2009-01-01

    We report here an efficient method for preparing stable superhydrophobic and highly water repellent surfaces by irradiating silicon wafers with femtosecond laser pulses and subsequently coating them with chloroalkylsilane monolayers. By varying the laser pulse fluence on the surface one can successfully control its wetting properties via a systematic and reproducible variation of roughness at micro- and nano-scale, which mimics the topology of natural superhydrophobic surfaces. The self-cleaning and water repellent properties of these artificial surfaces are investigated. It is found that the processed surfaces are among the most water repellent surfaces ever reported. These results may pave the way for the implementation of laser surface microstructuring techniques for the fabrication of superhydrophobic and self-cleaning surfaces in different kinds of materials as well

  19. Development of surface perturbation target and thin silicon foil target used to research Rayleigh-Taylor instability in inertial confinement fusion experiment

    International Nuclear Information System (INIS)

    Zhou Bin; Sun Qi; Huang Yaodong; Shen Jun; Wu Guangming; Wang Jue

    2004-01-01

    The developments of the surface perturbation target and the thin silicon foil target used to research Rayleigh-Taylor instability in the resolved experiments of Inertial Confinement Fusion (ICF) are carried out. Based on the laser interference process combined with the figure-transfer process, the surface perturbation target with sine modulated perturbation is gotten, the wavelength is in the range of 20-100 μm and the amplitude is several micrometers. The thin silicon foil within the thickness about 3-4 μm is prepared by semiconductor process together with heavy-doped self-stop etching. Combined with ion beam etching, the check or the stripe patterns are transferred to the surface of thin silicon foils, and then the silicon grating foil is obtained

  20. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  1. Improved surface quality of anisotropically etched silicon {111} planes for mm-scale optics

    International Nuclear Information System (INIS)

    Cotter, J P; Hinds, E A; Zeimpekis, I; Kraft, M

    2013-01-01

    We have studied the surface quality of millimetre-scale optical mirrors produced by etching CZ and FZ silicon wafers in potassium hydroxide to expose the {111} planes. We find that the FZ surfaces have four times lower noise power at spatial frequencies up to 500 mm −1 . We conclude that mirrors made using FZ wafers have higher optical quality. (technical note)

  2. Solid state MEMS devices on flexible and semi-transparent silicon (100) platform

    KAUST Repository

    Ahmed, Sally; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa

    2014-01-01

    We report fabrication of MEMS thermal actuators on flexible and semi-transparent silicon fabric released from bulk silicon (100). We fabricated the devices first and then released the top portion of the silicon (≈ 19 μm) which is flexible and semi-transparent. We also performed chemical mechanical polishing to reuse the remaining wafer. A tested thermal actuator with 3 μm wide 240 μm hot arm and 10 μm wide 185 μm long cold arm deflected by 1.7 μm at 1 V. The fabricated thermal actuators exhibit similar performance before and after bending. We believe the demonstrated process will expand the horizon of flexible electronics into MEMS world devices. © 2014 IEEE.

  3. Polish-German bilingualism at school. A Polish perspective

    Directory of Open Access Journals (Sweden)

    Pulaczewska, Hanna

    2014-03-01

    Full Text Available This article presents the institutional frames for the acquisition of Polish literacy skills in Germany and the maintenance of Polish-German bilingualism after the repatriation of bilingual children to Poland. These processes are examined in the context of recent developments in the European domestic job market. While the European Union has placed proficiency in several languages among its educational objectives, and foreign languages have been made obligatory school subjects in all member countries, the potential advantages of internal European migrations for producing high-proficiency bilinguals are being ignored. Bilingualism resulting from migration and biculturalism enjoys little social prestige in the host countries. In Germany, there is significant regional variation in how school authorities react to challenges posed by the presence of minority languages. In many cases, the linguistic potential of many second-generation migrants and re-emigrants gets largely wasted because of lacking interest and incentives from German and Polish institutions alike.

  4. Reaction dynamics of molecular hydrogen on silicon surfaces

    DEFF Research Database (Denmark)

    Bratu, P.; Brenig, W.; Gross, A.

    1996-01-01

    of the preexponential factor by about one order of magnitude per lateral degree of freedom. Molecular vibrations have practically no effect on the adsorption/desorption dynamics itself, but lead to vibrational heating in desorption with a strong isotope effect. Ab initio calculations for the H-2 interaction...... between the two surfaces. These results indicate that tunneling, molecular vibrations, and the structural details of the surface play only a minor role for the adsorption dynamics. Instead, they appear to be governed by the localized H-Si bonding and Si-Si lattice vibrations. Theoretically, an effective......Experimental and theoretical results on the dynamics of dissociative adsorption and recombinative desorption of hydrogen on silicon are presented. Using optical second-harmonic generation, extremely small sticking probabilities in the range 10(-9)-10(-5) could be measured for H-2 and D-2 on Si(111...

  5. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  6. Super Polishing of Aluminum 6061-T6 Mirrors, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — An innovative 2D super-polishing process for Aluminum 6061-T6 planar mirrors which removes diamond point turning (DPT) grooves and attains rms surface finishes below...

  7. Wurtzite-Phased InP Micropillars Grown on Silicon with Low Surface Recombination Velocity.

    Science.gov (United States)

    Li, Kun; Ng, Kar Wei; Tran, Thai-Truong D; Sun, Hao; Lu, Fanglu; Chang-Hasnain, Connie J

    2015-11-11

    The direct growth of III-V nanostructures on silicon has shown great promise in the integration of optoelectronics with silicon-based technologies. Our previous work showed that scaling up nanostructures to microsize while maintaining high quality heterogeneous integration opens a pathway toward a complete photonic integrated circuit and high-efficiency cost-effective solar cells. In this paper, we present a thorough material study of novel metastable InP micropillars monolithically grown on silicon, focusing on two enabling aspects of this technology-the stress relaxation mechanism at the heterogeneous interface and the microstructure surface quality. Aberration-corrected transmission electron microscopy studies show that InP grows directly on silicon without any amorphous layer in between. A set of periodic dislocations was found at the heterointerface, relaxing the 8% lattice mismatch between InP and Si. Single crystalline InP therefore can grow on top of the fully relaxed template, yielding high-quality micropillars with diameters expanding beyond 1 μm. An interesting power-dependence trend of carrier recombination lifetimes was captured for these InP micropillars at room temperature, for the first time for micro/nanostructures. By simply combining internal quantum efficiency with carrier lifetime, we revealed the recombination dynamics of nonradiative and radiative portions separately. A very low surface recombination velocity of 1.1 × 10(3) cm/sec was obtained. In addition, we experimentally estimated the radiative recombination B coefficient of 2.0 × 10(-10) cm(3)/sec for pure wurtzite-phased InP. These values are comparable with those obtained from InP bulk. Exceeding the limits of conventional nanowires, our InP micropillars combine the strengths of both nanostructures and bulk materials and will provide an avenue in heterogeneous integration of III-V semiconductor materials onto silicon platforms.

  8. A novel vibration assisted polishing device based on the flexural mechanism driven by the piezoelectric actuators

    Directory of Open Access Journals (Sweden)

    Guilian Wang

    2018-01-01

    Full Text Available The vibration assisted polishing has widely application fields because of higher machining frequency and better polishing quality, especially the polishing with the non-resonant mode that is regarded as a kind of promising polishing method. This paper reports a novel vibration assisted polishing device, consisting of the flexible hinge mechanism driven by the piezoelectric actuators, which is suitable for polishing planes or curve surfaces with slow curvature. Firstly, the generation methods of vibration trajectory are investigated for the same frequency and different frequency signals’ inputs, respectively, and then the types of elliptic and Lissajous’s vibration trajectories are generated respectively. Secondly, a flexural mechanism consisting of the right circular flexible hinges and the leaf springs is developed to produce two-dimensional vibration trajectory. Statics and dynamics investigating of this flexible mechanism are finished in detail. The analytical models about input and output compliances of the flexural mechanism are established according to the matrix-based compliance modeling, and the dynamic model of the flexural mechanism based on the Euler-Lagrange equation is also presented. The finite element model of the flexural mechanism was established to carry out the numerical simulation in order to testify the rationality of device design. Finally, the polishing experiment is carried out to prove the effectiveness of the vibration device. The experimental results show that this novel vibration assisted polishing device developed in this study can remove more effectively the cutting marks left by last process and obviously reduce the workpiece surface roughness.

  9. Record high efficiency of screen-printed silicon aluminum back surface field solar cell: 20.29%

    Science.gov (United States)

    Kim, Ki Hyung; Park, Chang Sub; Doo Lee, Jae; Youb Lim, Jong; Yeon, Je Min; Kim, Il Hwan; Lee, Eun Joo; Cho, Young Hyun

    2017-08-01

    We have achieved a record high cell efficiency of 20.29% for an industrial 6-in. p-type monocrystalline silicon solar cell with a full-area aluminum back surface field (Al-BSF) by simply modifying the cell structure and optimizing the process with the existing cell production line. The cell efficiency was independently confirmed by the Solar Energy Research Institute of Singapore (SERIS). To increase the cell efficiency, for example, in four busbars, double printing, a lightly doped emitter with a sheet resistance of 90 to 100 Ω/□, and front surface passivation by using silicon oxynitride (SiON) on top of a silicon nitride (SiN x ) antireflection layer were adopted. To optimize front side processing, PC1D simulation was carried out prior to cell fabrication. The resulting efficiency gain is 0.64% compared with that in the reference cells with three busbars, a single antireflection coating layer, and a low-sheet-resistance emitter.

  10. Low-temperature wafer direct bonding of silicon and quartz glass by a two-step wet chemical surface cleaning

    Science.gov (United States)

    Wang, Chenxi; Xu, Jikai; Zeng, Xiaorun; Tian, Yanhong; Wang, Chunqing; Suga, Tadatomo

    2018-02-01

    We demonstrate a facile bonding process for combining silicon and quartz glass wafers by a two-step wet chemical surface cleaning. After a post-annealing at 200 °C, strong bonding interfaces with no defects or microcracks were obtained. On the basis of the detailed surface and bonding interface characterizations, the bonding mechanism was explored and discussed. The amino groups terminated on the cleaned surfaces might contribute to the bonding strength enhancement during the annealing. This cost-effective bonding process has great potentials for silicon- and glass-based heterogeneous integrations without requiring a vacuum system.

  11. Study of the thermal effect on silicon surface induced by ion beam from plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, Z., E-mail: pscientific5@aec.org.sy [Scientific Service Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Ahmad, M. [IBA Laboratory, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Chemistry Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Al-Hawat, Sh.; Akel, M. [Physics Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic)

    2017-04-01

    Structural modifications in form of ripples and cracks are induced by nitrogen ions from plasma focus on silicon surface. The investigation of such structures reveals correlation between ripples and cracks formation in peripheral region of the melt spot. The reason of such correlation and structure formation is explained as result of thermal effect. Melting and resolidification of the center of irradiated area occur within one micro second of time. This is supported by a numerical simulation used to investigate the thermal effect induced by the plasma focus ion beams on the silicon surface. This simulation provides information about the temperature profile as well as the dynamic of the thermal propagation in depth and lateral directions. In accordance with the experimental observations, that ripples are formed in latter stage after the arrival of last ion, the simulation shows that the thermal relaxation takes place in few microseconds after the end of the ion beam arrival. Additionally, the dependency of thermal propagation and relaxation on the distance of the silicon surface from the anode is presented.

  12. Nanoscale fabrication and characterization of chemically modified silicon surfaces using conductive atomic force microscopy in liquids

    Science.gov (United States)

    Kinser, Christopher Reagan

    This dissertation examines the modification and characterization of hydrogen-terminated silicon surfaces in organic liquids. Conductive atomic force microscope (cAFM) lithography is used to fabricate structures with sub-100 nm line width on H:Si(111) in n-alkanes, 1-alkenes, and 1-alkanes. Nanopatterning is accomplished by applying a positive (n-alkanes and 1-alkenes) or a negative (1-alkanes) voltage pulse to the silicon substrate with the cAFM tip connected to ground. The chemical and kinetic behavior of the patterned features is characterized using AFM, lateral force microscopy, time-of-flight secondary ion mass spectroscopy (TOF SIMS), and chemical etching. Features patterned in hexadecane, 1-octadecene, and undecylenic acid methyl ester exhibited chemical and kinetic behavior consistent with AFM field induced oxidation. The oxide features are formed due to capillary condensation of a water meniscus at the AFM tip-sample junction. A space-charge limited growth model is proposed to explain the observed growth kinetics. Surface modifications produced in the presence of neat 1-dodecyne and 1-octadecyne exhibited a reduced lateral force compared to the background H:Si(111) substrate and were resistant to a hydrofluoric acid etch, characteristics which indicate that the patterned features are not due to field induced oxidation and which are consistent with the presence of the methyl-terminated 1-alkyne bound directly to the silicon surface through silicon-carbon bonds. In addition to the cAFM patterned surfaces, full monolayers of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromoethyl ester (SAM-2) were grown on H:Si(111) substrates using ultraviolet light. The structure and chemistry of the monolayers were characterized using AFM, TOF SIMS, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR), X-ray standing waves (XSW), and X-ray fluorescence (XRF). These combined analyses provide evidence that SAM-1 and SAM-2 form dense monolayers

  13. Reduction of absorption loss in multicrystalline silicon via combination of mechanical grooving and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    Science.gov (United States)

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  15. Surface wettability of silicon substrates enhanced by laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, Shih-Feng [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China); Hsiao, Wen-Tse; Huang, Kuo-Cheng; Hsiao, Sheng-Yi [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); Chen, Ming-Fei [National Changhua University of Education, Department of Mechatronics Engineering, Changhua (China); Lin, Yung-Sheng [Hungkuang University, Department of Applied Cosmetology and Graduate Institute of Cosmetic Science, Taichung (China); Chou, Chang-Pin [National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China)

    2010-11-15

    Laser-ablation techniques have been widely applied for removing material from a solid surface using a laser-beam irradiating apparatus. This paper presents a surface-texturing technique to create rough patterns on a silicon substrate using a pulsed Nd:YAG laser system. The different degrees of microstructure and surface roughness were adjusted by the laser fluence and laser pulse duration. A scanning electron microscope (SEM) and a 3D confocal laser-scanning microscope are used to measure the surface micrograph and roughness of the patterns, respectively. The contact angle variations between droplets on the textured surface were measured using an FTA 188 video contact angle analyzer. The results indicate that increasing the values of laser fluence and laser pulse duration pushes more molten slag piled around these patterns to create micro-sized craters and leads to an increase in the crater height and surface roughness. A typical example of a droplet on a laser-textured surface shows that the droplet spreads very quickly and almost disappears within 0.5167 s, compared to a contact angle of 47.9 on an untextured surface. This processing technique can also be applied to fabricating Si solar panels to increase the absorption efficiency of light. (orig.)

  16. Enhanced performance of solar cells with optimized surface recombination and efficient photon capturing via anisotropic-etching of black silicon

    International Nuclear Information System (INIS)

    Chen, H. Y.; Peng, Y.; Hong, M.; Zhang, Y. B.; Cai, Bin; Zhu, Y. M.; Yuan, G. D.; Zhang, Y.; Liu, Z. Q.; Wang, J. X.; Li, J. M.

    2014-01-01

    We report an enhanced conversion efficiency of femtosecond-laser treated silicon solar cells by surface modification of anisotropic-etching. The etching improves minority carrier lifetime inside modified black silicon area substantially; moreover, after the etching, an inverted pyramids/upright pyramids mixed texture surface is obtained, which shows better photon capturing capability than that of conventional pyramid texture. Combing of these two merits, the reformed solar cells show higher conversion efficiency than that of conventional pyramid textured cells. This work presents a way for fabricating high performance silicon solar cells, which can be easily applied to mass-production

  17. Ductile cutting of silicon microstructures with surface inclination measurement and compensation by using a force sensor integrated single point diamond tool

    International Nuclear Information System (INIS)

    Chen, Yuan-Liu; Cai, Yindi; Shimizu, Yuki; Ito, So; Gao, Wei; Ju, Bing-Feng

    2016-01-01

    This paper presents a measurement and compensation method of surface inclination for ductile cutting of silicon microstructures by using a diamond tool with a force sensor based on a four-axis ultra-precision lathe. The X- and Y-directional inclinations of a single crystal silicon workpiece with respect to the X- and Y-motion axes of the lathe slides were measured respectively by employing the diamond tool as a touch-trigger probe, in which the tool-workpiece contact is sensitively detected by monitoring the force sensor output. Based on the measurement results, fabrication of silicon microstructures can be thus carried out directly along the tilted silicon workpiece by compensating the cutting motion axis to be parallel to the silicon surface without time-consuming pre-adjustment of the surface inclination or turning of a flat surface. A diamond tool with a negative rake angle was used in the experiment for superior ductile cutting performance. The measurement precision by using the diamond tool as a touch-trigger probe was investigated. Experiments of surface inclination measurement and ultra-precision ductile cutting of a micro-pillar array and a micro-pyramid array with inclination compensation were carried out respectively to demonstrate the feasibility of the proposed method. (paper)

  18. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  19. Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN

    Directory of Open Access Journals (Sweden)

    Piotr Žmigrodzki

    2014-12-01

    Full Text Available The paper describes a lexicographical project involving the development of the newest general dictionary of the Polish language: the Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN]. The project is coordinated by the Institute of Polish Language at the Polish Academy of Sciences and carried out in collaboration with linguists and lexicographers from several other Polish academic centres. The paper offers a brief description of the genesis of the project and the scope of information included in the dictionary, the organisation of work, the life of the dictionary on the Web as well as the plans for the future.

  20. Excitation of nanowire surface plasmons by silicon vacancy centers in nanodiamonds

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Davydov, Valery A.; Agafonov, Viatcheslav N.

    2017-01-01

    Silicon vacancy (SiV) centers in diamonds have emerged as a very promising candidate for quantum emitters due to their narrow emission line resulting in their indistinguishability. While many different quantum emitters have already been used for the excitation of various propagating plasmonic modes......, the corresponding exploitation of SiV centers has remained so far uncharted territory. Here, we report on the excitation of surface plasmon modes supported by silver nanowires using SiV centers in nanodiamonds. The coupling of SiV center fluorescence to surface plasmons is observed, when a nanodiamond situated...

  1. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  2. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  3. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  4. Polish Higher Education: Intersectoral Distinctiveness

    Science.gov (United States)

    Musial, Joanna

    2014-01-01

    This study analyzes degrees of differences between the private and public sectors of Polish higher education. It finds them to be strong: Polish private institutions function very differently from Polish public institutions and these differences correspond with those found in the literature on higher education elsewhere in the world. Polish…

  5. Surface modification of silicon wafer by grafting zwitterionic polymers to improve its antifouling property

    Science.gov (United States)

    Sun, Yunlong; Chen, Changlin; Xu, Heng; Lei, Kun; Xu, Guanzhe; Zhao, Li; Lang, Meidong

    2017-10-01

    Silicon (111) wafer was modified by triethoxyvinylsilane containing double bond as an intermedium, and then P4VP (polymer 4-vinyl pyridine) brush was "grafted" onto the surface of silicon wafer containing reactive double bonds by adopting the "grafting from" way and Si-P4VP substrate (silicon wafer grafted by P4VP) was obtained. Finally, P4VP brush of Si-P4VP substrate was modified by 1,3-propanesulfonate fully to obtain P4VP-psl brush (zwitterionic polypyridinium salt) and the functional Si-P4VP-psl substrate (silicon wafer grafted by zwitterionic polypyridinium salt based on polymer 4-vinyl pyridine) was obtained successfully. The antifouling property of the silicon wafer, the Si-P4VP substrate and the Si-P4VP-psl substrate was investigated by using bovine serum albumin, mononuclear macrophages (RAW 264.7) and Escherichia coli (E. coli) ATTC25922 as model bacterium. The results showed that compared with the blank sample-silicon wafer, the Si-P4VP-psl substrate had excellent anti-adhesion ability against bovine serum albumin, cells and bacterium, due to zwitterionic P4VP-psl brush (polymer 4-vinyl pyridine salt) having special functionality like antifouling ability on biomaterial field.

  6. Edges in CNC polishing: from mirror-segments towards semiconductors, paper 1: edges on processing the global surface.

    Science.gov (United States)

    Walker, David; Yu, Guoyu; Li, Hongyu; Messelink, Wilhelmus; Evans, Rob; Beaucamp, Anthony

    2012-08-27

    Segment-edges for extremely large telescopes are critical for observations requiring high contrast and SNR, e.g. detecting exo-planets. In parallel, industrial requirements for edge-control are emerging in several applications. This paper reports on a new approach, where edges are controlled throughout polishing of the entire surface of a part, which has been pre-machined to its final external dimensions. The method deploys compliant bonnets delivering influence functions of variable diameter, complemented by small pitch tools sized to accommodate aspheric mis-fit. We describe results on witness hexagons in preparation for full size prototype segments for the European Extremely Large Telescope, and comment on wider applications of the technology.

  7. Surface characterization of current composites after toothbrush abrasion.

    Science.gov (United States)

    Takahashi, Rena; Jin, Jian; Nikaido, Toru; Tagami, Junji; Hickel, Reinhard; Kunzelmann, Karl-Heinz

    2013-01-01

    The present study was designed to evaluate the surface roughness and the gloss of current composites before and after toothbrush abrasion. We assessed forty dimensionally standardized composite specimens (n=8/group) from five composites: two nanohybrids (i. e., IPS Empress Direct Enamel and IPS Empress Direct Dentin), two microhybrids (i. e., Clearfil AP-X and Filtek Z250) and one organically modified ceramics (Admira). All of the specimens were polished with 4000-grid silicon carbide papers. Surface roughness was measured with a profilometer and gloss was measured with a glossmeter before and after powered toothbrush abrasion with a 1:1 slurry (dentifrice/tap water) at 12,000 strokes in a toothbrush simulator. There was a significant increase in the surface roughness and a reduction in gloss after toothbrush abrasion in all of the composites except Clearfil AP-X (pgloss (R(2)=0.191, p<0.001).

  8. Elastic emission polishing

    Energy Technology Data Exchange (ETDEWEB)

    Loewenthal, M.; Loseke, K.; Dow, T.A.; Scattergood, R.O.

    1988-12-01

    Elastic emission polishing, also called elastic emission machining (EEM), is a process where a stream of abrasive slurry is used to remove material from a substrate and produce damage free surfaces with controlled surface form. It is a noncontacting method utilizing a thick elasto-hydrodynamic film formed between a soft rotating ball and the workpiece to control the flow of the abrasive. An apparatus was built in the Center, which consists of a stationary spindle, a two-axis table for the workpiece, and a pump to circulate the working fluid. The process is controlled by a programmable computer numerical controller (CNC), which presently can operate the spindle speed and movement of the workpiece in one axis only. This apparatus has been used to determine material removal rates on different material samples as a function of time, utilizing zirconium oxide (ZrO{sub 2}) particles suspended in distilled water as the working fluid. By continuing a study of removal rates the process should become predictable, and thus create a new, effective, yet simple tool for ultra-precision mechanical machining of surfaces.

  9. Hydrogen-terminated mesoporous silicon monoliths with huge surface area as alternative Si-based visible light-active photocatalysts

    KAUST Repository

    Li, Ting

    2016-07-21

    Silicon-based nanostructures and their related composites have drawn tremendous research interest in solar energy storage and conversion. Mesoporous silicon with a huge surface area of 400-900 m2 g-1 developed by electrochemical etching exhibits excellent photocatalytic ability and stability after 10 cycles in degrading methyl orange under visible light irradiation, owing to its unique mesoporous network, abundant surface hydrides and efficient light harvesting. This work showcases the profound effects of surface area, crystallinity, pore topology on charge migration/recombination and mass transportation. Therein the ordered 1D channel array has outperformed the interconnected 3D porous network by greatly accelerating the mass diffusion and enhancing the accessibility of the active sites on the extensive surfaces. © 2016 The Royal Society of Chemistry.

  10. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    Science.gov (United States)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-04-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of harvester and

  11. Synthesis of thermoresponsive poly(N-isopropylacrylamide) brush on silicon wafer surface via atom transfer radical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Turan, Eylem; Demirci, Serkan [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey); Caykara, Tuncer, E-mail: caykara@gazi.edu.t [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey)

    2010-08-31

    Thermoresponsive poly(N-isopropylacrylamide) [poly(NIPAM)] brush on silicon wafer surface was prepared by combining the self-assembled monolayer of initiator and atom transfer radical polymerization (ATRP). The resulting polymer brush was characterized by in situ reflectance Fourier transform infrared spectroscopy, atomic force microscopy and ellipsometry techniques. Gel permeation chromatography determination of the number-average molecular weight and polydispersity index of the brush detached from the silicon wafer surface suggested that the surface-initiated ATRP method can provide relatively homogeneous polymer brush. Contact angle measurements exhibited a two-stage increase upon heating over the board temperature range 25-45 {sup o}C, which is in contrast to the fact that free poly(NIPAM) homopolymer in aqueous solution exhibits a phase transition at ca. 34 {sup o}C within a narrow temperature range. The first de-wetting transition takes place at 27 {sup o}C, which can be tentatively attributed to the n-cluster induced collapse of the inner region of poly(NIPAM) brush close to the silicon surface; the second de-wetting transition occurs at 38 {sup o}C, which can be attributed to the outer region of poly(NIPAM) brush, possessing much lower chain density compared to that of the inner part.

  12. The behavior of silicon and boron in the surface of corroded nuclear waste glasses: an EFTEM study

    International Nuclear Information System (INIS)

    Buck, E. C.; Smith, K. L.; Blackford, M. G.

    1999-01-01

    Using electron energy-loss filtered transmission electron microscopy (EFTEM), we have observed the formation of silicon-rich zones on the corroded surface of a West Valley (WV6) glass. This layer is approximately 100-200 nm thick and is directly underneath a precipitated smectite clay layer. Under conventional (C)TEM illumination, this layer is invisible; indeed, more commonly used analytical techniques, such as x-ray energy dispersive spectroscopy (EDS), have failed to describe fully the localized changes in the boron and silicon contents across this region. Similar silicon-rich and boron-depleted zones were not found on corroded Savannah River Laboratory (SRL) borosilicate glasses, including SRL-EA and SRL-51, although they possessed similar-looking clay layers. This study demonstrates a new tool for examining the corroded surfaces of materials

  13. Development of silicon growth techniques from melt with surface heating

    Science.gov (United States)

    Kravtsov, Anatoly

    2018-05-01

    The paper contains literary and personal data on the development history of silicon-growing technology with volumetric and surface melt heating. It discusses the advantages and disadvantages of surface-heating technology. Examples are given of the implementation of such processes in the 60s-70s of the last century, and the reasons for the discontinuation of the relevant work. It describes the main solutions for the implementation of crystal growth process with the electron-beam heating of the melt surface, implemented by KEPP EU (Latvia). It discusses differences in the management of the growth process for the crystals with constant diameters compared to the Czochralski method. It lists geometrical and electro-physical properties of the obtained crystals. It describes the possible use of such crystals and the immediate challenges of technology development.

  14. Reducing the Edge Chipping for Capillary End Face Grinding and Polishing

    Directory of Open Access Journals (Sweden)

    Hošek J.

    2013-05-01

    Full Text Available This paper presents results of glass capillary end face grinding and polishing by approach that reduces the edge chipping. Brittle materials have natural tendency for edge chipping what leads to beveling the sharp edges. Not beveled sharp edges on glass capillary are important for special applications like surface tension measurement of small liquid samples. We use common grinding and polishing process for capillary end face machining modified with gradual decreasing of grinding load based on the relation of the critical chipping load. Achieved surface roughness is measured using atomic force microscopy (AFM. Capillary inner edge quality is checked both with optical microscopes and electron microscope too. We achieved a non-chipped capillary inner edge with radius down to 100 nm.

  15. Wear of Polished Steel Surfaces in Dry Friction Linear Contact on Polimer Composites with Glass Fibres

    Directory of Open Access Journals (Sweden)

    D. Rus

    2013-12-01

    Full Text Available It is generally known that the friction and wear between polymers and polished steel surfaces has a special character, the behaviour to friction and wear of a certain polymer might not be valid for a different polymer, moreover in dry friction conditions. In this paper, we study the reaction to wear of certain polymers with short glass fibres on different steel surfaces, considering the linear friction contact, observing the friction influence over the metallic surfaces wear. The paper includes also its analysis over the steel’s wear from different points of view: the reinforcement content influence and tribological parameters (load, contact pressure, sliding speed, contact temperature, etc.. Thus, we present our findings related to the fact that the abrasive component of the friction force is more significant than the adhesive component, which generally is specific to the polymers’ friction. Our detections also state that, in the case of the polyamide with 30% glass fibres, the steel surface linear wear rate order are of 10-4 mm/h, respectively the order of volumetric wear rate is of 10-6 cm3 /h. The resulting volumetric wear coefficients are of the order (10-11 – 10-12 cm3/cm and respectively linear wear coefficients of 10-9 mm/cm.

  16. R&D progress in SRF surface preparation with centrifugal barrel polishing (cbp) for both Nb and Cu

    Energy Technology Data Exchange (ETDEWEB)

    Palczewski, Ari [JLAB

    2013-09-01

    Centrifugal Barrel polishing (CBP) is becoming a common R&D tool for SRF cavity preparation around the world. During the CBP process a cylindrically symmetric SRF cavity is filled with relatively cheap and environmentally friendly abrasive and sealed. The cavity is then spun around a cylindrically symmetric axis at high speeds uniformly conditioning the inner surface. This uniformity is especially relevant for SRF application because many times a single manufacturing defects limits cavity?s performance well below it?s theoretical limit. In addition CBP has created surfaces with roughness?s on the order of 10?s of nm which create a unique surface for wet chemistry or thin film deposition. CBP is now being utilized at Jefferson Laboratory, Fermi Laboratory and Cornell University in the US, Deutsches Elektronen-Synchrotron in Germany, Laboratori Nazionali di Legnaro in Italy, and Raja Ramanna Centre for Advanced Technology in India. In this talk we will present current CBP research from each lab including equipment, baseline recipes, cavity removal rates and subsequent cryogenic cavity tests on niobium as well as copper cavities where available.

  17. Diagnostic x-ray spectra measurements using a silicon surface barrier detector

    International Nuclear Information System (INIS)

    Pani, R.; Laitano, R.F.

    1987-01-01

    A silicon surface barrier detector having a low efficiency for x-ray is used to analyse diagnostic x-ray spectra. This characteristic is advantageous in overcoming experimental problems caused by high fluence rates typical of diagnostic x-ray beams. The pulse height distribution obtained with silicon surface barrier detectors is very different from the true photon spectra because of the presence of escaped Compton photons and the fact that detection efficiency falls abruptly when photon energy increases. A detailed analysis of the spurious effects involved in detection is made by a Monte Carlo method. A stripping procedure is described for implementation on a personal computer. The validity of this method is tested by comparison with experimental results obtained with a Ge detector. The spectra obtained with the Si detector are in fairly good agreement with the analogous spectra measured with a Ge detector. The advantages of using Si as opposed to Ge detectors in x-ray spectrometry are: its simplicity of use, its greater economy for use in routine diagnostic x-ray spectroscopy and the possibility that the stripping procedure can be implemented on a personal computer. (author)

  18. Polish visit

    CERN Document Server

    2003-01-01

    On 6 October, Professor Michal Kleiber, Polish Minister of Science and Chairman of the State Committee for Scientific Research, visited CERN and met both the current and designated Director General, Luciano Maiani and Robert Aymar. Professor Kleiber visited the CMS and ATLAS detector assembly halls, the underground cavern for ATLAS, and the LHC superconducting magnet string test hall. Michal Kleiber (left), Polish minister of science and Jan Krolikowski, scientist at Warsaw University and working for CMS, who shows the prototypes of the Muon Trigger board of CMS.

  19. Confocal Raman spectrocopy for the analysis of nail polish evidence.

    Science.gov (United States)

    López-López, Maria; Vaz, Joana; García-Ruiz, Carmen

    2015-06-01

    Nail polishes are cosmetic paints that may be susceptible of forensic analysis offering useful information to assist in a crime reconstruction. Although the nail polish appearance could allow a quick visual identification of the sample, this analysis is subjected to the perception and subjective interpretation of the forensic examiner. The chemical analysis of the nail polishes offers great deal of information not subjected to analyst interpretation. Confocal Raman spectroscopy is a well-suited technique for the analysis of paints due to its non-invasive and non-destructive nature and its ability to supply information about the organic and inorganic components of the sample. In this work, 77 regular and gel nail polishes were analyzed with confocal Raman spectroscopy using two laser wavelengths (532 and 780 nm). The sample behavior under the two laser wavelengths and the differences in the spectra taken at different points of the sample were studied for each nail polish. Additionally, the spectra obtained for all the nail polishes were visually compared. The results concluded that the longer laser wavelength prevents sample burning and fluorescence effects; the similarity among the spectra collected within the sample is not directly related with the presence of glitter particles; and 64% of the samples analyzed showed a characteristic spectrum. Additionally, the use of confocal Raman spectroscopy for the forensic analysis of nail polishes evidence in the form of flakes or smudges on different surfaces were studied. The results showed that both types of evidence can be analyzed by the technique. Also, two non-invasive sampling methods for the collection of the evidence from the nails of the suspect or the victim were proposed: (i) to use acetone-soaked cotton swabs to remove the nail varnishes and (ii) to scrape the nail polish from the nail with a blade. Both approaches, each exhibiting advantages and drawbacks in terms of transport and handling were appropriate

  20. Performance improvement of silicon solar cells by nanoporous silicon coating

    Directory of Open Access Journals (Sweden)

    Dzhafarov T. D.

    2012-04-01

    Full Text Available In the present paper the method is shown to improve the photovoltaic parameters of screen-printed silicon solar cells by nanoporous silicon film formation on the frontal surface of the cell using the electrochemical etching. The possible mechanisms responsible for observed improvement of silicon solar cell performance are discussed.

  1. Comparative analysis of germanium-silicon quantum dots formation on Si(100), Si(111) and Sn/Si(100) surfaces

    Science.gov (United States)

    Lozovoy, Kirill; Kokhanenko, Andrey; Voitsekhovskii, Alexander

    2018-02-01

    In this paper theoretical modeling of formation and growth of germanium-silicon quantum dots in the method of molecular beam epitaxy (MBE) on different surfaces is carried out. Silicon substrates with crystallographic orientations (100) and (111) are considered. Special attention is paid to the question of growth of quantum dots on the silicon surface covered by tin, since germanium-silicon-tin system is extremely important for contemporary nano- and optoelectronics: for creation of photodetectors, solar cells, light-emitting diodes, and fast-speed transistors. A theoretical approach for modeling growth processes of such semiconductor compounds during the MBE is presented. Both layer-by-layer and island nucleation stages in the Stranski-Krastanow growth mode are described. A change in free energy during transition of atoms from the wetting layer to an island, activation barrier of the nucleation, critical thickness of 2D to 3D transition, as well as surface density and size distribution function of quantum dots in these systems are calculated with the help of the established model. All the theoretical speculations are carried out keeping in mind possible device applications of these materials. In particular, it is theoretically shown that using of the Si(100) surface covered by tin as a substrate for Ge deposition may be very promising for increasing size homogeneity of quantum dot array for possible applications in low-noise selective quantum dot infrared photodetectors.

  2. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  3. Correlating the silicon surface passivation to the nanostructure of low-temperature a-Si:H after rapid thermal annealing

    NARCIS (Netherlands)

    Macco, B.; Melskens, J.; Podraza, N.J.; Arts, K.; Pugh, C.; Thomas, O.; Kessels, W.M.M.

    2017-01-01

    Using an inductively coupled plasma, hydrogenated amorphous silicon (a-Si:H) films have been prepared at very low temperatures (<50 °C) to provide crystalline silicon (c-Si) surface passivation. Despite the limited nanostructural quality of the a-Si:H bulk, a surprisingly high minority carrier

  4. Surface effects on the thermal conductivity of silicon nanowires

    Science.gov (United States)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  5. Mineral-produced high-pressure striae and clay polish: Key evidence for nonballistic transport of ejecta from Ries crater

    Science.gov (United States)

    Chao, E.C.T.

    1976-01-01

    Recently discovered mineral-produced, deeply incised striae and mirror-like polish on broken surfaces of limestone fragments from the sedimentary ejecta of the Ries impact crater of southern Germany are described. The striae and polish were produced under high confining pressures during high-velocity nonballistic transport of the ejecta mass within the time span of the cratering event (measured in terms of seconds). The striae on these fragments were produced by scouring by small mineral grains embedded in the surrounding clay matrix, and the polish was formed under the same condition, by movements of relatively fragment-free clay against the fragment surfaces. The occurrence of these striae and polish is key evidence for estimating the distribution and determining the relative importance of nonballistic and ballistic transport of ejecta from the shallow Ries stony meteorite impact crater.

  6. Chemical mechanical glass polishing with cerium oxide: Effect of selected physico-chemical characteristics on polishing efficiency

    Czech Academy of Sciences Publication Activity Database

    Janoš, P.; Ederer, J.; Pilařová, V.; Henych, Jiří; Tolasz, Jakub; Milde, D.; Opletal, T.

    2016-01-01

    Roč. 362, SEP (2016), s. 114-120 ISSN 0043-1648 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Chemical mechanical polishing * Ceria-based polishing powders * Polishing efficienc Subject RIV: CA - Inorganic Chemistry Impact factor: 2.531, year: 2016

  7. Summary of Synthetic Lap Polishing Experiments at LLNL, FY95

    International Nuclear Information System (INIS)

    Nichols, M A

    2001-01-01

    The purpose of this research was to support the optics finishing development work for the NIF, the National Ignition Facility. One of the major expenses for the construction of NIF is the cost of finishing of the large aperture optics. One way to significantly reduce the cost of the project is to develop processes to reduce the amount of time necessary to polish the more than 3,000 amplifier slabs. These slabs are rectangular with an aspect ratio of more than twenty to one and are made of a very temperature sensitive glass, Nd doped phosphate laser glass. As a result of this effort, we could potentially reduce the time necessary to polish each surface of an amplifier from 20-30 hours of run time to under an hour to achieve the same removal and still maintain a flatness of between one to three waves concave figure. We also feel confident that we can polish rectangular thermally sensitive glass flat by use of temperature control of the polishing platen, pad curvature, slurry concentration with temperature control, pad rotation, and pressure; although further, larger scale experiments are necessary to gain sufficient confidence that such a procedure could be successfully fielded

  8. Evaluation of two disinfection/sterilization methods on silicon rubber-based composite finishing instruments.

    Science.gov (United States)

    Lacerda, Vánia A; Pereira, Leandro O; Hirata JUNIOR, Raphael; Perez, Cesar R

    2015-12-01

    To evaluate the effectiveness of disinfection/sterilization methods and their effects on polishing capacity, micomorphology, and composition of two different composite fiishing and polishing instruments. Two brands of finishing and polishing instruments (Jiffy and Optimize), were analyzed. For the antimicrobial test, 60 points (30 of each brand) were used for polishing composite restorations and submitted to three different groups of disinfection/sterilization methods: none (control), autoclaving, and immersion in peracetic acid for 60 minutes. The in vitro tests were performed to evaluate the polishing performance on resin composite disks (Amelogen) using a 3D scanner (Talyscan) and to evaluate the effects on the points' surface composition (XRF) and micromorphology (MEV) after completing a polishing and sterilizing routine five times. Both sterilization/disinfection methods were efficient against oral cultivable organisms and no deleterious modification was observed to point surface.

  9. Optical microcavities based on surface modes in two-dimensional photonic crystals and silicon-on-insulator photonic crystals

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Qiu, M.

    2007-01-01

    Surface-mode optical microcavities based on two-dimensional photonic crystals and silicon-on-insulator photonic crystals are studied. We demonstrate that a high-quality-factor microcavity can be easily realized in these structures. With an increasing of the cavity length, the quality factor is gr...... is gradually enhanced and the resonant frequency converges to that of the corresponding surface mode in the photonic crystals. These structures have potential applications such as sensing.......Surface-mode optical microcavities based on two-dimensional photonic crystals and silicon-on-insulator photonic crystals are studied. We demonstrate that a high-quality-factor microcavity can be easily realized in these structures. With an increasing of the cavity length, the quality factor...

  10. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  11. Microstructure and initial growth characteristics of the low temperature microcrystalline silicon films on silicon nitride surface

    International Nuclear Information System (INIS)

    Park, Young-Bae; Rhee, Shi-Woo

    2001-01-01

    Microstructure and initial growth characteristics of the hydrogenated microcrystalline Si (μc-Si:H) films grown on hydrogenated amorphous silicon nitride (a-SiN x :H) surface at low temperature were investigated using high resolution transmission electron microscope and micro-Raman spectroscopy. With increasing the Si and Si - H contents in the SiN x :H surfaces, μc-Si crystallites, a few nanometers in size, were directly grown on amorphous nitride surfaces. It is believed that the crystallites were grown through the nucleation and phase transition from amorphous to crystal in a hydrogen-rich ambient of gas phase and growing surface. The crystallite growth characteristics on the dielectric surface were dependent on the stoichiometric (x=N/Si) ratio corresponding hydrogen bond configuration of the SiN x :H surface. Surface facetting and anisotropic growth of the Si crystallites resulted from the different growth rate on the different lattice planes of Si. No twins and stacking faults were observed in the (111) lattice planes of the Si crystallites surrounding the a-Si matrix. This atomic-scale structure was considered to be the characteristic of the low temperature crystallization of the μc-Si:H by the strain relaxation of crystallites in the a-Si:H matrix. [copyright] 2001 American Institute of Physics

  12. Design of LD in-band direct-pumping side surface polished micro-rod Nd:YVO4 laser

    International Nuclear Information System (INIS)

    Zhang Wen-Qi; Wang Fei; Liu Qiang; Gong Ma-Li

    2016-01-01

    To diminish the thermal load, two ways, that is, in-band direct pumping and micro-rod crystal, could be adopted at the same time. The efficiency of LD in-band direct-pumping side surface polished micro-rod Nd:YVO 4 laser is numerically analyzed. By optimizing parameters such as crystal length, laser mode radius, pump beam radius, doping concentration and crystal cross-section size, the overall efficiency can reach over 50%. It is found that with micro-rod crystal implemented in the laser oscillator, high overall efficiency LD in-band direct-pumping Nd:YVO 4 laser could be realized. High efficiency combined with low thermal load makes this laser an outstanding scheme for building high-power Nd:YVO 4 lasers. (paper)

  13. Electronic detection of surface plasmon polaritons by metal-oxide-silicon capacitor

    Directory of Open Access Journals (Sweden)

    Robert E. Peale

    2016-09-01

    Full Text Available An electronic detector of surface plasmon polaritons (SPPs is reported. SPPs optically excited on a metal surface using a prism coupler are detected by using a close-coupled metal-oxide-silicon (MOS capacitor. Incidence-angle dependence is explained by Fresnel transmittance calculations, which also are used to investigate the dependence of photo-response on structure dimensions. Electrodynamic simulations agree with theory and experiment and additionally provide spatial intensity distributions on and off the SPP excitation resonance. Experimental dependence of the photoresponse on substrate carrier type, carrier concentration, and back-contact biasing is qualitatively explained by simple theory of MOS capacitors.

  14. Visible quality aluminum and nickel superpolish polishing technology enabling new missions

    Science.gov (United States)

    Carrigan, Keith G.

    2011-06-01

    It is now well understood that with US Department of Defense (DoD) budgets shrinking and the Services and Agencies demanding new systems which can be fielded more quickly, cost and schedule are being emphasized more and more. At the same time, the US has ever growing needs for advanced capabilities to support evolving Intelligence, Surveillance and Reconnaissance objectives. In response to this market demand for ever more cost-effective, faster to market, single-channel, athermal optical systems, we have developed new metal polishing technologies which allow for short-lead, low-cost metal substrates to replace more costly, longer-lead material options. In parallel, the commercial marketplace is being driven continually to release better, faster and cheaper electronics. Growth according to Moore's law, enabled by advancements in photolithography, has produced denser memory, higher resolution displays and faster processors. While the quality of these products continues to increase, their price is falling. This seeming paradox is driven by industry advancements in manufacturing technology. The next steps on this curve can be realized via polishing technology which allows low-cost metal substrates to replace costly Silicon based optics for use in ultra-short wavelength systems.

  15. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  16. Effective lifetime of minority carriers in black silicon nano-textured by cones and pyramids

    DEFF Research Database (Denmark)

    Onyshchenko, V.F.; Karachevtseva, L.A.; Lytvynenko, O.O.

    2017-01-01

    We calculated the dependence of effective lifetime of minority carriers in black silicon nano-textured by cones and pyramids on the diameter of the cone base, the side of the pyramid base, the height of cone and pyramid. The numerical calculation shows that n-type polished plate of single crystal...

  17. Signals from fluorescent materials on the surface of silicon micro-strip sensors

    CERN Document Server

    Sperlich, Dennis; The ATLAS collaboration

    2018-01-01

    For the High-Luminosity Upgrade of the Large Hadron Collider at CERN, the ATLAS Inner Detector will be replaced with a new, all-silicon tracker (ITk). In order to minimise the amount of material in the ITk, circuit boards with readout electronics will be glued onto the active area of the sensor. Several adhesives, investigated to be used for the construction of detector modules, were found to become fluorescent when exposed to UV light. These adhesives could become a light source in the high-radiation environment of the ATLAS detector. The effect of fluorescent material covering the sensor surface in a high-radiation environment has been studied for a silicon micro-strip sensor using a micro-focused X-ray beam. By positioning the beam parallel to the sensor surfave and pointing it both inside the sensor and above the sensor surface inside the deposited glue, the sensor responses from direct hits and fluorescence can be compared with high precision. This contribution presents a setup to study the susceptibilit...

  18. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  19. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  20. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas