WorldWideScience

Sample records for plasmas plasma processing

  1. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  2. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  3. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  4. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  5. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  6. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  7. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  8. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  9. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  10. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  11. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  12. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  13. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  14. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  15. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  16. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  17. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  18. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  19. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  20. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  1. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  2. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  3. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  4. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  5. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  6. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  7. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  8. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  9. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  10. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  11. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  12. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  13. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  14. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  15. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  16. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  17. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  18. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  19. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  20. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  1. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  2. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  3. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  4. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  5. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  6. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  7. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  8. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  9. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  10. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  11. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  12. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  13. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  14. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  15. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  16. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    to 1990 with only 31 papers per year on average, and a total of some 1300 papers, precedes a considerable growth of some 35-50% in research activity every five years, over the last 20 years or so. As shown in the table, the annual dissemination of the field is more than 1600 papers and the total number of papers is in excess of 20000. This upwards trajectory is typical of a strong and growing subject area in physical science, with considerable capacity in both fundamental science and applications. PeriodNumber of papersPapers per annum 1948-1990130031 1991-19952279456 1996-20003447689 2001-20054571914 2006-201066401328 2011 1658 In many of the dense plasma jets discussed above, strong physical forces generated by the plasma are often desired and this favours plasma generation at elevated gas pressure, including atmospheric pressure, which favours a high level of gas ionization. Historically it has been challenging to reduce and control the strong physical forces in high-pressure plasmas for applications where these are unwanted, for example, surface modification of polymeric sheets [5]. Indeed, there is a real need for a vast range of material processing applications at temperatures below 100oC (or below 400 K) and this favours atmospheric-pressure plasma jets sustained far from thermal equilibrium with the dissipated electrical energy largely used not in heat generation but in unleashing non-equilibrium chemical reactions. The long-standing difficulty of effectively controlling the level of gas ionization at atmospheric pressure was overcome by the technological breakthrough of achieving atmospheric-pressure glow discharges in the late 1980s [6]. A related challenge stemming from high collisionality of atmospheric-pressure plasmas (v >> ω0) means that large-area plasmas sustained between parallel-plate electrodes are very susceptible to strong plasma instabilities when molecular gases are introduced for processing applications. This led to an effective

  17. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  18. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  19. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  20. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  1. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  2. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  3. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  4. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  5. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  6. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  7. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  8. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  9. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  10. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  11. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  12. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  13. Dusty plasmas

    International Nuclear Information System (INIS)

    Jones, M.E.; Winske, D.; Keinigs, R.; Lemons, D.

    1996-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The objective of this project has been to develop a fundamental understanding of dusty plasmas at the Laboratory. While dusty plasmas are found in space in galactic clouds, planetary rings, and cometary tails, and as contaminants in plasma enhanced fabrication of microelectronics, many of their properties are only partially understood. Our work has involved both theoretical analysis and self-consistent plasma simulations to understand basic properties of dusty plasmas related to equilibrium, stability, and transport. Such an understanding can improve the control and elimination of plasma dust in industrial applications and may be important in the study of planetary rings and comet dust tails. We have applied our techniques to the study of charging, dynamics, and coagulation of contaminants in plasma processing reactors for industrial etching and deposition processes and to instabilities in planetary rings and other space plasma environments. The work performed in this project has application to plasma kinetics, transport, and other classical elementary processes in plasmas as well as to plasma waves, oscillations, and instabilities

  14. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  15. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  16. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  17. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  18. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  19. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  20. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  1. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  2. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  3. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  4. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  5. Plasma physics and fusion plasma electrodynamics

    CERN Document Server

    Bers, Abraham

    2016-01-01

    Plasma is a ubiquitous state of matter at high temperatures. The electrodynamics of plasmas encompasses a large number of applications, from understanding plasmas in space and the stars, to their use in processing semiconductors, and their role in controlled energy generation by nuclear fusion. This book covers collective and single particle dynamics of plasmas for fully ionized as well as partially ionized plasmas. Many aspects of plasma physics in current fusion energy generation research are addressed both in magnetic and inertial confinement plasmas. Linear and nonlinear dynamics in hydrodynamic and kinetic descriptions are offered, making both simple and complex aspects of the subject available in nearly every chapter. The approach of dividing the basic aspects of plasma physics as "linear, hydrodynamic descriptions" to be covered first because they are "easier", and postponing the "nonlinear and kinetic descriptions" for later because they are "difficult" is abandoned in this book. For teaching purpose...

  6. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  7. Plasma Physics An Introduction to Laboratory, Space, and Fusion Plasmas

    CERN Document Server

    Piel, Alexander

    2010-01-01

    Plasma Physics gives a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The new fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a brief introduction to plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple and emphasizes the underlying concepts. T...

  8. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  9. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  10. Plasma physics an introduction to laboratory, space, and fusion plasmas

    CERN Document Server

    Piel, Alexander

    2017-01-01

    The enlarged new edition of this textbook provides a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The novel fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a concise description of modern plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple a...

  11. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  12. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  13. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  14. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  15. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  16. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  17. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  18. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  19. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  20. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  1. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  2. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  3. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  4. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  5. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  6. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  7. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  8. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  9. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  10. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  11. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  12. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  13. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  14. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  15. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  16. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  17. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  18. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  19. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  20. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  1. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  2. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  3. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  4. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  5. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  6. Plasma astrophysics

    CERN Document Server

    Kaplan, S A; ter Haar, D

    2013-01-01

    Plasma Astrophysics is a translation from the Russian language; the topics discussed are based on lectures given by V.N. Tsytovich at several universities. The book describes the physics of the various phenomena and their mathematical formulation connected with plasma astrophysics. This book also explains the theory of the interaction of fast particles plasma, their radiation activities, as well as the plasma behavior when exposed to a very strong magnetic field. The text describes the nature of collective plasma processes and of plasma turbulence. One author explains the method of elementary

  7. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  8. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  9. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  10. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  11. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  12. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  13. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  14. Plasma centrifuges

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    2000-01-01

    The review of the most important studies on the isotope separation processes in the rotating plasma is presented. The device is described and the characteristics of operation of the pulse plasma centrifuges with weakly and strongly ionized plasma as well as the stationary plasma centrifuges with the medium weak ionization and devices, applying the stationary vacuum arc with the high ionization rate and the stationary beam-plasma discharge with complete ionization, are presented. The possible mechanisms of the isotope separation in plasma centrifuges are considered. The specific energy consumption for isotope separation in these devices is discussed [ru

  15. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  16. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  17. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  18. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  19. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  20. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. Nonlocality of plasma fluctuations and transport in magnetically confined plasmas nonlocal plasma transport and radial structural formation

    International Nuclear Information System (INIS)

    Toi, Kazuo

    2002-01-01

    Experimental evidence and underlying physical processes of nonlocal characters and structural formation in magnetically confined toroidal plasmas are reviewed. Radial profiles of the plasmas exhibit characteristic structures, depending on the various confinement regimes. Profile stiffness subjected to some global constraint and rapid plasma responses to applied plasma perturbation result from nonlocal transport. Once the plasma is free from the constraint, the plasma state can be changed to a new state exhibiting various types of prominent structural formation such as an internal transport barrier. (author)

  3. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  4. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  5. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  6. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  7. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  8. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  9. Edge plasma physical investigations of tokamak plasmas in CRIP

    International Nuclear Information System (INIS)

    Bakos, J.; Ignacz, P.; Koltai, L.; Paszti, F.; Petravich, G.; Szigeti, J.; Zoletnik, S.

    1988-01-01

    The results of the measurements performed in the field of thermonuclear high temperature plasma physics in CRIP (Hungary) are summarized. In the field of the edge plasma physics solid probes were used to test the external zone of plasma edges, and atom beams and balls were used to investigate both the external and internal zones. The plasma density distribution was measured by laser blow-off technics, using Na atoms, which are evaporated by laser pulses. The excitation of Na atom ball by tokamak plasma gives information on the status of the plasma edge. The toroidal asymmetry of particle transport in tokamak plasma was measured by erosion probes. The evaporated and transported impurities were collected on an other part of the plasma edge and were analyzed by SIMS and Rutherford backscattering. The interactions in plasma near the limiter were investigated by a special limiter with implemented probes. Recycling and charge exchange processes were measured. Disruption phenomena of tokamak plasma were analyzed and a special kind of disruptions, 'soft disruptions' and the related preliminary perturbations were discovered. (D.Gy.) 10 figs

  10. Magnetospheric plasma waves

    International Nuclear Information System (INIS)

    Shawhan, S.D.

    1977-01-01

    A brief history of plasma wave observations in the Earth's magnetosphere is recounted and a classification of the identified plasma wave phenomena is presented. The existence of plasma waves is discussed in terms of the characteristic frequencies of the plasma, the energetic particle populations and the proposed generation mechanisms. Examples are given for which plasmas waves have provided information about the plasma parameters and particle characteristics once a reasonable theory has been developed. Observational evidence and arguments by analogy to the observed Earth plasma wave processes are used to identify plasma waves that may be significant in other planetary magnetospheres. The similarities between the observed characteristics of the terrestrial kilometric radiation and radio bursts from Jupiter, Saturn and possibly Uranus are stressed. Important scientific problems concerning plasma wave processes in the solar system and beyond are identified and discussed. Models for solar flares, flare star radio outbursts and pulsars include elements which are also common to the models for magnetospheric radio bursts. Finally, a listing of the research and development in terms of instruments, missions, laboratory experiments, theory and computer simulations needed to make meaningful progress on the outstanding scientific problems of plasma wave research is given. (Auth.)

  11. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  12. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  13. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  14. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  15. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  16. High beta plasma operation in a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Clarke, J.F.

    1978-01-01

    A high beta plasma is produced in a plasma producing device of toroidal configuration by ohmic heating and auxiliary heating. The plasma pressure is continuously monitored and used in a control system to program the current in the poloidal field windings. Throughout the heating process, magnetic flux is conserved inside the plasma and the distortion of the flux surfaces drives a current in the plasma. As a consequence, the total current increases and the poloidal field windings are driven with an equal and opposing increasing current. The spatial distribution of the current in the poloidal field windings is determined by the plasma pressure. Plasma equilibrium is maintained thereby, and high temperature, high beta operation results

  17. Influence of plasma parameters in pulsed plasma gun on modification processes in exposed structural materials

    International Nuclear Information System (INIS)

    Byrka, O.V.; Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Garkusha, V.V.; Makhai, V.A.; Tereshin, V.I.

    2011-01-01

    This paper is focused on investigation of helium, nitrogen and krypton plasma streams generated by pulsed plasma gun (PPA). The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. Features of materials alloying from gas and metallic plasma as a result of the plasma ions mixing with the steel substrate in liquid phase are discussed also.

  18. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  19. Plasma cleaning for waste minimization

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1993-07-01

    Although plasma cleaning is a recognized substitute for solvent cleaning in removing organic contaminants, some universal problems in plasma cleaning processes prevent wider use of plasma techniques. Lack of understanding of the fundamental mechanisms of the process, unreliable endpoint detection techniques, and slow process times make plasma cleaning processes less than desirable. Our approach to address these plasma cleaning problems is described. A comparison of plasma cleaning rates of oxygen and oxygen/sulfur hexafluoride gases shows that fluorine-containing plasmas can enhance etch rates by 400% over oxygen alone. A discussion of various endpoint indication techniques is discussed and compared for application suitability. Work toward a plasma cleaning database is discussed. In addition to the global problems of plasma cleaning, an experiment where the specific mixed-waste problem of removal of machine oils from radioactive scrap metal is discussed.

  20. Plasma chromatography

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    This book examines the fundamental theory and various applications of ion mobility spectroscopy. Plasma chromatography developed from research on the diffusion and mobility of ions. Topics considered include instrument design and description (e.g., performance, spectral interpretation, sample handling, mass spectrometry), the role of ion mobility in plasma chromatography (e.g., kinetic theory of ion transport), atmospheric pressure ionization (e.g., rate equations), the characterization of isomers by plasma chromatography (e.g., molecular ion characteristics, polynuclear aromatics), plasma chromatography as a gas chromatographic detection method (e.g., qualitative analysis, continuous mobility monitoring, quantitative analysis), the analysis of toxic vapors by plasma chromatography (e.g., plasma chromatograph calibration, instrument control and data processing), the analysis of semiconductor devices and microelectronic packages by plasma chromatography/mass spectroscopy (e.g., analysis of organic surface contaminants, analysis of water in sealed electronic packages), and instrument design and automation (hardware, software)

  1. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  2. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  3. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  4. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  5. Transport processes in multicomponent plasma

    International Nuclear Information System (INIS)

    Zissis, G.

    2002-01-01

    Full text: This book treats in detail, as indicated in the title, the transport phenomena in multicomponent plasmas. Here, the term 'transport' applies to the study of mass and energy transfer in plasmas due to the interactions between pairs of particles only. Radiation is legitimately omitted; anyway, radiative transfer is another field of study. As the author himself mentions in the introduction, 'the term multicomponent plasma implies a partially or fully ionized mixture of arbitrary number of species of neutral and charged particles satisfying the condition of quasi-neutrality'. In fact, this book treats a large variety of plasmas applying to different systems ranging from low-pressure systems which may be far from local thermodynamic equilibrium (LTE) conditions, to thermal plasmas in LTE or near-LTE states with special attention to two-temperature systems; partially ionized plasmas with low ionization degree for which electron-neutral interactions are predominant, to systems with higher ionization degrees in which charged particle interactions are no more negligible. In addition, for all the above stated situations, the author treats both plasmas which are subjected to an external electromagnetic field and those which are not (homogeneous and inhomogeneous cases). Furthermore, in the last chapters a special discussion concerning molecular plasmas is presented. Taking into account the evolution of plasma modelling in the last few years, the subject is of current interest and the reader will find in the book a large amount of information necessary for a good understanding of transport phenomena in plasmas: for a plasma simulation specialist, this book may be regarded as reference text, which includes all necessary mathematical relations for his work. However, it should not be considered a simple formulary; the reader will also find here an excellent description of the theoretical basis necessary for the derivation of all given expressions. To this point of view

  6. Plasma-wall interaction and plasma facing materials

    International Nuclear Information System (INIS)

    Tanabe, Tetsuo; Miyahara, Akira.

    1990-01-01

    The recognition that plasma-wall interaction plays the essential role from both standpoints of energy balance and particle balance for realizing nuclear fusion reactors has become to prevail. However, on how each elementary process acts and what competitive effect the synthetic action brings about, the stage of doing the qualitative discussion has just come, and the quantitative investigation is the problem for the future. In this paper, the plasma-wall interaction as seen from the research field of plasma-facing materials is discussed centering around graphite materials which have been mostly used at present, and the present status of the research and development on the problems of impurities, hydrogen recycling and heat resistance and radiation resistance is mentioned. Moreover, the problems are pointed out, and the course for the future is looked for. The recent experiment with large tokamaks adopted graphite or carbon as the plasma-facing materials, and the reduction of metallic impurities in plasma showed the clear improvement of plasma confinement characteristics. However, for the next device which requires forced cooling, the usability of graphite is doubtful. (K.I.) 51 refs

  7. Dynamic plasma screening effects on atomic collisions in dense plasmas

    International Nuclear Information System (INIS)

    Young-Dae Jung

    1999-01-01

    Dynamic plasma screening effects are investigated on electron-ion collisional excitation and Coulomb Bremsstrahlung processes in dense plasmas. The electron-ion interaction potential is considered by introduction of the plasma dielectric function. The straight-ling trajectory method is applied to the path of the projectile electron. The transition probability including the dynamic plasma screening effect is found to be always greater than that including the static plasma screening effects. It is found that the differential Bremsstrahlung radiation cross section including the dynamic plasma screening effect is also greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. However, when the projectile velocity is greater than the electron thermal velocity, the interaction potential is almost unshielded

  8. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  9. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  10. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  11. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  12. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  13. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  14. Frontier of plasma physics. 'Research network on non-equilibrium and extreme state plasmas'

    International Nuclear Information System (INIS)

    Itoh, Sanae-I.; Fujisawa, Akihide; Kodama, Ryosuke; Sato, Motoyasu; Tanaka, Kazuo A.; Hatakeyama, Rikizo; Itoh, Kimitaka

    2011-01-01

    Plasma physics and fusion science have been applied to a wide variety of plasmas such as nuclear fusion plasmas, high-energy-density plasmas, processing plasmas and nanobio- plasmas. They are pioneering science and technology frontiers such as new energy sources and new functional materials. A large project 'research network on non-equilibrium and extreme state plasmas' is being proposed to reassess individual plasma researches from a common view of the non-equilibrium extreme plasma and to promote collaboration among plasma researchers all over the country. In the present review, recent collaborative works related to this project are being introduced. (T.I.)

  15. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  16. Atmospheric-Pressure Plasma Interaction with Soft Materials as Fundamental Processes in Plasma Medicine.

    Science.gov (United States)

    Takenaka, Kosuke; Miyazaki, Atsushi; Uchida, Giichiro; Setsuhara, Yuichi

    2015-03-01

    Molecular-structure variation of organic materials irradiated with atmospheric pressure He plasma jet have been investigated. Optical emission spectrum in the atmospheric-pressure He plasma jet has been measured. The spectrum shows considerable emissions of He lines, and the emission of O and N radicals attributed to air. Variation in molecular structure of Polyethylene terephthalate (PET) film surface irradiated with the atmospheric-pressure He plasma jet has been observed via X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). These results via XPS and FT-IR indicate that the PET surface irradiated with the atmospheric-pressure He plasma jet was oxidized by chemical and/or physical effect due to irradiation of active species.

  17. Metallurgical plasma torches

    International Nuclear Information System (INIS)

    Shapovalov, V.A.; Latash, Yu.V.

    2000-01-01

    The technological equipment for the plasma heating of metals, plasma melting and plasma treatment of the surface is usually developed on the basis of are plasma torches using direct or alternating current. The reasons which partly restrict the industrial application of the plasma torches are the relatively short service life of the electrode (cathode) on which the arc is supported, and the contamination of the treated metal with the products of failure of the electrode. The aim of this work was to determine the reasons for the occurrence of negative phenomena observed in the process of service of plasma torches, and propose suitable approaches to the design of metallurgical plasma torches characterised by a long service life

  18. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  19. Scattering of electromagnetic waves into plasma oscillations via plasma particles

    International Nuclear Information System (INIS)

    Lin, A.T.; Dawson, J.M.

    1975-01-01

    A plasma subjected to an intense electromagnetic wave can exhibit a large number of parametric instabilities. An interesting example which has received little attention is the decay of the electromagnetic wave into a plasma oscillation with the excess energy and momentum being carried off by electrons. This process has been simulated on a one-and-two-halves dimensional electromagnetic code. The incident electromagnetic wave had a frequency near the plasma frequency so that decay into a plasma oscillation and a backscattered electromagnetic wave was excluded. As expected, the threshold for this instability was very large , so it is unlikely that this instability is competitive in most laser plasmas. Nevertheless, the physical mechanism involved provides a means for absorption of laser light and acceleration of particles in a plasma containing large amplitude plasma oscillations

  20. Experimental investigation of plasma relaxation using a compact coaxial magnetized plasma gun in a background plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott; University of New Mexico Collaboration; Los Alamos National Laboratory Collaboration

    2013-10-01

    A compact coaxial plasma gun is employed for experimental studies of plasma relaxation in a low density background plasma. Experiments are being conducted in the linear HelCat device at UNM. These studies will advance the knowledge of basic plasma physics in the areas of magnetic relaxation and space and astrophysical plasmas, including the evolution of active galactic jets/radio lobes within the intergalactic medium. The gun is powered by a 120pF ignitron-switched capacitor bank which is operated in a range of 5-10 kV and ~100 kA. Multiple diagnostics are employed to investigate plasma relaxation process. Magnetized Argon plasma bubbles with velocities ~1.2Cs and densities ~1020 m-3 have been achieved. Different distinct regimes of operation with qualitatively different dynamics are identified by fast CCD camera images, with the parameter determining the operation regime. Additionally, a B-dot probe array is employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify detached plasma bubble configurations. Experimental data and analysis will be presented.

  1. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  2. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  3. All Plasma Products Are Not Created Equal: Characterizing Differences Between Plasma Products

    Science.gov (United States)

    2015-06-01

    Research and the US Department of Defense. The pooling and solvent detergent treatment processes performed to manufacture the Octaplas and Kedrion products ...All plasma products are not created equal: Characterizing differences between plasma products Philip C. Spinella, MD, Elfaridah Frazier, PhD, Heather... products that may affect efficacy and safety. METHODS: Four different plasma products were analyzed to include fresh frozen plasma (FFP), liquid plasma

  4. Thermal plasma waste treatment

    International Nuclear Information System (INIS)

    Heberlein, Joachim; Murphy, Anthony B

    2008-01-01

    Plasma waste treatment has over the past decade become a more prominent technology because of the increasing problems with waste disposal and because of the realization of opportunities to generate valuable co-products. Plasma vitrification of hazardous slags has been a commercial technology for several years, and volume reduction of hazardous wastes using plasma processes is increasingly being used. Plasma gasification of wastes with low negative values has attracted interest as a source of energy and spawned process developments for treatment of even municipal solid wastes. Numerous technologies and approaches exist for plasma treatment of wastes. This review summarizes the approaches that have been developed, presents some of the basic physical principles, provides details of some specific processes and considers the advantages and disadvantages of thermal plasmas in waste treatment applications. (topical review)

  5. Plasma-wall interaction

    International Nuclear Information System (INIS)

    Reichle, R.

    2004-01-01

    This document gathers the 43 slides presented in the framework of the week long lecture 'hot plasmas 2004' and dedicated to plasma-wall interaction in a tokamak. This document is divided into 4 parts: 1) thermal load on the wall, power extraction and particle recovery, 2) basic edge plasma physics, 3) processes that drive the plasma-solid interaction, and 4) material conditioning (surface treatment...) for ITER

  6. Plasma technology

    International Nuclear Information System (INIS)

    Drouet, M.G.

    1984-03-01

    IREQ was contracted by the Canadian Electrical Association to review plasma technology and assess the potential for application of this technology in Canada. A team of experts in the various aspects of this technology was assembled and each team member was asked to contribute to this report on the applications of plasma pertinent to his or her particular field of expertise. The following areas were examined in detail: iron, steel and strategic-metals production; surface treatment by spraying; welding and cutting; chemical processing; drying; and low-temperature treatment. A large market for the penetration of electricity has been identified. To build up confidence in the technology, support should be provided for selected R and D projects, plasma torch demonstrations at full power, and large-scale plasma process testing

  7. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  8. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  9. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  10. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  11. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  12. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  13. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  14. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  15. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  16. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  17. Atomic and molecular processes in fusion plasmas

    International Nuclear Information System (INIS)

    Kato, Daiji; Nakamura, Nobuyuki

    2013-01-01

    One of important issues concerning steady state sustainment of magnetically confined plasmas (MCPs) is distribution of impurity ions in the MCPs and radiation powers by the ions. Since tungsten divertors will be used in ITER, the primary element of heavy impurity ions would be tungsten. Tungsten cannot be fully ionized even in core plasmas of ITER. Line radiations by bound electrons of the tungsten ions following electron impact excitations decrease temperatures of the core plasmas. Thus, it is required to keep tungsten concentration in the core plasmas as small as possible. (J.P.N.)

  18. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  19. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  20. Introduction to plasma dynamics

    CERN Document Server

    Morozov, A I

    2013-01-01

    As the twenty-first century progresses, plasma technology will play an increasing role in our lives, providing new sources of energy, ion-plasma processing of materials, wave electromagnetic radiation sources, space plasma thrusters, and more. Studies of the plasma state of matter not only accelerate technological developments but also improve the understanding of natural phenomena. Beginning with an introduction to the characteristics and types of plasmas, Introduction to Plasma Dynamics covers the basic models of classical diffuse plasmas used to describe such phenomena as linear and shock w

  1. Particle modeling of plasmas computational plasma physics

    International Nuclear Information System (INIS)

    Dawson, J.M.

    1991-01-01

    Recently, through the development of supercomputers, a powerful new method for exploring plasmas has emerged; it is computer modeling of plasmas. Such modeling can duplicate many of the complex processes that go on in a plasma and allow scientists to understand what the important processes are. It helps scientists gain an intuition about this complex state of matter. It allows scientists and engineers to explore new ideas on how to use plasma before building costly experiments; it allows them to determine if they are on the right track. It can duplicate the operation of devices and thus reduce the need to build complex and expensive devices for research and development. This is an exciting new endeavor that is in its infancy, but which can play an important role in the scientific and technological competitiveness of the US. There are a wide range of plasma models that are in use. There are particle models, fluid models, hybrid particle fluid models. These can come in many forms, such as explicit models, implicit models, reduced dimensional models, electrostatic models, magnetostatic models, electromagnetic models, and almost an endless variety of other models. Here the author will only discuss particle models. He will give a few examples of the use of such models; these will be taken from work done by the Plasma Modeling Group at UCLA because he is most familiar with work. However, it only gives a small view of the wide range of work being done around the US, or for that matter around the world

  2. Suitability of tunneling ionization produced plasmas for the plasma beat wave accelerator

    International Nuclear Information System (INIS)

    Leeman, W.P.; Clayton, C.E.; Marsh, K.A.; Dyson, A.; Joshi, C.

    1991-01-01

    Tunneling ionization can be thought of as the high intensity, low frequency limit of multi-photon ionization (MPI). Extremely uniform plasmas were produced by the latter process at Rutherford lab for beat wave excitation experiments using a 0.5 μm laser. Plasmas with 100% ionization were produced with densities exceeding 10 17 cm -3 . The experiment uses a CO 2 laser (I max ∼ 5 x 10 14 W/cm 2 ) which allows the formation of plasmas via the tunneling process. For the experiments the authors need plasmas with densities in the range of 5 to 10 x 10 16 cm -3 . Using Thomson scattering as a diagnostic they have explored the density and temperature regime of tunneling ionization produced plasmas. They find that plasmas with densities up to 10 16 cm -3 can indeed be produced and that these plasmas are hot. Beyond this density strong refraction of laser radiation occurs due to the radial profile of the plasma. Implications of this work to the Beat Wave Accelerator program will be discussed

  3. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  4. Electron plasma waves and plasma resonances

    International Nuclear Information System (INIS)

    Franklin, R N; Braithwaite, N St J

    2009-01-01

    In 1929 Tonks and Langmuir predicted of the existence of electron plasma waves in an infinite, uniform plasma. The more realistic laboratory environment of non-uniform and bounded plasmas frustrated early experiments. Meanwhile Landau predicted that electron plasma waves in a uniform collisionless plasma would appear to be damped. Subsequent experimental work verified this and revealed the curious phenomenon of plasma wave echoes. Electron plasma wave theory, extended to finite plasmas, has been confirmed by various experiments. Nonlinear phenomena, such as particle trapping, emerge at large amplitude. The use of electron plasma waves to determine electron density and electron temperature has not proved as convenient as other methods.

  5. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  6. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  7. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  8. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  9. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  10. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  11. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  12. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  13. Observations and Simulations of Formation of Broad Plasma Depletions Through Merging Process

    Science.gov (United States)

    Huang, Chao-Song; Retterer, J. M.; Beaujardiere, O. De La; Roddy, P. A.; Hunton, D.E.; Ballenthin, J. O.; Pfaff, Robert F.

    2012-01-01

    Broad plasma depletions in the equatorial ionosphere near dawn are region in which the plasma density is reduced by 1-3 orders of magnitude over thousands of kilometers in longitude. This phenomenon is observed repeatedly by the Communication/Navigation Outage Forecasting System (C/NOFS) satellite during deep solar minimum. The plasma flow inside the depletion region can be strongly upward. The possible causal mechanism for the formation of broad plasma depletions is that the broad depletions result from merging of multiple equatorial plasma bubbles. The purpose of this study is to demonstrate the feasibility of the merging mechanism with new observations and simulations. We present C/NOFS observations for two cases. A series of plasma bubbles is first detected by C/NOFS over a longitudinal range of 3300-3800 km around midnight. Each of the individual bubbles has a typical width of approx 100 km in longitude, and the upward ion drift velocity inside the bubbles is 200-400 m/s. The plasma bubbles rotate with the Earth to the dawn sector and become broad plasma depletions. The observations clearly show the evolution from multiple plasma bubbles to broad depletions. Large upward plasma flow occurs inside the depletion region over 3800 km in longitude and exists for approx 5 h. We also present the numerical simulations of bubble merging with the physics-based low-latitude ionospheric model. It is found that two separate plasma bubbles join together and form a single, wider bubble. The simulations show that the merging process of plasma bubbles can indeed occur in incompressible ionospheric plasma. The simulation results support the merging mechanism for the formation of broad plasma depletions.

  14. Study of plasma-maser instability in an inhomogeneous plasma

    International Nuclear Information System (INIS)

    Singh, Mahinder

    2006-01-01

    The plasma-maser, an interesting nonlinear process in plasmas, is an effective means of energy up-conversion in frequency from low-frequency turbulence to a high-frequency wave. A theoretical study is made of the amplification mechanism of an electrostatic Bernstein mode wave in presence of Langmuir wave turbulence in a magnetized inhomogeneous plasma on the basis of a plasma-maser interaction. It is shown that a test high-frequency electrostatic Bernstein mode wave is unstable in the presence of low-frequency Langmuir wave turbulence. The growth rate of a test high-frequency Bernstein mode wave is calculated with the involvement of a spatial density gradient parameter. A comparative study on the role of density gradient in the generation of the Bernstein mode on the basis of the plasma-maser effect is presented

  15. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  16. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  17. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  18. Plasma diagnostics discharge parameters and chemistry

    CERN Document Server

    Auciello, Orlando

    1989-01-01

    Plasma Diagnostics, Volume 1: Discharge Parameters and Chemistry covers seven chapters on the important diagnostic techniques for plasmas and details their use in particular applications. The book discusses optical diagnostic techniques for low pressure plasmas and plasma processing; plasma diagnostics for electrical discharge light sources; as well as Langmuir probes. The text also describes the mass spectroscopy of plasmas, microwave diagnostics, paramagnetic resonance diagnostics, and diagnostics in thermal plasma processing. Electrical engineers, nuclear engineers, microwave engineers, che

  19. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  20. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  1. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  2. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  3. Modeling of physical processes in radio-frequency plasma thrusters

    OpenAIRE

    Tian, Bin

    2017-01-01

    This Thesis presents an investigation of the plasma-wave interaction in Helicon Plasma Thrusters (HPT). The HPT is a new concept of electric space propulsion, which generates plasmas with RF heating and provides thrust by the electrodeless acceleration of plasmas in a magnetic nozzle. An in-depth and extensive literature review of the state of the art of the models and experiments of plasma-wave interaction in helicon plasma sources and thrusters is carried out. Then, a theoret...

  4. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  5. On non-equilibrium atmospheric pressure plasma jets and plasma bullet

    Science.gov (United States)

    Lu, Xinpei

    2012-10-01

    Because of the enhanced plasma chemistry, atmospheric pressure nonequilibrium plasmas (APNPs) have been widely studied for several emerging applications such as biomedical applications. For the biomedical applications, plasma jet devices, which generate plasma in open space (surrounding air) rather than in confined discharge gaps only, have lots of advantages over the traditional dielectric barrier discharge (DBD) devices. For example, it can be used for root canal disinfection, which can't be realized by the traditional plasma device. On the other hand, currently, the working gases of most of the plasma jet devices are noble gases or the mixtures of the noble gases with small amount of O2, or air. If ambient air is used as the working gas, several serious difficulties are encountered in the plasma generation process. Amongst these are high gas temperatures and disrupting instabilities. In this presentation, firstly, a brief review of the different cold plasma jets developed to date is presented. Secondly, several different plasma jet devices developed in our lab are reported. The effects of various parameters on the plasma jets are discussed. Finally, one of the most interesting phenomena of APNP-Js, the plasma bullet is discussed and its behavior is described. References: [1] X. Lu, M. Laroussi, V. Puech, Plasma Sources Sci. Technol. 21, 034005 (2012); [2] Y. Xian, X. Lu, S. Wu, P. Chu, and Y. Pan, Appl. Phys. Lett. 100, 123702 (2012); [3] X. Pei, X. Lu, J. Liu, D. Liu, Y. Yang, K. Ostrikov, P. Chu, and Y. Pan, J. Phys. D 45, 165205 (2012).

  6. Modeling plasma behavior in a plasma electrode Pockels cell

    International Nuclear Information System (INIS)

    Boley, C.D.; Rhodes, M.A.

    1999-01-01

    The authors present three interrelated models of plasma behavior in a plasma electrode Pockels cell (PEPC). In a PEPC, plasma discharges are formed on both sides of a thin, large-aperture electro-optic crystal (typically KDP). The plasmas act as optically transparent, highly conductive electrodes, allowing uniform application of a longitudinal field to induce birefringence in the crystal. First, they model the plasma in the thin direction, perpendicular to the crystal, via a one-dimensional fluid model. This yields the electron temperature and the density and velocity profiles in this direction as functions of the neutral pressure, the plasma channel width, and the discharge current density. Next, they model the temporal response of the crystal to the charging process, combining a circuit model with a model of the sheath which forms near the crystal boundary. This model gives the time-dependent voltage drop across the sheath as a function of electron density at the sheath entrance. Finally, they develop a two-dimensional MHD model of the planar plasma, in order to calculate the response of the plasma to magnetic fields. They show how the plasma uniformity is affected by the design of the current return, by the longitudinal field from the cathode magnetron, and by fields from other sources. This model also gives the plasma sensitivity to the boundary potential at which the top and bottom of the discharge are held. They validate these models by showing how they explain observations in three large Pockels cells built at Lawrence Livermore National Laboratory

  7. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  8. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  9. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  10. Large-scale numerical simulations of plasmas

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2004-01-01

    The recent trend of large scales simulations of fusion plasma and processing plasmas is briefly summarized. Many advanced simulation techniques have been developed for fusion plasmas and some of these techniques are now applied to analyses of processing plasmas. (author)

  11. Plasma vitrification program for radioactive waste treatment

    International Nuclear Information System (INIS)

    Hung, Tsungmin; Tzeng, Chinchin; Kuo, Pingchun

    1998-01-01

    In order to treat radioactive wastes effectively and solve storage problems, INER has developed the plasma arc technology and plasma process for various waste forms for several years. The plasma vitrification program is commenced via different developing stages through nine years. It includes (a) development of non-transferred DC plasma torch, (b) establishment of a lab-scale plasma system with home-made 100kW non-transferred DC plasma torch, (c) testing of plasma vitrification of simulated radioactive wastes, (d) establishment of a transferred DC plasma torch delivering output power more than 800 kW, (e) study of NOx reduction process for the plasma furnace, (f) development of a pilot-scale plasma melting furnace to verify the vitrification process, and (g) constructing a plasma furnace facility in INER. The final goal of the program is to establish a plasma processing plant with capacity of 250 kg/hr to treat the low-level radioactive wastes generated from INER itself and domestic institutes due to isotope applications. (author)

  12. Analysis of plasma behavior and electro-magnetic interaction between plasma and device

    International Nuclear Information System (INIS)

    Kobayashi, Tomofumi

    1980-01-01

    A simulation program for the analysis of plasma behavior and the electromagnetic interaction between plasma and device has been developed. The program consists of a part for the analysis of plasma behavior (plasma system) and a part for the analysis of the electro-magnetic interaction between plasma and devices (circuit system). The parameters which connect the plasma system and the circuit system are the electric resistance of plasma, the internal inductance, and the plasma current. For the plasma system, the simultaneous equations which describe the density distribution of plasma particles, the temperature distribution of electrons and ions, and the space-time variation of current density distribution were derived. The one-dimensional plasma column in γ-direction was considered. The electric resistance and the internal inductance can be deduced. The circuit components are a current transformer, a vertical field coil, a quadrupole field coil, a vacuum chamber and others. An equation which describes plasma position and the shape of cross section is introduced. The plasma position can be known by solving the Mukhavatov's formula of equilibrium. By using this program, the build-up process of plasma current in JT-60 was analysed. It was found that the expansion of plasma sub radius and the control of current distribution by gas injection are the effective methods to obtain high temperature and high density plasma. The eddy current induced in a vacuum vessel shields 40 percent of magnetic field made in the plasma region by a vertical field coil. (Kato, T.)

  13. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  14. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  15. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  16. Plasma Post Oxidation of Plasma Nitrocarburized SKD 61 Steel

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Plasma nitrocarburizing and plasma oxidizing treatments were performed to improve the wear and corrosion resistance of SKD 61 steel. Plasma nitrocarburizing was conducted for 12 h at 540℃ in the nitrogen,hydrogen and methane atmosphere to produce the ε-Fe,2-3(N,C) phase. The compound layer produced by plasma nitrocarburising was predominantly composed of ε-phase, with a small proportion of γ′-Fe4(N,C) phase.The thickness of the compound layer and the diffusion layer are about 10 μm and about 200μm, respectively.Plasma post oxidation was performed on the nitrocarburized samples with various oxygen/hydrogen ratio at constant temperature of 500℃ for 1 h. The very thin magnetite (Fe3O4) layer of 1-2μm in thickness on top of the compound layer was obtained. Anodic polarization test revealed that plasma nitrocarburizing process contributed a significant improvement of corrosion resistance of SKD 61 steel. However, the corrosion characteristics of the nitrocarburized compound layer was deteriorated by oxidation treatment.

  17. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  18. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  19. Plasma technology for waste treatment

    International Nuclear Information System (INIS)

    Cohn, D.R.

    1995-01-01

    Improved environmental cleanup technology is needed to meet demanding goals for remediation and treatment of future waste streams. Plasma technology has unique features which could provide advantages of reduced secondary waste, lower cost, and onsite treatment for a wide variety of applications. Plasma technology can provide highly controllable processing without the need for combustion heating. It can be used to provide high temperature processing (∼10,000 degrees C). Plasma technology can also be employed for low temperature processing (down to room temperature range) through selective plasma chemistry. A graphite electrode arc plasma furnace at MIT has been used to investigate high temperature processing of simulated solid waste for Department of Energy environmental cleanup applications. Stable, non-leachable glass has been produced. To ensure reliable operation and to meet environmental objectives, new process diagnostics have been developed to measure furnace temperature and to determine metals emissions in the gaseous effluent. Selective plasma destruction of dilute concentrations of hazardous compounds in gaseous waste streams has been investigated using electron beam generated plasmas. Selective destruction makes it possible to treat the gas steam at relatively low temperatures in the 30-300 degrees C range. On-line infrared measurements have been used in feedback operation to maximize efficiency and ensure desired performance. Plasma technology and associated process diagnostics will be used in future studies of a wide range of waste streams

  20. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  1. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  2. Interaction of multiple plasma plumes in an atmospheric pressure plasma jet array

    International Nuclear Information System (INIS)

    Ghasemi, M; Olszewski, P; Bradley, J W; Walsh, J L

    2013-01-01

    Plasma jet arrays are considered a viable means to enhance the scale of a downstream surface treatment beyond that possible using a single plasma jet. Of paramount importance in many processing applications is the uniformity of the plasma exposure on the substrate, which can be compromised when multiple plasma jets are arranged in close proximity due to their interaction. This contribution explores a dielectric barrier plasma jet array consisting of multiple individually ballasted jets. It is shown that capacitive ballasting is a promising technique to allow simultaneous operation of the plasma plumes without the losses associated with resistive ballasting. The interaction between adjacent plasma plumes and the background gas is investigated with Schlieren imaging; it is shown that the strong repulsive force between each plasma plume causes a divergence in propagation trajectory and a reduction in the laminar flow length with significant ramifications for any downstream surface treatment.

  3. Dust cloud evolution in sub-stellar atmospheres via plasma deposition and plasma sputtering

    Science.gov (United States)

    Stark, C. R.; Diver, D. A.

    2018-04-01

    Context. In contemporary sub-stellar model atmospheres, dust growth occurs through neutral gas-phase surface chemistry. Recently, there has been a growing body of theoretical and observational evidence suggesting that ionisation processes can also occur. As a result, atmospheres are populated by regions composed of plasma, gas and dust, and the consequent influence of plasma processes on dust evolution is enhanced. Aim. This paper aims to introduce a new model of dust growth and destruction in sub-stellar atmospheres via plasma deposition and plasma sputtering. Methods: Using example sub-stellar atmospheres from DRIFT-PHOENIX, we have compared plasma deposition and sputtering timescales to those from neutral gas-phase surface chemistry to ascertain their regimes of influence. We calculated the plasma sputtering yield and discuss the circumstances where plasma sputtering dominates over deposition. Results: Within the highest dust density cloud regions, plasma deposition and sputtering dominates over neutral gas-phase surface chemistry if the degree of ionisation is ≳10-4. Loosely bound grains with surface binding energies of the order of 0.1-1 eV are susceptible to destruction through plasma sputtering for feasible degrees of ionisation and electron temperatures; whereas, strong crystalline grains with binding energies of the order 10 eV are resistant to sputtering. Conclusions: The mathematical framework outlined sets the foundation for the inclusion of plasma deposition and plasma sputtering in global dust cloud formation models of sub-stellar atmospheres.

  4. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  5. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  6. Proceedings of the thirty second national symposium on plasma science and technology: plasma for societal benefits: book of abstracts

    International Nuclear Information System (INIS)

    Dave, Sandhya; Shravan Kumar, S.; Vijayakumaran; Singh, Raj; Awasthi, L.M.

    2017-01-01

    This symposium covers topics on: basic plasma, computer modelling for plasma, exotic plasma, industrial plasma, laser plasma theory, nuclear fusion, plasma diagnostics, laser plasma, plasma processing, pulsed power, space and astrophysical plasma. Papers relevant to INIS are indexed separately

  7. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  8. Plasma centrifuge

    International Nuclear Information System (INIS)

    Ikehata, Takashi; Mase, Hiroshi

    1998-01-01

    The plasma centrifuge is one of statistical isotope separation processes which uses the centrifugal force of a J x B driven rotating plasma in a magnetic field to give rise to the mass-dependent radial transport of isotopic ions. The system has been developed as an alternative to the gas centrifuge because a much higher rotational velocity and separation factor have been achieved. In this review, the physical aspects of the plasma centrifuge followed by the recent experimental achievements are described, especially in comparison with the gas centrifuge. (author)

  9. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  10. Plasma electron losses in a multidipole plasma

    International Nuclear Information System (INIS)

    Haworth, M.D.

    1983-01-01

    The magnitude of the plasma electron cusp losses in a multidipole plasma device is determined by using a plasma electron heating technique. This method consists of suddenly generating approximately monoenergetic test electrons inside the multidipole plasma, which is in a steady-state equilibrium prior to the introduction of the test electrons. The Coulomb collisions between the test electrons and the plasma electrons result in heating the plasma electrons. The experimentally measured time evolution of the plasma electron temperature is compared with that predicted by a kinetic-theory model which calculates the time evolution of the test electron and the plasma electron distribution functions. The analytical solution of the plasma electron heating rate when the test electrons are first introduced into the plasma predicts that there is no dependence on ion mass. Experimental results in helium, neon, argon, and krypton multidipole plasmas confirm this prediction. The time-evolved solution of the kinetic equations must be solved numerically, and these results (when coupled with the experimental heating results) show that the plasma electron cusp-loss width is on the order of an electron Larmor radius

  11. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  12. Model of detached plasmas

    International Nuclear Information System (INIS)

    Yoshikawa, S.; Chance, M.

    1986-07-01

    Recently a tokamak plasma was observed in TFTR that was not limited by a limiter or a divertor. A model is proposed to explain this equilibrium, which is called a detached plasma. The model consists of (1) the core plasma where ohmic heating power is lost by anomalous heat conduction and (2) the shell plasma where the heat from the core plasma is radiated away by the atomic processes of impurity ions. A simple scaling law is proposed to test the validity of this model

  13. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  14. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  15. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  16. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  17. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  18. Plasma Process Modeling for Integrated Circuits Manufacturing

    OpenAIRE

    M. Meyyappan; T. R. Govindan

    1998-01-01

    A reactor model for plasma-based deposition and etching is presented. Two-dimensional results are discussed in terms of plasma density, ion flux, and ion energy. Approaches to develop rapid CAD-type models are discussed.

  19. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  20. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  1. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  2. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  3. FOREWORD: 23rd National Symposium on Plasma Science & Technology (PLASMA-2008)

    Science.gov (United States)

    Das, A. K.

    2010-01-01

    The Twentieth Century has been a defining period for Plasma Science and Technology. The state of ionized matter, so named by Irving Langmuir in the early part of twentieth century, has now evolved in to a multidisciplinary area with scientists and engineers from various specializations working together to exploit the unique properties of the plasma medium. There have been great improvements in the basic understanding of plasmas as a many body system bound by complex collective Coulomb interactions of charges, atoms, molecules, free radicals and photons. Simultaneously, many advanced plasma based technologies are increasingly being implemented for industrial and societal use. The emergence of the multination collaborative project International Thermonuclear Experimental Reactor (ITER) project has provided the much needed boost to the researchers working on thermonuclear fusion plasmas. In addition, the other plasma applications like MHD converters, hydrogen generation, advanced materials (synthesis, processing and surface modification), environment (waste beneficiation, air and water pollution management), nanotechnology (synthesis, deposition and etching), light production, heating etc are actively being pursued in governmental and industrial sectors. For India, plasma science and technology has traditionally remained an important area of research. It was nearly a century earlier that the Saha ionization relation pioneered the way to interpret experimental data from a vast range of near equilibrium plasmas. Today, Indian research contributions and technology demonstration capabilities encompass thermonuclear fusion devices, nonlinear plasma phenomena, plasma accelerators, beam plasma interactions, dusty and nonneutral plasmas, industrial plasmas and plasma processing of materials, nano synthesis and structuring, astrophysical and space plasmas etc. India's participation in the ITER programme is now reflected in increased interest in the research and development

  4. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  5. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  6. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  7. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  9. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  10. High energy plasma accelerators

    International Nuclear Information System (INIS)

    Tajima, T.

    1985-05-01

    Colinear intense laser beams ω 0 , kappa 0 and ω 1 , kappa 1 shone on a plasma with frequency separation equal to the electron plasma frequency ω/sub pe/ are capable of creating a coherent large longitudinal electric field E/sub L/ = mc ω/sub pe//e of the order of 1GeV/cm for a plasma density of 10 18 cm -3 through the laser beat excitation of plasma oscillations. Accompanying favorable and deleterious physical effects using this process for a high energy beat-wave accelerator are discussed: the longitudinal dephasing, pump depletion, the transverse laser diffraction, plasma turbulence effects, self-steepening, self-focusing, etc. The basic equation, the driven nonlinear Schroedinger equation, is derived to describe this system. Advanced accelerator concepts to overcome some of these problems are proposed, including the plasma fiber accelerator of various variations. An advanced laser architecture suitable for the beat-wave accelerator is suggested. Accelerator physics issues such as the luminosity are discussed. Applications of the present process to the current drive in a plasma and to the excitation of collective oscillations within nuclei are also discussed

  11. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  12. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. Compression of toroidal plasma by imploding plasma-liner

    International Nuclear Information System (INIS)

    Ikuta, Kazunari.

    1979-07-01

    A new concept of compressing a plasma in a closed magnetic configuration by a version of liner implosion flux compression technique is considered. The liner consists of a dense plasma cylinder, i.e. the plasma-liner. Maximum compression ratio of toroidal plasma is determined just by the initial density ratio of the toroidal plasma to the liner plasma because of the Rayleigh-Taylor instability. A start-up senario of plasma-liner is also proposed with a possible application of this concept to the creation of a burning plasma in reversed field configurations, i.e. burning plasma vortex. (author)

  14. Atmospheric-pressure plasma technology

    International Nuclear Information System (INIS)

    Kogelschatz, U

    2004-01-01

    Major industrial plasma processes operating close to atmospheric pressure are discussed. Applications of thermal plasmas include electric arc furnaces and plasma torches for generation of powders, for spraying refractory materials, for cutting and welding and for destruction of hazardous waste. Other applications include miniature circuit breakers and electrical discharge machining. Non-equilibrium cold plasmas at atmospheric pressure are obtained in corona discharges used in electrostatic precipitators and in dielectric-barrier discharges used for generation of ozone, for pollution control and for surface treatment. More recent applications include UV excimer lamps, mercury-free fluorescent lamps and flat plasma displays

  15. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  16. Introduction to Plasma Physics

    Science.gov (United States)

    Gurnett, Donald A.; Bhattacharjee, Amitava

    2017-03-01

    Preface; 1. Introduction; 2. Characteristic parameters of a plasma; 3. Single particle motions; 4. Waves in a cold plasma; 5. Kinetic theory and the moment equations; 6. Magnetohydrodynamics; 7. MHD equilibria and stability; 8. Discontinuities and shock waves; 9. Electrostatic waves in a hot unmagnetized plasma; 10. Waves in a hot magnetized plasma; 11. Nonlinear effects; 12. Collisional processes; Appendix A. Symbols; Appendix B. Useful trigonometric identities; Appendix C. Vector differential operators; Appendix D. Vector calculus identities; Index.

  17. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  18. Echo phenomena in a plasma

    International Nuclear Information System (INIS)

    Pavlenko, V.N.

    1983-01-01

    The mechanism of echo phenomenon in different plasma media: laboratory and cosmic plasma, metals and semiconductors is analyzed to get a more comprehensive idea on collective processes in a plasma and for practical applications in radiophysics and plasma diagnostics. The echo phenomenon permitted to confirm a reversible nature of the Landau damping, to prove the fact that the information on perturbation is conserved in a plasma (as non-damping oscillations of the distribution function) even after disappearing of the macroscopic field. The dependence of the diffusion coefficient on the velocity is measured, microturbulences in a plasma are investigated. New ways of the plasma wave conversion are suggested, as well as ''lightning'' of super-critical plasma layers and regions of plasma non-transparency. Prospective advantages of using echo for studying the mechanisms of charged particle interaction with the surface bounding a plasma are revealed

  19. Controlling the Plasma-Polymerization Process of N-Vinyl-2-pyrrolidone

    DEFF Research Database (Denmark)

    Norrman, Kion; Winther-Jensen, Bjørn

    2005-01-01

    N-vinyl-2-pyrrolidone was plasma-polymerized on glass substrates using a pulsed AC plasma. Pulsed AC plasma produces a chemical surface structure different from that produced by conventional RF plasma; this is ascribed to the different power regimes used. A high degree of control over the structure...... of the chemical surface was obtained using pulsed AC plasma, as shown by ToF-SIMS. It is demonstrated how the experimental conditions to some extent control the chemical structure of the plasma-polymerized film, e.g., film thickness, density of post-plasma-polymerized oligomeric chains, and the density of intact...

  20. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  1. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  2. Review on plasmas in extraordinary media: plasmas in cryogenic conditions and plasmas in supercritical fluids

    Science.gov (United States)

    Stauss, Sven; Muneoka, Hitoshi; Terashima, Kazuo

    2018-02-01

    Plasma science and technology has enabled advances in very diverse fields: micro- and nanotechnology, chemical synthesis, materials fabrication and, more recently, biotechnology and medicine. While many of the currently employed plasma tools and technologies are very advanced, the types of plasmas used in micro- and nanofabrication pose certain limits, for example, in treating heat-sensitive materials in plasma biotechnology and plasma medicine. Moreover, many physical properties of plasmas encountered in nature, and especially outer space, i.e. very-low-temperature plasmas or plasmas that occur in high-density media, are not very well understood. The present review gives a short account of laboratory plasmas generated under ’extreme’ conditions: at cryogenic temperatures and in supercritical fluids. The fundamental characteristics of these cryogenic plasmas and cryoplasmas, and plasmas in supercritical fluids, especially supercritical fluid plasmas, are presented with their main applications. The research on such exotic plasmas is expected to lead to further understanding of plasma physics and, at the same time, enable new applications in various technological fields.

  3. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  4. Plasma Colloquium Travel Grant Program

    International Nuclear Information System (INIS)

    Hazeltine, R.D.

    1998-01-01

    OAK B188 Plasma Colloquium Travel Grant Program. The purpose of the Travel Grant Program is to increase the awareness of plasma research. The new results and techniques of plasma research in fusion plasmas, plasma processing space plasmas, basic plasma science, etc, have broad applicability throughout science. The benefits of these results are limited by the relatively low awareness and appreciation of plasma research in the larger scientific community. Whereas spontaneous interactions between plasma scientists and other scientists are useful, a focused effort in education and outreach to other scientists is efficient and is needed. The academic scientific community is the initial focus of this effort, since that permits access to a broad cross-section of scientists and future scientists including undergraduates, graduate students, faculty, and research staff

  5. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  6. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  7. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  8. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  9. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  10. Computer simulations of plasma-biomolecule and plasma-tissue interactions for a better insight in plasma medicine

    Science.gov (United States)

    Neyts, Erik C.; Yusupov, Maksudbek; Verlackt, Christof C.; Bogaerts, Annemie

    2014-07-01

    Plasma medicine is a rapidly evolving multidisciplinary field at the intersection of chemistry, biochemistry, physics, biology, medicine and bioengineering. It holds great potential in medical, health care, dentistry, surgical, food treatment and other applications. This multidisciplinary nature and variety of possible applications come along with an inherent and intrinsic complexity. Advancing plasma medicine to the stage that it becomes an everyday tool in its respective fields requires a fundamental understanding of the basic processes, which is lacking so far. However, some major advances have already been made through detailed experiments over the last 15 years. Complementary, computer simulations may provide insight that is difficult—if not impossible—to obtain through experiments. In this review, we aim to provide an overview of the various simulations that have been carried out in the context of plasma medicine so far, or that are relevant for plasma medicine. We focus our attention mostly on atomistic simulations dealing with plasma-biomolecule interactions. We also provide a perspective and tentative list of opportunities for future modelling studies that are likely to further advance the field.

  11. Mode-conversion process and overdense-plasma heating in the electron cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Nakajima, S.; Abe, H.

    1988-01-01

    Through a particle-simulation investigation, a new mode-conversion process, through which an incident fast extraordinary mode (fast X mode) is converted into an electron Bernstein mode (B mode) via a (slow extraordinary mode slow X mode), is discovered in plasmas whose maximum density exceeds the cutoff density of the slow X mode. The converted B mode is found to heat the electrons efficiently in an overdense plasma region, when the plasma has the optimum density gradient at the plasma surface

  12. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  13. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    International Nuclear Information System (INIS)

    Chang, Jane

    2012-01-01

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization

  14. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jane

    2012-07-27

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization.

  15. Particle melting and particle/plasma interactions in DC and RF plasmas: a modeling study. (Volumes I and II)

    International Nuclear Information System (INIS)

    Wei, D.Y.C.

    1987-01-01

    Integral process models were developed to predict particle melting in both DC and RF plasmas. Specifically, a numerical model has been developed to predict the temperature history of particles injected in a low pressure DC plasma jet. The temperature and velocity fields of the plasma jet are predicted as a free jet by solving the parabolized Navier-Stokes equations using a spatial marching scheme. Correction factors were introduced to take into account non continuum effects encountered in the low pressure environment. The plasma jet profiles as well as the particle/plasma interactions under different jet pressure ratios (from underexpanded to overexpanded) were investigated. The flow and temperature fields in the RF plasma torch are calculated using the axisymmetric Navier-Stokes equations based on the primitive variables, along with pseudo two-dimensional electromagnetic field equations. Particle trajectories and heat transfer characteristics in both DC and RF plasmas are calculated using predicted plasma jet profiles. Particle melting efficiencies in both DC and RF plasmas are evaluated and compared using model alloy systems. Based on the theoretical considerations, an alternative route of plasma spraying process (hybrid plasma spraying process) is proposed. An evaluation of particle melting in hybrid plasma jets had indicated that further improvement in deposit properties could be made

  16. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  17. Plasma under control: Advanced solutions and perspectives for plasma flux management in material treatment and nanosynthesis

    Science.gov (United States)

    Baranov, O.; Bazaka, K.; Kersten, H.; Keidar, M.; Cvelbar, U.; Xu, S.; Levchenko, I.

    2017-12-01

    Given the vast number of strategies used to control the behavior of laboratory and industrially relevant plasmas for material processing and other state-of-the-art applications, a potential user may find themselves overwhelmed with the diversity of physical configurations used to generate and control plasmas. Apparently, a need for clearly defined, physics-based classification of the presently available spectrum of plasma technologies is pressing, and the critically summary of the individual advantages, unique benefits, and challenges against key application criteria is a vital prerequisite for the further progress. To facilitate selection of the technological solutions that provide the best match to the needs of the end user, this work systematically explores plasma setups, focusing on the most significant family of the processes—control of plasma fluxes—which determine the distribution and delivery of mass and energy to the surfaces of materials being processed and synthesized. A novel classification based on the incorporation of substrates into plasma-generating circuitry is also proposed and illustrated by its application to a wide variety of plasma reactors, where the effect of substrate incorporation on the plasma fluxes is emphasized. With the key process and material parameters, such as growth and modification rates, phase transitions, crystallinity, density of lattice defects, and others being linked to plasma and energy fluxes, this review offers direction to physicists, engineers, and materials scientists engaged in the design and development of instrumentation for plasma processing and diagnostics, where the selection of the correct tools is critical for the advancement of emerging and high-performance applications.

  18. Observation of hydrodynamic processes of radiation-ablated plasma in a small hole

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hang; Kuang, Longyu; Jiang, Shaoen, E-mail: jiangshn@vip.sina.com; Ding, Yongkun, E-mail: ding-yk@vip.sina.com [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Song, Tianming; Yang, Jiamin, E-mail: yjm70018@sina.cn; Zhu, Tuo; Lin, Zhiwei; Zheng, Jianhua; Zhang, Haiying; Yu, Ruizhen; Liu, Shenye [Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Hu, Guangyue; Zhao, Bin; Zheng, Jian [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China)

    2015-07-15

    In the hohlraum used in laser indirect-drive inertial confinement fusion experiments, hydrodynamic processes of radiation-ablated high-Z plasma have a great effect on laser injection efficiency, radiation uniformity, and diagnosis of hohlraum radiation field from diagnostic windows (DW). To study plasma filling in the DWs, a laser-irradiated Ti disk was used to generate 2–5 keV narrow energy band X-ray as the intense backlighter source, and laser-produced X-ray in a hohlraum with low-Z foam tamper was used to heat a small hole surrounded by gold wall with 150 μm in diameter and 100 μm deep. The hydrodynamic movement of the gold plasma in the small hole was measured by an X-ray framing camera and the results are analyzed. Quantitative measurement of the plasma areal density distribution and evolution in the small hole can be used to assess the effect of plasma filling on the diagnosis from the DWs.

  19. The 26th IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    1999-01-01

    Some of the sessions covered by this conference are: Basic Processes in Fully and Partially Ionized Plasmas; Slow Wave Devices; Laser-Produced Plasma; Non-Equilibrium Plasma Processing; Space Plasmas and Partially Ionized Gases; Microwave Plasmas; Inertial Confinement Fusion; Plasma Diagnostics; Computational Plasma Physics; Microwave Systems; Laser Produced Plasmas and Dense Plasma Focus; Intense Electron and Ion Beams; Fast Wave Devices; Spherical Configurations and Ball Lightning; Thermal Plasma Chemistry and Processing and Environmental Issues in Plasma Science; Plasma, Ion, and Electron Sources; Fast Wave Devices and Intense Beams; Fast Z-pinches and X-ray Lasers; Plasma Opening Switches; Plasma for Lighting; Intense Beams; Vacuum Microwaves; Magnetic Fusion Energy; and Plasma Thrusters and Arcs. Separate abstracts were prepared for some of the papers in this volume

  20. Plasma amyloid levels within the Alzheimer's process and correlations with central biomarkers.

    Science.gov (United States)

    Hanon, Olivier; Vidal, Jean-Sébastien; Lehmann, Sylvain; Bombois, Stéphanie; Allinquant, Bernadette; Tréluyer, Jean-Marc; Gelé, Patrick; Delmaire, Christine; Blanc, Fredéric; Mangin, Jean-François; Buée, Luc; Touchon, Jacques; Hugon, Jacques; Vellas, Bruno; Galbrun, Evelyne; Benetos, Athanase; Berrut, Gilles; Paillaud, Elèna; Wallon, David; Castelnovo, Giovanni; Volpe-Gillot, Lisette; Paccalin, Marc; Robert, Philippe-Henri; Godefroy, Olivier; Dantoine, Thierry; Camus, Vincent; Belmin, Joël; Vandel, Pierre; Novella, Jean-Luc; Duron, Emmanuelle; Rigaud, Anne-Sophie; Schraen-Maschke, Suzanna; Gabelle, Audrey

    2018-02-17

    Diagnostic relevance of plasma amyloid β (Aβ) for Alzheimer's disease (AD) process yields conflicting results. The objective of the study was to assess plasma levels of Aβ 42 and Aβ 40 in amnestic mild cognitive impairment (MCI), nonamnestic MCI, and AD patients and to investigate relationships between peripheral and central biomarkers. One thousand forty participants (417 amnestic MCI, 122 nonamnestic MCI, and 501 AD) from the Biomarker of AmyLoïd pepTide and AlZheimer's diseAse Risk multicenter prospective study with cognition, plasma, cerebrospinal fluid (CSF), and magnetic resonance imaging assessments were included. Plasma Aβ 1-42 and Aβ 1-40 were lower in AD (36.9 [11.7] and 263 [80] pg/mL) than in amnestic MCI (38.2 [11.9] and 269 [68] pg/mL) than in nonamnestic MCI (39.7 [10.5] and 272 [52] pg/mL), respectively (P = .01 for overall difference between groups for Aβ 1-42 and P = .04 for Aβ 1-40 ). Globally, plasma Aβ 1-42 correlated with age, Mini-Mental State Examination, and APOE ε4 allele. Plasma Aβ 1-42 correlated with all CSF biomarkers in MCI but only with CSF Aβ 42 in AD. Plasma Aβ was associated with cognitive status and CSF biomarkers, suggesting the interest of plasma amyloid biomarkers for diagnosis purpose. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  1. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  2. ECR plasma photographs as a plasma diagnostic

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R; Biri, S; Palinkas, J [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2011-04-15

    Low, medium or highly charged ions delivered by electron cyclotron resonance (ECR) ion sources all are produced in the ECR plasma. In order to study such plasmas, high-resolution visible light plasma photographs were taken at the ATOMKI ECR ion source. An 8 megapixel digital camera was used to photograph plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The analysis of the photo series gave many qualitative and some valuable physical information on the nature of ECR plasmas. A comparison was made between the plasma photos and computer simulations, and conclusions were drawn regarding the cold electron component of the plasma. The warm electron component of similar simulation was compared with x-ray photos emitted by plasma ions. While the simulations are in good agreement with the photos, a significant difference was found between the spatial distribution of the cold and warm electrons.

  3. Technological challenges in thermal plasma production

    International Nuclear Information System (INIS)

    Ramakrishnan, S.

    1995-01-01

    Thermal plasmas, generated by electric arc discharges, are used in a variety of industrial applications. The electric arc is a constricted electrical discharge with a high temperature in the range 6000-25,000 K. These characteristics are useful in plasma cutting, spraying, welding and specific areas of material processing. The thermal plasma technology is an enabling process technology and its status in the market depends upon its advantages over competing technologies. A few technological challenges to enhance the status of plasma technology are to improve the utilisation of the unique characteristics of the electric arc and to provide enhanced control of the process. In particular, new solutions are required for increasing the plasma-material interaction, controlling the electrode roots and controlling the thermal power generated by the arcing process. In this paper, the advantages of plasma technology, its constraints and future challenges for technology developments are highlighted. 36 refs., 14 figs

  4. Plasma emission mechanisms

    International Nuclear Information System (INIS)

    Melrose, D.B.

    1985-01-01

    Only three emission processes are thought to play a role in solar radio emission: plasma emission, gyromagnetic emission and bremsstrahlung. In this chapter plasma emission is discussed and the processes involved in its production are treated, namely, the generation of Langmuir turbulence, the partial conversion into fundamental transverse radiation, production of secondary Langmuir waves and the generation of second-harmonic transverse radiation. (U.K.)

  5. Plasma Modes

    Science.gov (United States)

    Dubin, D. H. E.

    This chapter explores several aspects of the linear electrostatic normal modes of oscillation for a single-species non-neutral plasma in a Penning trap. Linearized fluid equations of motion are developed, assuming the plasma is cold but collisionless, which allow derivation of the cold plasma dielectric tensor and the electrostatic wave equation. Upper hybrid and magnetized plasma waves in an infinite uniform plasma are described. The effect of the plasma surface in a bounded plasma system is considered, and the properties of surface plasma waves are characterized. The normal modes of a cylindrical plasma column are discussed, and finally, modes of spheroidal plasmas, and finite temperature effects on the modes, are briefly described.

  6. Time-dependent plasma behavior triggered by a pulsed electron gun under conditions of beam-plasma-discharge

    International Nuclear Information System (INIS)

    Szuszczewicz, E.P.; Lin, C.S.

    1982-01-01

    This chapter reports on experiments whose purpose was to simulate spaceborne applications of energetic electron guns while exploring the ''in situ'' diagnostics of time-dependent beam-plasma behavior under pulsed electron gun conditions. Beam-plasma-discharge (BPD), the BPD afterglow that exists after gun-pulse termination, and the plasma decay process are considered. It is concluded that there is a rapid enhancement in plasma density as the gas turns on; that during the pulse-ON time a quasi-steady-state BPD can be maintained with characteristics identical with its dc counterpart; that in the period immediately following gun-pulse termination the plasma loss process is dominated by cross-field radial diffusion; and that the afterglow plasma is within + or -10% of being an isodensity contour

  7. Dynamic plasma screening effects on semiclassical inelastic electron endash ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on 1s→2p dipole transition probabilities for electron-impact excitation of hydrogenic ions. The electron endash ion interaction potential is considered by introduction of the plasma dielectric function. A semiclassical straight-line trajectory method is applied to the path of the projectile electron in order to visualize the semiclassical transition probability as a function of the impact parameter, projectile energy, and plasma parameters. The transition probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the electron thermal velocity, then the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low-energy projectiles. It is also found that the static plasma screening formula obtained by the Debye endash Hueckel model overestimates the plasma screening effects on the atomic excitation processes in dense plasmas. copyright 1997 American Institute of Physics

  8. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  9. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  10. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  11. Structure formation in turbulent plasmas - test of nonlinear processes in plasma experiments

    International Nuclear Information System (INIS)

    Itoh, S.-I.; Yagi, Masatoshi; Inagaki, Shigeru

    2009-01-01

    Full text: Recent developments in plasma physics, either in the fusion research in a new era of ITER, or in space and in astro-physics, the world-wide and focused research has been developed on the subject of structural formation in turbulent plasma being associated with electro-magnetic field formation. Keys for the progress were a change of the physics view from the 'linear, local and deterministic' picture to the description based on 'nonlinear instability, nonlocal interaction and probabilistic excitation' for the turbulent state, and the integration of the theory-simulation-experiment. In this presentation, we first briefly summarize the theory of microscopic turbulence and mesoscale fluctuations and selection rules. In addition, the statistical formation of large-scale structure/deformation by turbulence is addressed. Then, the experimental measurements of the mesoscale structures (e.g., zonal flows, zonal fields, streamer and transport interface) and of the nonlinear interactions among them in turbulent plasmas are reported. Confirmations by, and new challenges from, the experiments are overviewed. Work supported by the Grant-in-Aid for Specially-Promoted Research (16002005). (author)

  12. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  13. Plasma-material interactions

    International Nuclear Information System (INIS)

    Wilson, K.L.

    1984-01-01

    Plasma-interactive components must be resistant to erosion processes, efficient in heat removal, and effective in minimizing tritium inventory and permeation. As long as plasma edge temperatures are 50 eV, no one material can satisfy the diverse requirements imposed by these plasma materials interactions. The only solution is the design of duplex, or even more complicated, structures. The material that faces the plasma should be low atomic number, with acceptable erosion and evaporation characteristics. The substrate material must have high thermal conductivity for heat removal. Finally, materials must be selected judiciously for tritium compatibility. In conclusion, materials play a critical role in the achievement of safe and economical magnetic fusion energy. Improvements in materials have already led to many advances in present day device operation, but additional innovative materials solutions are required for the critical plasma materials interaction issues in future power reactors

  14. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  15. Plasma engineering: a perspective

    International Nuclear Information System (INIS)

    Gralnick, S.L.

    1978-01-01

    This review paper will present the authors perspective of the field of Plasma Engineering as it has evolved over the preceding five years. This embrionic discipline has grown in that period of time to the point where it is sufficiently mature to become part of the curriculum, and a speciality within, the discipline of Nuclear Engineering. Plasma Engineering can be distinguished from the underlying science of plasma physics in that in the pursuit of the latter, our goal is the understanding of the fundamental processes governing the behavior of plasmas while the former discipline seeks the embodiment of these concepts in useful devices. Consequent to this goal, the plasma engineer, of necessity, is concerned with the interfaces between a plasma configuration and the device by which it is produced and maintained. These interface problems, often referred to as kitchen physics are multidisciplinary in nature, and their solution requires careful attention to both plasma physics and machine engineering detail

  16. Spectroscopic diagnostics of industrial plasmas

    International Nuclear Information System (INIS)

    Joshi, N.K.

    2004-01-01

    Plasmas play key role in modern industry and are being used for processing micro electronic circuits to the destruction of toxic waste. Characterization of industrial plasmas which includes both 'thermal plasmas' and non-equilibrium plasmas or 'cold plasmas' in industrial environment offers quite a challenge. Numerous diagnostic techniques have been developed for the measurement of these partially ionized plasma and/or particulate parameters. The 'simple' non-invasive spectroscopic methods for characterization of industrial plasmas will be discussed in detail in this paper. The excitation temperature in thermal (DC/RF) plasma jets has been determined using atomic Boltzmann technique. The central axis temperature of thermal plasma jets in a spray torch can be determined using modified atomic Boltzmann technique with out using Abel inversion. The Stark broadening of H β and Ar-I (430 nm) lines have been used to determine the electron number density in thermal plasma jets. In low-pressure non-equilibrium argon plasma, electron temperature has been measured using the Corona model from the ratio of line intensities of atomic and ionic transitions. (author)

  17. Plasma spheroidization of iron powders in a non-transferred DC thermal plasma jet

    International Nuclear Information System (INIS)

    Kumar, S.; Selvarajan, V.

    2008-01-01

    In this paper, the results of plasma spheroidization of iron powders using a DC non-transferred plasma spray torch are presented. The morphology of the processed powders was characterized through scanning electron microscopy (SEM) and optical microscopy (OM). The percentages of spheroidized powders were calculated by the shape factors such as the Irregularity Parameter (IP) and Roundness (RN). A maximum of 83% of spheroidization can be achieved. The spheroidization results are compared with the theoretical estimation and they are found to be in good agreement. The phase composition of the spheroidized powder was analyzed by XRD. The effect of plasma jet temperature and plasma gas flow rate on spheroidization is discussed. At low plasma gas flow rates and at high plasma jet temperatures, the percentage of spheroidization is high

  18. Large amplitude waves and fields in plasmas

    International Nuclear Information System (INIS)

    Angelis, U. de; Naples Univ.

    1990-02-01

    In this review, based mostly on the results of the recent workshop on ''Large Amplitude Waves and Fields in Plasmas'' held at ICTP (Trieste, Italy) in May 1989 during the Spring College on Plasma Physics, I will mostly concentrate on underdense, cold, homogeneous plasmas, discussing some of the alternative (to fusion) uses of laser-plasma interaction. In Part I an outline of some basic non-linear processes is given, together with some recent experimental results. The processes are chosen because of their relevance to the applications or because new interesting developments have been reported at the ICTP workshop (or both). In Part II the excitation mechanisms and uses of large amplitude plasma waves are presented: these include phase-conjugation in plasmas, plasma based accelerators (beat-wave, plasma wake-field and laser wake-field), plasma lenses and plasma wigglers for Free Electron Lasers. (author)

  19. A Survey of Plasmas and Their Applications

    Science.gov (United States)

    Eastman, Timothy E.; Grabbe, C. (Editor)

    2006-01-01

    Plasmas are everywhere and relevant to everyone. We bath in a sea of photons, quanta of electromagnetic radiation, whose sources (natural and artificial) are dominantly plasma-based (stars, fluorescent lights, arc lamps.. .). Plasma surface modification and materials processing contribute increasingly to a wide array of modern artifacts; e.g., tiny plasma discharge elements constitute the pixel arrays of plasma televisions and plasma processing provides roughly one-third of the steps to produce semiconductors, essential elements of our networking and computing infrastructure. Finally, plasmas are central to many cutting edge technologies with high potential (compact high-energy particle accelerators; plasma-enhanced waste processors; high tolerance surface preparation and multifuel preprocessors for transportation systems; fusion for energy production).

  20. Comparison of macroscopic properties of electrons in plasmas of beam-plasma and glow discharges

    International Nuclear Information System (INIS)

    Winkler, R.; Wilhelm, J.; Starykh, V.V.

    1979-01-01

    The theoretical basis of the comparison are adequate Boltzmann equations for the electron component of the beam discharge plasma and the glow discharge plasma. We included the turbulent field or the direct electric field in the mentioned plasma types and all important binary collision processes as well as the Coulomb interaction between the charged particles. The comparison was performed in hydrogen under the condition of equal power input per volumen unit of both plasmas in dependence of the turbulence energy per one electron U, for the ionization degree (nsub(e)/N)sub(g) = 10 -6 and the pressure p 0 sup(g) = 1 Torr of the glow discharge plasma and for the ionization degrees (nsub(e)/N)sub(b) = 10 -3 , 10 -2 , 10 -1 and the pressure p 0 sup(b) = 10 -2 Torr of the beam discharge plasma which are typical for the existence of both plasma types. Based upon the numerical solutions of the Boltzmann equations under the mentioned additional conditions we compared the energy distribution functions of the electrons, the mean energy and the power losses of the electrons due to the different collision processes with the molecules and the ions. Especially a law for similarity of the electron kinetics of the two collision dominated plasma types was found and the main channels for the transfer of the field energy in both plasmas were determined. The results obtained were applied for assesing the perspectives of the beam discharged plasma as a plasmachemical reactor. (author)

  1. D.C.-arc plasma generator for nonequilibrium plasmachemical processes

    International Nuclear Information System (INIS)

    Kvaltin, J.

    1990-06-01

    The analysis is made of the conditions for generation of nonequilibrium plasma in a plasmachemical reactor, and the design is described of a d.c. arc plasma generator based on the integral criterion. Results of measuring potentials on the plasma column of this generator are presented. (author)

  2. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  3. Plasma fluctuation measurements in tokamaks using beam-plasma interactions

    International Nuclear Information System (INIS)

    Fonck, R.J.; Duperrex, P.A.; Paul, S.F.

    1990-01-01

    High-frequency observations of light emitted from the interactions between plasma ions and injected neutral beam atoms allow the measurement of moderate-wavelength fluctuations in plasma and impurity ion densities. To detect turbulence in the local plasma ion density, the collisionally excited fluorescence from a neutral beam is measured either separately at several spatial points or with a multichannel imaging detector. Similarly, the role of impurity ion density fluctuations is measured using charge exchange recombination excited transitions emitted by the ion species of interest. This technique can access the relatively unexplored region of long-wavelength plasma turbulence with k perpendicular ρ i much-lt 1, and hence complements measurements from scattering experiments. Optimization of neutral beam geometry and optical sightlines can result in very good localization and resolution (Δx≤1 cm) in the hot plasma core region. The detectable fluctuation level is determined by photon statistics, atomic excitation processes, and beam stability, but can be as low as 0.2% in a 100 kHz bandwidth over the 0--1 MHz frequency range. The choices of beam species (e.g., H 0 , He 0 , etc.), observed transition (e.g., H α , L α , He I singlet or triplet transitions, C VI Δn=1, etc.) are dictated by experiment-specific factors such as optical access, flexibility of beam operation, plasma conditions, and detailed experimental goals. Initial tests on the PBX-M tokamak using the H α emissions from a heating neutral beam show low-frequency turbulence in the edge plasma region

  4. Dynamic behavior of detached recombining plasmas during ELM-like plasma heat pulses in the divertor plasma simulator NAGDIS-II

    International Nuclear Information System (INIS)

    Uesugi, Y.; Hattori, N.; Nishijima, D.; Ohno, N.; Takamura, S.

    2001-01-01

    It has been recognized that the ELMs associated with a good confinement at the edge, such as H-mode, must bring an enormous energy to the divertor target plate through SOL and detached plasmas. The understanding of the ELM energy transport through SOL to the divertor target is rather poor at the moment, which leads to an ambiguous estimation of the deposited heat load on the divertor target in ITER. In the present work the ELM-like plasma heat pulse is generated by rf heating in a linear divertor plasma simulator. Energetic electrons with an energy range 10-40 eV are effectively generated by rf heating in low temperature plasmas with (T e )< ∼1 eV. It is observed experimentally that the energetic electrons ionize the highly excited Rydberg atoms quickly, bringing a rapid increase of the ion particle flux to the target, and make the detached plasmas attached to the target. Detailed physical processes about the interaction between the heat pulse with conduction and convection, and detached recombining plasmas are discussed

  5. Injection of a coaxial-gun-produced magnetized plasma into a background helicon plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott

    2014-10-01

    A compact coaxial plasma gun is employed for experimental investigation of plasma bubble relaxation into a lower density background plasma. Experiments are being conducted in the linear device HelCat at UNM. The gun is powered by a 120-uF ignitron-switched capacitor bank, which is operated in a range of 5 to 10 kV and 100 kA. Multiple diagnostics are employed to investigate the plasma relaxation process. Magnetized argon plasma bubbles with velocities 1.2Cs, densities 1020 m-3 and electron temperature 13eV have been achieved. The background helicon plasma has density 1013 m-3, magnetic field from 200 to 500 Gauss and electron temperature 1eV. Several distinct operational regimes with qualitatively different dynamics are identified by fast CCD camera images. Additionally a B-dot probe array has been employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify plasma bubble configurations. Experimental data and analysis will be presented.

  6. Quiescent plasma machine for plasma investigation

    International Nuclear Information System (INIS)

    Ferreira, J.L.

    1993-01-01

    A large volume quiescent plasma device is being developed at INPE to study Langmuir waves and turbulence generated by electron beams (E b ≤ 500 e V) interacting with plasma. This new quiescent plasma machine was designed to allow the performance of several experiments specially those related with laboratory space plasma simulation experiments. Current-driven instabilities and related phenomena such as double-layers along magnetic field lines are some of the many experiments planned for this machine. (author)

  7. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions.

  8. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    International Nuclear Information System (INIS)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions

  9. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  10. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  11. 8th Symposium on elementary processes and chemical reactions in low temperature plasma. Pt. 1 and 2

    International Nuclear Information System (INIS)

    Morvova, M.

    1990-11-01

    The document contains invited papers on low temperature plasma physics and its application. Among them, 9 papers deal with the experimental and theoretical investigation and modelling of elementary plasma processes and particle kinematics in electric discharges of various type and purpose. Each of the following 3 papers presents a survey of some advanced plasma technology, as are laser plasma chemistry, plasma production of diamond-like carbon films and of special fine powders. The ionized Van der Waals clusters, shock waves in interplanetary plasma, and plasma acceleration in electromagnetic plasma launchers are the topics of the remaining three papers. (J.U.)

  12. Simulations of plasma heating caused by the coalescence of multiple current loops in a proton-boron fusion plasma

    International Nuclear Information System (INIS)

    Haruki, T.; Yousefi, H. R.; Sakai, J.-I.

    2010-01-01

    Two dimensional particle-in-cell simulations of a dense plasma focus were performed to investigate a plasma heating process caused by the coalescence of multiple current loops in a proton-boron-electron plasma. Recently, it was reported that the electric field produced during the coalescence of two current loops in a proton-boron-electron plasma heats up all plasma species; proton-boron nuclear fusion may therefore be achievable using a dense plasma focus device. Based on this work, the coalescence process for four and eight current loops was investigated. It was found that the return current plays an important role in both the current pinch and the plasma heating. The coalescence of four current loops led to the breakup of the return current from the pinched plasma, resulting in plasma heating. For the coalescence of eight current loops, the plasma was confined by the pinch but the plasma heating was smaller than the two and four loop cases. Therefore the heating associated with current loop coalescence depends on the number of initial current loops. These results are useful for understanding the coalescence of multiple current loops in a proton-boron-electron plasma.

  13. Collective radio-emission from plasmas

    International Nuclear Information System (INIS)

    Papadopoulos, K.; Freund, H.P.

    1979-01-01

    Collective radiation processes operating in laboratory and space plasmas are reviewed with an emphasis towards astrophysical applications. Particular stress is placed on the physics involved in the various processes rather than in the detailed derivation of the formulas. Radiation processes from stable non-thermal, weakly turbulent and strongly turbulent magnetized and unmagnetized plasmas are discussed. The general theoretical ideas involved in amplification processes such as stimulated scattering are presented along with their application to free electron and plasma lasers. Direct radio-emission of electromagnetic waves by linear instabilities driven by beams or velocity anisotropies are shown to be of relevance in space applications. Finally, as an example of the computational state of the art pertaining to plasma radiation, a study of the type III solar radio bursts is presented. (orig.)

  14. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  15. Nonlinear laser-plasma interactions

    Science.gov (United States)

    Kaw, P. K.

    2017-12-01

    Soon after lasers were invented, there was tremendous curiosity on the nonlinear phenomena which would result in their interaction with a fully ionized plasma. Apart from the basic interest, it was realized that it could be used for the achievement of nuclear fusion in the laboratory. This led us to a paper on the propagation of a laser beam into an inhomogeneous fusion plasma, where it was first demonstrated that light would go up to the critical layer (where the frequency matches the plasma frequency) and get reflected from there with a reflection coefficient of order unity. The reflection coefficient was determined by collisional effects. Since the wave was expected to slow down to near zero group speed at the reflection point, the dominant collision frequency determining the reflection coefficient was the collision frequency at the reflection point. It turned out that the absorption of light was rather small for fusion temperatures. This placed a premium on investigation of nonlinear phenomena which might contribute to the absorption and penetration of the light into high-density plasma. An early investigation showed that electron jitter with respect to ions would be responsible for the excitation of decay instabilities which convert light waves into electrostatic plasma waves and ion waves near the critical frequency. These electrostatic waves would then get absorbed into the plasma even in the collisionless case and lead to plasma heating which is nonlinear. Detailed estimates of this heating were made. Similar nonlinear processes which could lead to stimulated scattering of light in the underdense region (ω >ω _p) were investigated together with a number of other workers. All these nonlinear processes need a critical threshold power for excitation. Another important process which was discovered around the same time had to do with filamentation and trapping of light when certain thresholds were exceeded. All of this work has been extensively verified in

  16. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  17. Plasma exposure of different tungsten grades with plasma accelerators under ITER-relevant conditions

    International Nuclear Information System (INIS)

    Makhlaj, Vadym A; Garkusha, Igor E; Aksenov, Nikolay N; Byrka, Oleg V; Bazylev, Boris; Landman, Igor; Linke, Jochen; Wirtz, Marius; Malykhin, Sergey V; Pugachov, Anatoliy T; Sadowski, Marek J; Skladnik-Sadowska, Elzbieta

    2014-01-01

    This paper presents the results of tungsten irradiation experiments performed with three plasma facilities: the QSPA Kh-50 quasi-steady-state plasma accelerator, the PPA pulsed plasma gun and the magneto-plasma compressor. Targets made of different kinds of tungsten (sintered, rolled and deformed) were irradiated with powerful plasma streams at heat fluxes relevant to edge-localized modes in ITER. The irradiated targets were analyzed and two different meshes of cracks were identified. It has been shown that the major cracks do not depend on the tungsten grade. This has been attributed to ductile-to-brittle transition effects. Meshes of inter-granular micro-cracks were detected for energy loads above the melting threshold and these were probably caused by the re-solidification process. The blister-like and cellular-like structures were observed on sample surfaces exposed to helium and hydrogen plasmas. (paper)

  18. Observation of plasma hole in a rotating plasma

    International Nuclear Information System (INIS)

    Nagaoka, Kenichi; Ishihara, Tatsuzo; Okamoto, Atsushi; Yoshimura, Shinji; Tanaka, Masayoshi Y.

    2001-01-01

    Plasma hole, a cylindrical density cavity, formed in a rotating plasma has been investigated experimentally. The plasma hole is characterized by large aspect ratio (length/radius ≥ 30), steep boundary layer between the hole and the ambient plasma (10 ion Larmor radius), and extremely high positive potential (130 V). The flow velocity field associated with plasma hole structure has been measured, and is found to have interesting features: (1) plasma rotates in azimuthal direction at a maximum velocity of order of ion sound speed, (2) plasma flows radially inward across the magnetic field line, (3) there present an axial flow reversal between core and peripheral region. It is found that the flow pattern of the plasma hole is very similar to the that of well-developed typhoon with core. (author)

  19. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  20. Characterization of Mullite-Zirconia Composite Processed by Non-Transferred and Transferred Arc Plasma

    International Nuclear Information System (INIS)

    Yugeswaran, S.; Selvarajan, V.; Lusvarghi, L.; Tok, A. I. Y.; Krishna, D. Siva Rama

    2009-01-01

    The arc plasma melting technique is a simple method to synthesize high temperature reaction composites. In this study, mullite-zirconia composite was synthesized by transferred and non-transferred arc plasma melting, and the results were compared. A mixture of alumina and zircon powders with a mole ratio of 3: 2 were ball milled for four hours and melted for two minutes in the transferred and non-transferred mode of plasma arcs. Argon and air were used as plasma forming gases. The phase and microstructural formation of melted samples were investigated by X-ray diffraction (XRD) and scanning electron microscope (SEM). The microstructure of the composites was found to be affected by the mode of melting. In transferred arc melting, zirconia flowers with uniform lines along with mullite whiskers were obtained. In the case of non-transferred arc plasma melting, mullite whiskers along with star shape zirconia were formed. Differential thermal analysis (DTA) of the synthesized mullite-zirconia composites provided a deeper understanding of the mechanisms of mullite formation during the two different processes. (plasma technology)

  1. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  2. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  3. Plasma edge and plasma-wall interaction modelling: Lessons learned from metallic devices

    Directory of Open Access Journals (Sweden)

    S. Wiesen

    2017-08-01

    Full Text Available Robust power exhaust schemes employing impurity seeding are needed for target operational scenarios in present day tokamak devices with metallic plasma-facing components (PFCs. For an electricity-producing fusion power plant at power density Psep/R>15MW/m divertor detachment is a requirement for heat load mitigation. 2D plasma edge transport codes like the SOLPS code as well as plasma-wall interaction (PWI codes are key to disentangle relevant physical processes in power and particle exhaust. With increased quantitative credibility in such codes more realistic and physically sound estimates of the life-time expectations and performance of metallic PFCs can be accomplished for divertor conditions relevant for ITER and DEMO. An overview is given on the recent progress of plasma edge and PWI modelling activities for (carbon-free metallic devices, that include results from JET with the ITER-like wall, ASDEX Upgrade and Alcator C-mod. It is observed that metallic devices offer an opportunity to progress the understanding of underlying plasma physics processes in the edge. The validation of models can be substantially improved by eliminating carbon from the experiment as well as from the numerical system with reduced degrees of freedom as no chemical sputtering from amorphous carbon layers and no carbon or hydro-carbon transport are present. With the absence of carbon as the primary plasma impurity and given the fact that the physics of the PWI at metallic walls is less complex it is possible to isolate the crucial plasma physics processes relevant for particle and power exhaust. For a reliable 2D dissipative plasma exhaust model these are: cross-field drifts, complete kinetic neutral physics, geometry effects (including main-chamber, divertor and sub-divertor structures, SOL transport reflecting also the non-diffusive nature of anomalous transport, as well as transport within the pedestal region in case of significant edge impurity radiation

  4. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  5. Princeton Plasma Physics Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990.

  6. Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990

  7. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  8. Plasma heating in collisionless plasma at low plasma density

    International Nuclear Information System (INIS)

    Wulf, H.O.

    1977-01-01

    The high frequency heating of a collisionless, fully ionized low density plasma is investigated in the range: 2ωc 2 2 under pumping frequencies. A pulsed 1 MHz transmitter excites a fast standing, magneto-acoustical wave in the plasma, via the high frequency magnetic field of a Stix solenoid. The available modulation degrees are between 0.7 and 7.0%. As power consumption measurements show, there appears at all investigated pumping frequencies an effective energy transfer to the plasma that cannot be explained with the classical MHD models. Measurements with electrostatic probes and further with a miniature counter-field spectrometer yield an electron and ion temperature gain of two to three factors and 15-18, compared to the corresponding values in the initial plasma. (orig./HT) [de

  9. Physics of Space Plasma Activity

    International Nuclear Information System (INIS)

    Cramer, N F

    2007-01-01

    This book provides a timely review of our present understanding of plasma phenomena in magnetized terrestrial and solar space plasmas. The author's emphasis is on the fluid and particle modeling and interpretation of observed active processes in space plasmas, i.e. 'the physical background of large plasma eruptions in space'. It is somewhat alarming for a plasma physicist to read that an emphasis on processes in spatially inhomogeneous plasmas means that the work '... excludes a considerable fraction of the available methods in space plasma physics, such as the theory of waves, instabilities and wave particle interactions on a homogeneous background', particularly in light of the fact that much of our knowledge of these plasmas is derived from observations of such waves. However, it is clear on reading the book that such a restriction is not a disadvantage, but allows the author to concentrate on the main theme of the book, namely the use of fluid and particle pictures to model the equilibrium and active states of space plasmas. There are many other books which cover the wave aspects of space plasmas, and would complement this book. The book's coverage is based on the extensive and profound research of the author and his colleagues in the area of fluid and particle modeling of space plasma structures. After an introduction to the physical setting of active plasmas, and a necessarily concise, but effective, discussion of the fluid and particle models to be used, the steady states of the magnetized plasmas of interest are treated, including the magnetosphere, solar plasmas and current sheets. Next the dynamics of unstable states is covered, including MHD and tearing instabilities, and nonlinear aspects, with a detailed discussion of magnetic reconnection. Finally, the models are applied to magnetospheric and solar observations. The book is attractively written and produced, and this reviewer managed to find a minimum number of errors. A particularly attractive

  10. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  11. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  12. Simulation of laser interaction with ablative plasma and hydrodynamic behavior of laser supported plasma

    Energy Technology Data Exchange (ETDEWEB)

    Tong Huifeng; Yuan Hong [Institute of Fluid Physics, Chinese Academy of Engineering Physics, P.O. Box 919-101, Mianyang, Sichuan 621900 (China); Tang Zhiping [CAS Key Laboratory for Mechanical Behavior and Design of Materials, Department of Mechanics and Mechanical Engineering, University of Science and Technology of China, Hefei 230026 (China)

    2013-01-28

    When an intense laser beam irradiates on a solid target, ambient air ionizes and becomes plasma, while part of the target rises in temperature, melts, vaporizes, ionizes, and yet becomes plasma. A general Godunov finite difference scheme WENO (Weighted Essentially Non-Oscillatory Scheme) with fifth-order accuracy is used to simulate 2-dimensional axis symmetrical laser-supported plasma flow field in the process of laser ablation. The model of the calculation of ionization degree of plasma and the interaction between laser beam and plasma are considered in the simulation. The numerical simulations obtain the profiles of temperature, density, and velocity at different times which show the evolvement of the ablative plasma. The simulated results show that the laser energy is strongly absorbed by plasma on target surface and that the velocity of laser supported detonation (LSD) wave is half of the ideal LSD value derived from Chapman-Jouguet detonation theory.

  13. PARTICIPANT SUPPORT FOR THE 2010 GORDON RESEARCH CONFERENCE ON PLASMA PROCESSING SCIENCE (JULY 11-16,2010)

    Energy Technology Data Exchange (ETDEWEB)

    Uwe Kortshagen

    2011-06-14

    The 2010 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in low temperature plasma science and will explore the applications of low temperature plasma technology relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy and the production of fuels from renewable feedstocks, plasma-enabled medicine and sterilization, and environmental remediation and waste treatment. The conference will bring together in an informal atmosphere leaders in the field with junior investigators and graduate students. The special format of the Gordon Conferences, with programmed discussion sessions and ample time for informal gatherings in the afternoons and evenings, will provide for a fertile atmosphere of brainstorming and creative thinking among the attendees.

  14. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  15. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  16. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  17. Plasma technology for treatment of waste

    Energy Technology Data Exchange (ETDEWEB)

    Cohn, D [Massachusetts Inst. of Technology, Cambridge, MA (United States). Plasma Fusion Center

    1997-12-31

    Meeting goals for waste cleanup will require new technology with improved environmental attractiveness and reduced cost. Plasma technology appears promising because of the high degree of controllability; capability to process waste without the adverse effects of combustion; and a very wide temperature range of operation. At the Plasma Fusion Center at the Massachusetts Institute of Technology, a range of plasma technologies are being investigated. `Hot` thermal plasmas produced by DC arc technology are being examined for treatment of solid waste. In conjunction with this activity, new diagnostics are being developed for monitoring arc furnace operating parameters and gaseous emissions. Electron-beam generated plasma technology is being investigated as a means of producing non-thermal `cold` plasmas for selective processing of dilute concentrations of gaseous waste. (author). 4 figs., 5 refs.

  18. Plasma shutdown device

    International Nuclear Information System (INIS)

    Hosogane, Nobuyuki; Nakayama, Takahide.

    1985-01-01

    Purpose: To prevent concentration of plasma currents to the plasma center upon plasma shutdown in a torus type thermonuclear device by the injection of fuels to the plasma center thereby prevent plasma disruption at the plasma center. Constitution: The plasma shutdown device comprises a plasma current measuring device that measures the current distribution of plasmas confined within a vacuum vessel and outputs a control signal for cooling the plasma center when the plasma currents concentrate to the plasma center and a fuel supply device that supplies fuels to the plasma center for cooling the center. The fuels are injected in the form of pellets into the plasmas. The direction and the velocity of the injection are set such that the pellets are ionized at the center of the plasmas. (Horiuchi, T.)

  19. Ionization processes in the Fe 27 region of hot iron plasma in the field of hard gamma radiation

    International Nuclear Information System (INIS)

    Illarionov, A.F.

    1989-01-01

    A highly ionized hot plasma of an iron 26 56 Fe-type heavy element in the field of hard ionizing gamma-ray radiation is considered. The processes of ionization and recombination are discussed for a plasma consisting of the fully ionized Fe 27 and the hydrogen-like Fe 26 ions of iron in the case of large optical depth of the plasma with respect to the photoionization by gamma-ray quanta. The self-ionization process of a hot plasma with the temperature kT ≅ I (I being the ionization potential), due to the production of the own ionizing gamma-ray quanta, by the free-free (ff) and recombination (fb) radiation mechanisms, is investigated. It is noted that in the stationary situation the process of self-ionization of a hot plasma imposes the restriction upon the plasma temperature, kT<1.5 I. It is shown that the ionization of heavy-ion plasma by the impact of thermal electrons is dominating over the processes of ff- and fb-selfionization of plasma only by the large concentration of hydrogen-like iron at the periphery of the region of fully ionized iron Fe 27

  20. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  1. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  2. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  3. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  4. Plasma Electronics. Theoretical and Experimental Investigations of Plasma Nonlinearity in the Powerful Microwave Oscillators

    International Nuclear Information System (INIS)

    Bliokh, Yu.P.

    2001-01-01

    During more than 50 years of Plasma Electronics development a great number of experimental and theoretical results have been achieved. These results allow understanding of physical processes which originate under charged particles beams interaction with a plasma. However, one essential aspect of such interaction remains insufficiently studied. The question is about a correlation between conditions of microwave excitation by a beam in plasma and plasma parameters. Each of these effects, namely the influence of plasma parameters on conditions of microwave excitation by a beam and plasma parameters variations under the influence of propagating microwave radiation are well known and investigated enough. However their common action under beam-plasma instability (BPI) development were not studied systematically, although the role of such reciprocal influence on character of these processes may be very large. The aim of this report is a review of recent theoretical and experimental investigations of such plasma nonlinearity in plasma-filled trawling-wave tubes. N.M.Zemlyansky and E.A.Kornilov have done experiments in Kharkov Institute of Physics and Technology (KhPhTI). Development of the theoretical model was started in KhPhTI (Yu.P.Bliokh, Ya.B.Fainberg, M.G.Lyubarsky, and V.O.Podobinsky) and continues by author in Technion. The developed theory takes into account two main reasons of the plasma density redistribution: high frequency pressure (HFP) force which ''push out'' plasma from the regions with increased microwave amplitude, or microwave discharge, which appears in the region where amplitude is large enough. Displaced (under HFP action) or additionally originating (under (BPD) development) plasma propagates from the disturbance source in the form of slow plasma waves (for example, ion-sound or magneto-sound waves), and the BPI develops in the nonhomogeneous plasma. It changes both magnitude and longitudinal distribution of excited microwave amplitude. As a result

  5. Plasma turbulence

    International Nuclear Information System (INIS)

    Horton, W.

    1998-07-01

    The origin of plasma turbulence from currents and spatial gradients in plasmas is described and shown to lead to the dominant transport mechanism in many plasma regimes. A wide variety of turbulent transport mechanism exists in plasmas. In this survey the authors summarize some of the universally observed plasma transport rates

  6. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  7. Plasma processes inside dispenser hollow cathodes

    International Nuclear Information System (INIS)

    Mikellides, Ioannis G.; Katz, Ira; Goebel, Dan M.; Polk, James E.; Jameson, Kristina K.

    2006-01-01

    A two-dimensional fluid model of the plasma and neutral gas inside dispenser orificed hollow cathodes has been developed to quantify plasma processes that ultimately determine the life of the porous emitters inserted in these devices. The model self-consistently accounts for electron emission from the insert as well as for electron and ion flux losses from the plasma. Two cathodes, which are distinctively different in size and operating conditions, have been simulated numerically. It is found that the larger cathode, with outer tube diameter of 1.5 cm and orifice diameter of 0.3 cm, establishes an effective emission zone that spans approximately the full length of the emitter when operated at a discharge current of 25 A and a flow rate of 5.5 sccm. The net heating of the emitter is caused by ions that are produced by ionization of the neutral gas inside the tube and are then accelerated by the sheath along the emitter. The smaller cathode, with an outer diameter of 0.635 cm and an orifice diameter of 0.1 cm, does not exhibit the same operational characteristics. At a flow rate of 4.25 sccm and discharge current of 12 A, the smaller cathode requires 4.5 times the current density near the orifice and operates with more than 6 times the neutral particle density compared to the large cathode. As a result, the plasma particle density is almost one order of magnitude higher compared to the large cathode. The plasma density in this small cathode is high enough such that the Debye length is sufficiently small to allow 'sheath funneling' into the pores of the emitter. By accessing areas deeper into the insert material, it is postulated that the overall emission of electrons is significantly enhanced. The maximum emission current density is found to be about 1 A/mm 2 in the small cathode, which is about one order of magnitude higher than attained in the large cathode. The effective emission zone in the small cathode extends to about 15% of the emitter length only, and the

  8. Formation Process of Non-Neutral Plasmas by Multiple Electron Beams on BX-U

    Science.gov (United States)

    Sanpei, Akio; Himura, Haruhiko; Masamune, Sadao

    An imaging diagnostic system, which is composed of a handmade phosphor screen and a high-speed camera, has been applied to identify the dynamics of multiple electron beams on BX-U. The relaxation process of those toward a non-neutral plasma is experimentally identified. Also, the radial density profile of the plasma is measured as a function of time. Assuming that the plasma is a spheroidal shape, the value of electron density ne is in the range between 2.2 × 106 and 4.4 × 108 cm-3 on BX-U.

  9. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  10. Vaporization studies of plasma interactive materials in simulated plasma disruption events

    International Nuclear Information System (INIS)

    Stone, C.A. IV; Croessmann, C.D.; Whitley, J.B.

    1988-03-01

    The melting and vaporization that occur when plasma facing materials are subjected to a plasma disruption will severely limit component lifetime and plasma performance. A series of high heat flux experiments was performed on a group of fusion reactor candidate materials to model material erosion which occurs during plasma disruption events. The Electron Beam Test System was used to simulate single disruption and multiple disruption phenomena. Samples of aluminum, nickel, copper, molybdenum, and 304 stainless steel were subjected to a variety of heat loads, ranging from 100 to 400 msec pulses of 8 to 18 kWcm 2 . It was found that the initial surface temperature of a material strongly influences the vaporization process and that multiple disruptions do not scale linearly with respect to single disruption events. 2 refs., 9 figs., 5 tabs

  11. Plasma accelerators

    International Nuclear Information System (INIS)

    Bingham, R.; Angelis, U. de; Johnston, T.W.

    1991-01-01

    Recently attention has focused on charged particle acceleration in a plasma by a fast, large amplitude, longitudinal electron plasma wave. The plasma beat wave and plasma wakefield accelerators are two efficient ways of producing ultra-high accelerating gradients. Starting with the plasma beat wave accelerator (PBWA) and laser wakefield accelerator (LWFA) schemes and the plasma wakefield accelerator (PWFA) steady progress has been made in theory, simulations and experiments. Computations are presented for the study of LWFA. (author)

  12. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  13. Foundations of High-Pressure Thermal Plasmas

    Science.gov (United States)

    Murphy, Anthony B.; Uhrlandt, Dirk

    2018-06-01

    An introduction to the main methods used to produce, model and measure thermal plasmas is provided, with emphasis on the differences between thermal plasmas and other types of processing plasmas. The critical properties of thermal plasmas are explained in physical terms and their importance in different applications is considered. The characteristics, and advantages and disadvantages, of the different main types of thermal plasmas (transferred and non-transferred arcs, radio-frequency inductively-coupled plasmas and microwave plasmas) are discussed. The methods by which flow is stabilized in arc plasmas are considered. The important concept of local thermodynamic equilibrium (LTE) is explained, leading into a discussion of the importance of thermophysical properties, and their calculation in LTE and two-temperature plasmas. The standard equations for modelling thermal plasmas are presented and contrasted with those used for non-equilibrium plasmas. Treatments of mixed-gas and non-LTE plasmas are considered, as well as the sheath regions adjacent to electrodes. Finally, the main methods used for electrical, optical, spectroscopic and laser diagnostics of thermal plasmas are briefly introduced, with an emphasis on the required assumptions for their reliable implementation, and the specific requirements of thermal plasmas.

  14. High Throughput Plasma Water Treatment

    Science.gov (United States)

    Mujovic, Selman; Foster, John

    2016-10-01

    The troublesome emergence of new classes of micro-pollutants, such as pharmaceuticals and endocrine disruptors, poses challenges for conventional water treatment systems. In an effort to address these contaminants and to support water reuse in drought stricken regions, new technologies must be introduced. The interaction of water with plasma rapidly mineralizes organics by inducing advanced oxidation in addition to other chemical, physical and radiative processes. The primary barrier to the implementation of plasma-based water treatment is process volume scale up. In this work, we investigate a potentially scalable, high throughput plasma water reactor that utilizes a packed bed dielectric barrier-like geometry to maximize the plasma-water interface. Here, the water serves as the dielectric medium. High-speed imaging and emission spectroscopy are used to characterize the reactor discharges. Changes in methylene blue concentration and basic water parameters are mapped as a function of plasma treatment time. Experimental results are compared to electrostatic and plasma chemistry computations, which will provide insight into the reactor's operation so that efficiency can be assessed. Supported by NSF (CBET 1336375).

  15. Plasma fluctuation measurements in tokamaks using beam-plasma interactions (abstract)

    International Nuclear Information System (INIS)

    Fonck, R.J.; Duperrex, P.A.; Paul, S.F.

    1990-01-01

    High-frequency observations of light emitted from the interactions between plasma ions and injected neutral beam atoms allow the measurement of moderate-wavelength fluctuations in plasma and impurity ion densities. To detect turbulence in the local plasma ion density, the collisionally excited fluorescence from a neutral beam is measured either separately at several spatial points or with a multichannel imaging detector. Similarly, the role of impurity ion density fluctuations is measured using charge exchange recombination excited transitions emitted by the ion species of interest. This technique can access the relatively unexplored region of long-wavelength plasma turbulence with k perpendicular ρ i much-lt 1, and hence complements measurements from scattering experiments. Optimization of neutral beam geometry and optical sightlines can result in very good localization and resolution (Δx≤1 cm) in the hot plasma core region. The detectable fluctuation level is determined by photon statistics, atomic excitation processes, and beam stability, but can be as low as 0.2% in a 100 kHz bandwidth over the 0--1 MHz frequency range. The choices of beam species (e.g., H 0 , He 0 , etc.), observed transition (e.g., H α , L α , He I singlet or triplet transitions, C VI Δn=1, etc.) are dictated by experiment-specific factors such as optical access, flexibility of beam operation, plasma conditions, and detailed experimental goals. Initial tests on the PBX-M tokamak using the H α emissions from a heating neutral beam show low-frequency turbulence in the edge plasma region

  16. Application of plasma focus device to compression of toroidal plasma

    International Nuclear Information System (INIS)

    Ikuta, Kazunari

    1980-01-01

    A new concept of compressing a toroidal plasma using a plasma focus device is considered. Maximum compression ratio of toroidal plasma is determined merely by the initial density ratio of the toroidal plasma to a sheet plasma in a focus device because of the Rayleigh-Taylor instability. An initiation senario of plasma-linear is also proposed with a possible application of this concepts to the creation of a burning plasma in reversed field configurations, i.e., burning plasma vortex. (author)

  17. Process for forming exoergic structures with the use of a plasma

    Science.gov (United States)

    Kelly, M.D.

    1987-05-29

    A method of forming exoergic structures, as well as exoergic structures produced by the method, is provided. The method comprises the steps of passing a plasma-forming gas through a plasma spray gun, forming a plasma spray, introducing exoergic material into the plasma spray and directing the plasma spray toward a substrate, and allowing the exoergic material to become molten in the plasma spray and to thereafter impinge on the substrate to form a solid mass of exoergic material, the shape of which corresponds to the shape of the substrate.

  18. Polar Plasma at Ganymede: Ionospheric outflow and discovery of the plasma sheet

    Science.gov (United States)

    Collinson, G.; Paterson, W.; Dorelli, J.; Glocer, A.; Sarantos, M.; Wilson, R. J.; Bard, C.

    2017-12-01

    On the 27th of June 1996, the NASA Galileo spacecraft made humanities first flyby of Jupiter's largest moon, Ganymede, discovering that it is unique to science in being the only moon known to possess an internally generated magnetic dynamo field. Although Galileo carried a plasma spectrometer, the Plasma Subsystem (PLS), converting its highly complex raw data stream into meaningful plasma moments (density, temperature, velocity) is extremely challenging, and was only ever performed for the second (out of six) Ganymede flybys. Resurrecting the original Galileo PLS data analysis software, we processed the raw PLS data from G01, and for the first time present the properties of plasmas encountered. Dense, cold ions were observed outflowing from the moon's north pole (presumed to be dominated by H+ from the icy surface), with more diffuse, warmer field-aligned outflows in the lobes. Dropouts in plasma density combined with velocity perturbations either side of this suggest that Galileo briefly crossed the cusps onto closed magnetic field lines. PLS observations show that upon entry into the magnetosphere, Galileo crossed through the plasma sheet, observing plasma flows consistent with reconnection-driven convection, highly energized 105 eV ions, and a reversal in the magnetic field. The densities of plasmas flowing upwards from Ganymede's ionosphere were higher on open "lobe" field lines than on closed field lines, suggesting that the ionospheric source of these plasmas may be denser at the poles, there may be additional acceleration mechanisms at play, or the balance of ions were outside the energy range of PLS.

  19. Plasma properties and atomic processes at medium and high pressures

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1979-01-01

    When the state of a plasma deviates from local thermodynamic equilibrium (L.T.E.) the equilibrium relations cannot be applied. The thermodynamic properties must then be described on the basis of models in which the individual atomic properties and elementary reactions intervene. The first part of the paper gives a schematic description of a plasma suffering power input, power losses and external constraints in the form of initial and boundary conditions. The rate equations for particle density, momentum and energy of open systems are summarized, including nuclear reactions. The second part gives a review of the progress made in understanding the properties of special types of non-L.T.E. plasmas such as glow discharge plasmas, negative ion plasmas (with application to the physics of SF 6 circuit-breakers) and Tokamak plasmas on the basis of these rate equations

  20. Plasma Science Committee (PLSC) and the Panel on Opportunities in Plasma Science and Technology (OPST)

    International Nuclear Information System (INIS)

    1993-01-01

    The Plasma Science Committee (PLSC) of the National Research Council (NRC) is charged with monitoring the health of the field of plasma science in the United States and identifies and examines both broad and specific issues affecting the field. Regular meetings, teleconferences, briefings from agencies and the scientific community, the formation of study panels to prepare reports, and special symposia are among the mechanisms used by the PLSC to meet its charge. During July 1992, the PLSC sponsored a workshop on nonneutral plasmas in traps. Although no written report on the workshop results, was prepared for public distribution, a summary of highlights was provided to the OPST Subpanel on Nonneutral Plasmas. The PLSC also continued its follow-up briefings and discussions on the results of the results of the report Plasma Processing of materials. Scientific and Technological Opportunities. As a result of these activities, the Committee is now working with the NRC Committee on Atomic, Molecular, and Optical Sciences (CAMOS) to organize a symposium on database needs in plasma processing of materials

  1. Atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.

    1999-01-01

    Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode. Because of the atmospheric pressure operation, no ions survive for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike low-pressure plasma sources and conventional plasma processing methods.

  2. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    Science.gov (United States)

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  3. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  4. Spontaneous emission of electromagnetic radiation in turbulent plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F., E-mail: luiz.ziebell@ufrgs.br [Instituto de Física, UFRGS, Porto Alegre, Rio Grande do Sul (Brazil); Yoon, P. H., E-mail: yoonp@umd.edu [School of Space Research, Kyung Hee University, Yongin, Gyeonggi 446-701, South Korea and University of Maryland, College Park, Maryland 20742 (United States); Simões, F. J. R.; Pavan, J. [Instituto de Física e Matemática, UFPel, Pelotas, Rio Grande do Sul (Brazil); Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, Rio Grande do Sul (Brazil); Instituto de Física e Matemática, UFPel, Pelotas, Rio Grande do Sul (Brazil)

    2014-01-15

    Known radiation emission mechanisms in plasmas include bremmstrahlung (or free-free emission), gyro- and synchrotron radiation, cyclotron maser, and plasma emission. For unmagnetized plasmas, only bremmstrahlung and plasma emissions are viable. Of these, bremmstrahlung becomes inoperative in the absence of collisions, and the plasma emission requires the presence of electron beam, followed by various scattering and conversion processes. The present Letter proposes a new type of radiation emission process for plasmas in a state of thermodynamic quasi-equilibrium between particles and enhanced Langmuir turbulence. The radiation emission mechanism proposed in the present Letter is not predicted by the linear theory of thermal plasmas, but it relies on nonlinear wave-particle resonance processes. The electromagnetic particle-in-cell numerical simulation supports the new mechanism.

  5. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  6. Plasma cleaning techniques and future applications in environmentally conscious manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1995-07-01

    Plasmas have frequently been used in industry as a last step surface preparation technique in an otherwise predominantly wet-etch process. The limiting factor in the usefulness of plasma cleaning techniques has been the rate at which organic materials are removed. Recent research in the field of plasma chemistry has provided some understanding of plasma processes. By controlling plasma conditions and gas mixtures, ultra-fast plasma cleaning and etching is possible. With enhanced organic removal rates, plasma processes become more desirable as an environmentally sound alternative to traditional solvent or acid dominated process, not only as a cleaning tool, but also as a patterning and machining tool. In this paper, innovations in plasma processes are discussed including enhanced plasma etch rates via plasma environment control and aggressive gas mixtures. Applications that have not been possible with the limited usefulness of past plasma processes are now approaching the realm of possibility. Some of these possible applications will be discussed along with their impact to environmentally conscious manufacturing.

  7. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  8. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  9. Feasibility study of the plasma electron density measurement by electromagnetic radiation from the laser-driven plasma wave

    International Nuclear Information System (INIS)

    Jang, D G; Kim, J J; Suk, H; Hur, M S

    2012-01-01

    When an intense laser beam is focused in a plasma, a plasma wake wave is generated and the oscillatary motion of the plasma electrons produces a strong electromagnetic wave by a Cherenkov-like process. Spectrum of the genetated electromagnetic wave has dependence on the plasma density. In this paper, we propose to use the emitted electromagnetic radiation for plasma diagnostic, which may provide an accurate information for local electron densities of the plasma and will be very useful for three-dimensional plasma density profiles by changing the focal point location of the laser beam. Two-dimensional (2-D) particle-in-cell (PIC) simulation is used to study the correlation between the spectrum of the emitted radiation and plasma density, and the results demonstrate that this method is promising for the electron density measurement in the plasma.

  10. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  11. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  12. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  13. Electromagnetic radiation from beam-plasma instabilities

    International Nuclear Information System (INIS)

    Stenzel, R.L.; Whelan, D.A.

    1982-01-01

    This chapter investigates the mechanism by which unstable electrostatic waves of an electron-beam plasma system are converted into observed electromagnetic waves. Electromagnetic radiation arises from both natural beam-plasma systems (e.g., type III solar bursts and kilometric radiation), and from man-made electron beams injected from rockets and spacecraft. A pulsed magnetized discharge plasma is produced with a 1 m diam. oxide-coated cathode and the discussed experiment is performed in the quiescent afterglow. The primary beam-plasma instability involves the excitation of electrostatic plasma waves. Electromagnetic radiation from the beam-plasma system is observed with microwave antennas outside the plasma (all probes removed) or with coax-fed dipoles which can be inserted radially and axially into the plasma. The physical process of mode coupling by which electromagnetic radiation is generated in an electrostatic beam-plasma instability is identified. The results are relevant to beam injection experiments from rockets or satellites into space plasmas. The limited penetration of the beam current into the plasma due to instabilities is demonstrated

  14. Generators of nonequilibrium low-temperature plasma

    International Nuclear Information System (INIS)

    Dautov, G.Yu.

    1988-01-01

    Results are described of a study and of the characteristics of sources of a non-equilibrium gas-discharge plasma. The plasma generators considered include glow, high frequency, and arc discharge generators. Thermodynamic, ionic, and electronic processes occurring in the plasmas are evaluated

  15. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  16. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  17. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  18. Plasma generator

    International Nuclear Information System (INIS)

    Omichi, Takeo; Yamanaka, Toshiyuki.

    1976-01-01

    Object: To recycle a coolant in a sealed hollow portion formed interiorly of a plasma limiter itself to thereby to cause direct contact between the coolant and the plasma limiter and increase of contact area therebetween to cool the plasma limiter. Structure: The heat resulting from plasma generated during operation and applied to the body of the plasma limiter is transmitted to the coolant, which recycles through an inlet and outlet pipe, an inlet and outlet nozzle and a hollow portion to hold the plasma limiter at a level less than a predetermined temperature. On the other hand, the heater wire is, at the time of emergency operation, energized to heat the plasma limiter, but this heat is transmitted to the limiter body to increase the temperature thereof. However, the coolant recycling the hollow portion comes into direct contact with the limiter body, and since the plasma limiter surround the hollow portion, the heat amount transmitted from the limiter body to the coolant increases to sufficiently cool the plasma limiter. (Yoshihara, H.)

  19. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  20. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  1. Electron-capture process and ion mobility spectra in plasma chromatography

    International Nuclear Information System (INIS)

    Karasek, F.W.; Spangler, G.E.

    1981-01-01

    The basic principles of plasma chromatography are introduced and ion mobility relationships presented. The relationships of plasma chromatography to electron-capture detector mechanisms are discussed, including electron energy considerations and electron-capture reactions. A number of experimental studies by plasma chromatography are described. (C.F.)

  2. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  3. Plasma waves

    CERN Document Server

    Swanson, DG

    1989-01-01

    Plasma Waves discusses the basic development and equations for the many aspects of plasma waves. The book is organized into two major parts, examining both linear and nonlinear plasma waves in the eight chapters it encompasses. After briefly discussing the properties and applications of plasma wave, the book goes on examining the wave types in a cold, magnetized plasma and the general forms of the dispersion relation that characterize the waves and label the various types of solutions. Chapters 3 and 4 analyze the acoustic phenomena through the fluid model of plasma and the kinetic effects. Th

  4. The plasma automata network (PAN) architecture

    International Nuclear Information System (INIS)

    Cameron-Carey, C.M.

    1991-01-01

    Conventional neural networks consist of processing elements which are interconnected according to a specified topology. Typically, the number of processing elements and the interconnection topology are fixed. A neural network's information processing capability lies mainly in the variability of interconnection strengths, which directly influence activation patterns; these patterns represent entities and their interrelationships. Contrast this architecture, with its fixed topology and variable interconnection strengths, against one having dynamic topology and fixed connection strength. This paper reports on this proposed architecture in which there are no connections between processing elements. Instead, the processing elements form a plasma, exchanging information upon collision. A plasma can be populated with several different types of processing elements, each with their won activation function and self-modification mechanism. The activation patterns that are the plasma;s response to stimulation drive natural selection among processing elements which evolve to optimize performance

  5. Waves in plasmas (part 1 - wave-plasma interaction general background)

    International Nuclear Information System (INIS)

    Dumont, R.

    2004-01-01

    This document gathers a series of transparencies presented in the framework of the week-long lectures 'hot plasmas 2004' and dedicated to the physics of wave-plasma interaction. The structure of this document is as follows: 1) wave and diverse plasmas, 2) basic equations (Maxwell equations), 3) waves in a fluid plasma, and 4) waves in a kinetic plasma (collisionless plasma)

  6. Integrated modelling of the edge plasma and plasma facing components

    International Nuclear Information System (INIS)

    Coster, D.P.; Bonnin, X.; Mutzke, A.; Schneider, R.; Warrier, M.

    2007-01-01

    Modelling of the interaction between the edge plasma and plasma facing components (PFCs) has tended to place more emphasis on either the plasma or the PFCs. Either the PFCs do not change with time and the plasma evolution is studied, or the plasma is assumed to remain static and the detailed interaction of the plasma and the PFCs are examined, with no back-reaction on the plasma taken into consideration. Recent changes to the edge simulation code, SOLPS, now allow for changes in both the plasma and the PFCs to be considered. This has been done by augmenting the code to track the time-development of the properties of plasma facing components (PFCs). Results of standard mixed-materials scenarios (base and redeposited C; Be) are presented

  7. Fundamental Processes in Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Driscoll, Charles Fred [Univ. of California, San Diego, CA (United States)

    2017-11-03

    This Final Technical Report gives brief summaries of the plasma physics results developed under DOE grant DE-SC0002451; and provides reference to the published journal articles giving full scientific descriptions. General topics include 1) cyclotron modes; 2) damping and decay of Langmuir modes; 3) 2D vortex dynamics and diocotron modes; 4) separatrix-induced transport and damping; and 5) long-range collisional velocity slowing.

  8. fabrics induced by cold plasma treatments

    Indian Academy of Sciences (India)

    Some selective cold plasma processing modify specific surface properties of ... obtain information on the chemical and physical processing involved in ... charges of suitable gases. such plasma species can give rise to several concurrent.

  9. Miniature Coaxial Plasma injector Diagnostics by Beam Plasma Interaction

    International Nuclear Information System (INIS)

    El-Tayeb, H.; El-Gamal, H.

    2003-01-01

    A miniature coaxial gun has been used to study the interaction between plasma beam and low density plasma formed in glow discharge. The peak discharge current flow between the coaxial electrodes was 5.25 kA as a single pulse with pulse width of 60 mu. Investigations are carried out with argon gas at pressure 0.4 Torr. The plasma stream ejected from the coaxial discharge propagates in the neutral argon atoms with mean velocity of 1.2x10 5 cm/s. The plasma stream temperature and density were 4.2 eV and 2.4x10 13 cm -3 respectively. An argon negative glow has been used as base plasma where its electron temperature and density were 2.2 eV and 6.2x10 7 cm -3 respectively. When the plasma stream propagates through the negative glow discharge region its velocity decreased to 8.8 x 10 4 cm/s and also the plasma electron temperature decreased to 3.1 eV, while the stream density remained the same. An excited wave appeared on the electric probe having frequency equal to the plasma frequency of the plasma under consideration. Simulation of the problem showed that this method could be applied for plasma diagnostics within the region of investigation. Those further studies for high temperature, dense, and magnetized plasma will be considered

  10. Plasma sheath in non-Maxwellian plasma

    International Nuclear Information System (INIS)

    Shimizu, Takuo; Horigome, Takashi

    1992-01-01

    Reviewing many theoretical and experimental works on the electron-energy distributions (EEDF) of various plasmas, we point out that many plasmas have EEDF of non-Maxwellian in shape. Therefore, the recent treatment of plasma sheath using the Maxwell-Boltzmann distribution approximation should be improved. To do this, we have adopted Rutcher's standard distribution as a generalized form in place of the traditional Maxwellian, and found that the minimum energy of ions entering the sheath edge (Bohm's criterion) varies largely, and have also shown the variation of Debye length with the shape of EEDF. The length is the most important parameter to proceed with more detailed analysis on plasma-sheaths, and also to control them in the future. (author)

  11. Revisiting the plasma sheath—dust in plasma sheath

    Energy Technology Data Exchange (ETDEWEB)

    Das, G. C. [Mathematical Science Division, IASST, Guwahati 781014 (India); Deka, R.; Bora, M. P., E-mail: mpbora@gauhati.ac.in [Physics Department, Gauhati University, Guwahati 781014 (India)

    2016-04-15

    In this work, we have considered the formation of warm plasma sheath in the vicinity of a wall in a plasma with considerable presence of dust particles. As an example, we have used the parameters relevant in case of plasma sheath formed around surfaces of various solid bodies in space, though the results obtained in this work can be applied to any other physical situation such as laboratory plasma. In the ion-acoustic time scale, we neglect the dust dynamics. The dust particles affect the sheath dynamics by affecting the Poisson equation which determines the plasma potential in the sheath region. It is important to note that our calculations are valid only when the amount of dust particles is not sufficient so as to affect the plasma dynamics in the dust-acoustic time scale, but enough to affect the plasma sheath. We have assumed the current to a dust particle to be balanced throughout the analysis. This makes the grain potential dependent on plasma potential, which is then incorporated into the Poisson equation. The resultant numerical model becomes an initial value problem, which is described by a 1-D integro-differential equation, which is then solved self-consistently by incorporating the change in plasma potential caused by inclusion of the dust potential in the Poisson equation.

  12. Laser-induced plasmas as an analytical source for quantitative analysis of gaseous and aerosol systems: Fundamentals of plasma-particle interactions

    Science.gov (United States)

    Diwakar, Prasoon K.

    2009-11-01

    Laser-induced Breakdown Spectroscopy (LIBS) is a relatively new analytical diagnostic technique which has gained serious attention in recent past due to its simplicity, robustness, and portability and multi-element analysis capabilities. LIBS has been used successfully for analysis of elements in different media including solids, liquids and gases. Since 1963, when the first breakdown study was reported, to 1983, when the first LIBS experiments were reported, the technique has come a long way, but the majority of fundamental understanding of the processes that occur has taken place in last few years, which has propelled LIBS in the direction of being a well established analytical technique. This study, which mostly focuses on LIBS involving aerosols, has been able to unravel some of the mysteries and provide knowledge that will be valuable to LIBS community as a whole. LIBS processes can be broken down to three basic steps, namely, plasma formation, analyte introduction, and plasma-analyte interactions. In this study, these three steps have been investigated in laser-induced plasma, focusing mainly on the plasma-particle interactions. Understanding plasma-particle interactions and the fundamental processes involved is important in advancing laser-induced breakdown spectroscopy as a reliable and accurate analytical technique. Critical understanding of plasma-particle interactions includes study of the plasma evolution, analyte atomization, and the particle dissociation and diffusion. In this dissertation, temporal and spatial studies have been done to understand the fundamentals of the LIBS processes including the breakdown of gases by the laser pulse, plasma inception mechanisms, plasma evolution, analyte introduction and plasma-particle interactions and their influence on LIBS signal. Spectral measurements were performed in a laser-induced plasma and the results reveal localized perturbations in the plasma properties in the vicinity of the analyte species, for

  13. Nonlinear plasma wave models in 3D fluid simulations of laser-plasma interaction

    Science.gov (United States)

    Chapman, Thomas; Berger, Richard; Arrighi, Bill; Langer, Steve; Banks, Jeffrey; Brunner, Stephan

    2017-10-01

    Simulations of laser-plasma interaction (LPI) in inertial confinement fusion (ICF) conditions require multi-mm spatial scales due to the typical laser beam size and durations of order 100 ps in order for numerical laser reflectivities to converge. To be computationally achievable, these scales necessitate a fluid-like treatment of light and plasma waves with a spatial grid size on the order of the light wave length. Plasma waves experience many nonlinear phenomena not naturally described by a fluid treatment, such as frequency shifts induced by trapping, a nonlinear (typically suppressed) Landau damping, and mode couplings leading to instabilities that can cause the plasma wave to decay rapidly. These processes affect the onset and saturation of stimulated Raman and Brillouin scattering, and are of direct interest to the modeling and prediction of deleterious LPI in ICF. It is not currently computationally feasible to simulate these Debye length-scale phenomena in 3D across experimental scales. Analytically-derived and/or numerically benchmarked models of processes occurring at scales finer than the fluid simulation grid offer a path forward. We demonstrate the impact of a range of kinetic processes on plasma reflectivity via models included in the LPI simulation code pF3D. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

  14. Experimental study of anode processes in plasma arc cutting

    Czech Academy of Sciences Publication Activity Database

    Kavka, Tetyana; Chumak, Oleksiy; Šonský, Jiří; Heinrich, M.; Stehrer, T.; Pauser, H.

    2013-01-01

    Roč. 46, č. 6 (2013), 065202-065202 ISSN 0022-3727 R&D Projects: GA ČR GAP205/11/2070 Institutional support: RVO:61389021 ; RVO:61388998 Keywords : Arc cutting * anode attachment * pilot arc * steam plasma cutting * torch * fluctuations * JET Subject RIV: BL - Plasma and Gas Discharge Physics; BL - Plasma and Gas Discharge Physics (UT-L) Impact factor: 2.521, year: 2013 http://iopscience.iop.org/0022-3727/46/6/065202/pdf/0022-3727_46_6_065202.pdf

  15. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  16. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  17. Photostable bipolar fluorescent probe for video tracking plasma membranes related cellular processes.

    Science.gov (United States)

    Zhang, Xinfu; Wang, Chao; Jin, Liji; Han, Zhuo; Xiao, Yi

    2014-08-13

    Plasma membranes can sense the stimulations and transmit the signals from extracellular environment and then make further responses through changes in locations, shapes or morphologies. Common fluorescent membrane markers are not well suited for long time tracking due to their shorter retention time inside plasma membranes and/or their lower photostability. To this end, we develop a new bipolar marker, Mem-SQAC, which can stably insert into plasma membranes of different cells and exhibits a long retention time over 30 min. Mem-SQAC also inherits excellent photostability from the BODIPY dye family. Large two-photon absorption cross sections and long wavelength fluorescence emissions further enhance the competitiveness of Mem-SQAC as a membrane marker. By using Mem-SQAC, significant morphological changes of plasma membranes have been monitored during heavy metal poisoning and drug induced apoptosis of MCF-7 cells; the change tendencies are so distinctly different from each other that they can be used as indicators to distinguish different cell injuries. Further on, the complete processes of endocytosis toward Staphylococcus aureus and Escherichia coli by RAW 264.7 cells have been dynamically tracked. It is discovered that plasma membranes take quite different actions in response to the two bacteria, information unavailable in previous research reports.

  18. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  19. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  20. Plasma-current structures of plasma focus during the current disruption

    International Nuclear Information System (INIS)

    Krokhin, O.N.; Kalachev, N.V.; Malafeev, Yu.S.; Nikulin, V.Ya; Polukhin, S.N.; Tsybenko, S.P.

    2000-01-01

    The results are presented of an investigation of the plasma structures arising during the current disruption in the Dense Plasma Focus (DPF). The study was performed using the laser-shadow and interferometry methods together with measurements of current and X-ray radiation. An analysis of the experimental results shows that for the construction of a multi mega-amperes current disruption device, the Filippov type of DPF (in comparison with the Mather type) is to be preferred since the processes occurring in the X-ray regime are much faster than in the pinch regime, and this type of plasma focus is geometrically more suitable for the assembly of such a current disrupter.This disrupter is now under construction, based on the 'Tulip' DPF installation

  1. Plasma-wall interactions

    International Nuclear Information System (INIS)

    Behrisch, Rainer

    1978-01-01

    The plasma wall interactions for two extreme cases, the 'vacuum model' and the 'cold gas blanket' are outlined. As a first step for understanding the plasma wall interactions the elementary interaction processes at the first wall are identified. These are energetic ion and neutral particle trapping and release, ion and neutral backscattering, ion sputtering, desorption by ions, photons and electrons and evaporation. These processes have only recently been started to be investigated in the parameter range of interest for fusion research. The few measured data and their extrapolation into regions not yet investigated are reviewed

  2. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  3. Positron deposition in plasmas by positronium beam ionization and transport of positrons in tokamak plasmas

    International Nuclear Information System (INIS)

    Murphy, T.J.

    1986-11-01

    In a recently proposed positron transport experiment, positrons would be deposited in a fusion plasma by forming a positronium (Ps) beam and passing it through the plasma. Positrons would be deposited as the beam is ionized by plasma ions and electrons. Radial transport of the positrons to the limiter could then be measured by detecting the gamma radiation produced by annihilation of positrons with electrons in the limiter. This would allow measurements of the transport of electron-mass particles and might shed some light on the mechanisms of electron transport in fusion plasmas. In this paper, the deposition and transport of positrons in a tokamak are simulated and the annihilation signal determined for several transport models. Calculations of the expected signals are necessary for the optimal design of a positron transport experiment. There are several mechanisms for the loss of positrons besides transport to the limiter. Annihilation with plasma electrons and reformation of positronium in positron-hydrogen collisions are two such processes. These processes can alter the signal and place restrictions ons on the plasma conditions in which positron transport experiments can be effectively performed

  4. Heating in toroidal plasmas

    International Nuclear Information System (INIS)

    Canobbio, E.

    1981-01-01

    This paper reports on the 2nd Joint Grenoble-Varenna International Symposium on Heating in Toroidal Plasmas, held at Como, Italy, from the 3-12 September 1980. Important problems in relation to the different existing processes of heating. The plasma were identified and discussed. Among others, the main processes discussed were: a) neutral beam heating, b) ion-(electron)-cyclotron resonance heating, c) hybrid resonance and low frequency heating

  5. Fusion plasma physics

    CERN Document Server

    Stacey, Weston M

    2012-01-01

    This revised and enlarged second edition of the popular textbook and reference contains comprehensive treatments of both the established foundations of magnetic fusion plasma physics and of the newly developing areas of active research. It concludes with a look ahead to fusion power reactors of the future. The well-established topics of fusion plasma physics -- basic plasma phenomena, Coulomb scattering, drifts of charged particles in magnetic and electric fields, plasma confinement by magnetic fields, kinetic and fluid collective plasma theories, plasma equilibria and flux surface geometry, plasma waves and instabilities, classical and neoclassical transport, plasma-materials interactions, radiation, etc. -- are fully developed from first principles through to the computational models employed in modern plasma physics. The new and emerging topics of fusion plasma physics research -- fluctuation-driven plasma transport and gyrokinetic/gyrofluid computational methodology, the physics of the divertor, neutral ...

  6. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  7. The marker of cobalamin deficiency, plasma methylmalonic acid, correlates to plasma creatinine

    DEFF Research Database (Denmark)

    Hvas, A M; Juul, S; Gerdes, Lars Ulrik

    2000-01-01

    OBJECTIVE: To examine the relationship between the two diagnostic tests, plasma methylmalonic acid and plasma cobalamins, and their association with plasma creatinine, age and sex. DESIGN: Cross-sectional study of simultaneous laboratory measurements. SETTING: County of Aarhus, Denmark. SUBJECTS......: Records on 1689 patients who had their first plasma methylmalonic acid measurement during 1995 and 1996, and who had a simultaneous measurement of plasma cobalamins. Plasma creatinine values measured within a week of measurements of plasma methylmalonic acid and plasma cobalamins were available for 1255...... of the patients. MAIN OUTCOME MEASURES: Predictors of variation in plasma methylmalonic acid; plasma cobalamins, plasma creatinine, age and sex. RESULTS: Plasma methylmalonic acid was positively correlated with plasma creatinine, even for plasma creatinine within the normal range. These associations remained...

  8. Foundations of atmospheric pressure non-equilibrium plasmas

    Science.gov (United States)

    Bruggeman, Peter J.; Iza, Felipe; Brandenburg, Ronny

    2017-12-01

    Non-equilibrium plasmas have been intensively studied over the past century in the context of material processing, environmental remediation, ozone generation, excimer lamps and plasma display panels. Research on atmospheric pressure non-equilibrium plasmas intensified over the last two decades leading to a large variety of plasma sources that have been developed for an extended application range including chemical conversion, medicine, chemical analysis and disinfection. The fundamental understanding of these discharges is emerging but there remain a lot of unexplained phenomena in these intrinsically complex plasmas. The properties of non-equilibrium plasmas at atmospheric pressure span over a huge range of electron densities as well as heavy particle and electron temperatures. This paper provides an overview of the key underlying processes that are important for the generation and stabilization of atmospheric pressure non-equilibrium plasmas. The unique physical and chemical properties of theses discharges are also summarized.

  9. Tribological properties of plasma and pulse plasma nitrided AISI 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Podgornik, B.; Vizintin, J. [Ljubljana Univ. (Slovenia). Center of Tribology and Tech. Diagnostics; Leskovsek, V. [Inst. of Metals and Technologies, Ljubljana (Slovenia)

    1998-10-10

    Plasma nitriding is usually used for ferrous materials to improve their surface properties. Knowledge of the properties of thin surface layers is essential for designing engineering components with optimal wear performance. In our study, we investigated the microstructural, mechanical and tribological properties of plasma- and pulse plasma-nitrided AISI 4140 steel in comparison to hardened steel. The influence of nitriding case depth as well as the presence of a compound layer on its tribological behaviour was also examined. Plasma and pulse plasma nitriding were carried out using commercial nitriding processes. Nitrided samples were fully characterised, using metallographic, SEM microscopic, microhardness and profilometric techniques, before and after wear testing. Wear tests were performed on a pin-on-disc wear testing machine in which nitrided pins were mated to hardened ball bearing steel discs. The wear tests were carried out under dry conditions where hardened samples were used as a reference. The resulting wear loss as well as the coefficient of friction was monitored as a function of load and test time. Several microscopic techniques were used to analyse the worn surfaces and wear debris in order to determine the dominant friction and wear characteristics. Results showed improved tribological properties of AISI 4140 steel after plasma and pulse plasma nitriding compared to hardening. However, the compound layer should be removed from the surface by mechanical means or by decreasing the amount of nitrogen in the nitriding atmosphere, to avoid impairment of the tribological properties by fracture of the hard and brittle compound layer followed by the formation of hard abrasive particles. (orig.) 10 refs.

  10. Modelling of microwave induced plasmas : the interplay between electromagnetism, plasma chemistry and transport

    NARCIS (Netherlands)

    Jimenez-Diaz, M.

    2011-01-01

    In this thesis we report on a theoretical/numerical study that is concerned with Microwave Induced Plasmas (MIPs) in general, and the application of a MIP to the Plasma-activated Chemical Vapour Deposition (PCVD) process that is used at Draka Comteq for the production of optical fibres in

  11. Computational study of plasma-solid interaction in DC glow discharge in argon plasma at medium pressures

    International Nuclear Information System (INIS)

    Havlickova, E; Bartos, P; Hrach, R

    2007-01-01

    In the presented contribution two groups of techniques of computational physics-fluid modelling and non self-consistent particle technique were used to study plasma-solid interaction in argon plasma. We focused both on the physical processes taking place in the sheath at various pressures and on the problems of computational physics. The attention was given to preparation of two-dimensional fluid models with realistic assumptions about physical processes taking place in plasma during the plasma-solid interaction, further to improvement of the non self-consistent technique of particle modelling, where the external electric field was obtained either from the fluid model or directly from the trajectories of charged particles and finally to efficiency of individual algorithms

  12. Steady state compact toroidal plasma production

    Science.gov (United States)

    Turner, William C.

    1986-01-01

    Apparatus and method for maintaining steady state compact toroidal plasmas. A compact toroidal plasma is formed by a magnetized coaxial plasma gun and held in close proximity to the gun electrodes by applied magnetic fields or magnetic fields produced by image currents in conducting walls. Voltage supply means maintains a constant potential across the electrodes producing an increasing magnetic helicity which drives the plasma away from a minimum energy state. The plasma globally relaxes to a new minimum energy state, conserving helicity according to Taylor's relaxation hypothesis, and injecting net helicity into the core of the compact toroidal plasma. Controlling the voltage so as to inject net helicity at a predetermined rate based on dissipative processes maintains or increases the compact toroidal plasma in a time averaged steady state mode.

  13. FDTD simulation for plasma photonic crystals

    International Nuclear Information System (INIS)

    Liu Shaobin; Zhu Chuanxi; Yuan Naichang

    2005-01-01

    Plasma photonic crystals are artificially periodic structures, which are composed of plasmas and dielectric structures (or vacuum). In this paper, the piecewise linear current density recursive convolution (PLCDRC) finite-difference time-domain (FDTD) method is applied to study the plasma photonic crystals and those containing defects. In time-domain, the electromagnetic (EM) propagation process and reflection/transmission electric field of Gauss pulses passing through the plasma photonic crystals are investigated. In frequency-domain, the reflection and transmission coefficients of the pulses through the two kinds of crystals are computed. The results illustrate that the plasma photonic crystals mostly reflect for the EM wave of frequencies less than the plasma frequency, and mostly transmit for EM wave of frequencies higher than the plasma frequency. In high frequency domain, the plasma photonic crystals have photonic band gaps, which is analogous to the conventional photonic crystals. (authors)

  14. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  15. Plasma transport in the Scrape-off-Layer of magnetically confined plasma and the plasma exhaust

    DEFF Research Database (Denmark)

    Rasmussen, Jens Juul; Naulin, Volker; Nielsen, Anders Henry

    An overview of the plasma dynamics in the Scrape-off-Layer (SOL) of magnetically confined plasma is presented. The SOL is the exhaust channel of the warm plasma from the core, and the understanding of the SOL plasma dynamics is one of the key issues in contemporary fusion research. It is essential...... for operation of fusion experiments and ultimately fusion power plants. Recent results clearly demonstrate that the plasma transport through the SOL is dominated by turbulent intermittent fluctuations organized into filamentary structures convecting particles, energy, and momentum through the SOL region. Thus......, the transport cannot be described and parametrized by simple diffusive type models. The transport leads to strong localized power loads on the first wall and the plasma facing components, which have serious lasting influence....

  16. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  17. Aspects of plasma arc cutting process in the AISI 321 type stainless steel

    International Nuclear Information System (INIS)

    Souza Barros, I. de.

    1985-01-01

    Some aspects of plasma arc cutting process in the AISI321 stainless steel, used in nuclear industry, are analysed. The maximum values of the velocity of cutting and, the minimum quantity of energy per unit of length necesary for the plasma were determined. The localization of irregularities in the cut surface in function of the velocity of cutting was investigated. The cut surfaces were evaluated by surface roughness, using as measurement parameter, the distance between the sharpest salience and the deepest reentrance of the sample profile. The width of layer from thermal action of the plasma was influenced by the velocity of cutting. (Author) [pt

  18. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  19. Spark gap produced plasma diagnostics

    International Nuclear Information System (INIS)

    Chang, H.Y.

    1990-01-01

    A Spark Gap (Applied voltage : 2-8KV, Capacitor : 4 Micro F. Dia of the tube : 1 inch, Electrode distance : .3 ∼.5 inch) was made to generate a small size dynamic plasma. To measure the plasma density and temperature as a function of time and position, we installed and have been installing four detection systems - Mach-Zehnder type Interferometer for the plasma refractivity, Expansion speed detector using two He-Ne laser beams, Image Processing using Lens and A Optical-Fiber Array for Pointwise Radiation Sensing, Faraday Rotation of a Optical Fiber to measure the azimuthal component of B-field generated by the plasma drift. These systems was used for the wire explosion diagnostics, and can be used for the Laser driven plasma also

  20. Antimatter plasmas and antihydrogen

    International Nuclear Information System (INIS)

    Greaves, R.G.; Surko, C.M.

    1997-01-01

    Recent successes in confining antimatter in the form of positron and antiproton plasmas have created new scientific and technological opportunities. Plasma techniques have been the cornerstone of experimental work in this area, and this is likely to be true for the foreseeable future. Work by a number of groups on trapping antimatter plasmas is summarized, and an overview of the promises and challenges in this field is presented. Topics relating to positron plasmas include the use of positrons to study the unique properties of electron endash positron plasmas, the interaction between positrons and ordinary matter, and the laboratory modeling of positron-annihilation processes in interstellar media. The availability of cold, trapped antiprotons and positrons makes possible the production of neutral antimatter in the form of antihydrogen. This is expected to enable precise comparisons of the properties of matter and antimatter, including tests of fundamental symmetries and the measurement of the interaction of antimatter with gravity. copyright 1997 American Institute of Physics

  1. Plasma properties

    International Nuclear Information System (INIS)

    Weitzner, H.

    1991-06-01

    The Magneto-Fluid Dynamics Division continues to study a broad range of problems originating in plasma physics. Its principal focus is fusion plasma physics, and most particularly topics of particular significance for the world magnetic fusion program. During the calendar year 1990 we explored a wide range of topics including RF-induced transport as a plasma control mechanism, edge plasma modelling, further statistical analysis of L and H mode tokamak plasmas, antenna design, simulation of the edge of a tokamak plasma and the L-H transition, interpretation of the CCT experimental results at UCLA, turbulent transport, studies in chaos, the validity of moment approximations to kinetic equations and improved neoclassical modelling. In more basic studies we examined the statistical mechanisms of Coulomb systems and applied plasma ballooning mode theory to conventional fluids in order to obtain novel fluid dynamics stability results. In space plasma physics we examined the problem of reconnection, the effect of Alfven waves in space environments, and correct formulation of boundary conditions of the Earth for waves in the ionosphere

  2. Plasma container

    International Nuclear Information System (INIS)

    Ebisawa, Katsuyuki.

    1985-01-01

    Purpose: To enable to easily detect that the thickness of material to be abraded is reduced to an allowable limit from the outerside of the plasma container even during usual operation in a plasma vessel for a thermonuclear device. Constitution: A labelled material is disposed to the inside or rear face of constituent members of a plasma container undergoing the irradiation of plasma particles. A limiter plate to be abraded in the plasma container is composed of an armour member and heat removing plate, in which the armour member is made of graphite and heat-removing plate is made of copper. If the armour member is continuously abraded under the effect of sputtering due to plasma particles, silicon nitride embedded so far in the graphite at last appears on the surface of the limiter plate to undergo the impact shocks of the plasma particles. Accordingly, abrasion of the limiter material can be detected by a detector comprising gas chromatography and it can easily be detected from the outside of the plasma content even during normal operation. (Horiuchi, T.)

  3. Gap formation processes in a high-density plasma opening switch

    International Nuclear Information System (INIS)

    Grossmann, J.M.; Swanekamp, S.B.; Ottinger, P.F.; Commisso, R.J.; Hinshelwood, D.D.; Weber, B.V.

    1995-01-01

    A gap opening process in plasma opening switches (POS) is examined with the aid of numerical simulations. In these simulations, a high density (n e =10 14 --5x10 15 cm -3 ) uniform plasma initially bridges a small section of the coaxial transmission line of an inductive energy storage generator. A short section of vacuum transmission line connects the POS to a short circuit load. The results presented here extend previous simulations in the n e =10 12 --10 13 cm -3 density regime. The simulations show that a two-dimensional (2-D) sheath forms in the plasma near a cathode. This sheath is positively charged, and electrostatic sheath potentials that are large compared to the anode--cathode voltage develop. Initially, the 2-D sheath is located at the generator edge of the plasma. As ions are accelerated out of the sheath, it retains its original 2-D structure, but migrates axially toward the load creating a magnetically insulated gap in its wake. When the sheath reaches the load edge of the POS, the POS stops conducting current and the load current increases rapidly. At the end of the conduction phase a gap exists in the POS whose size is determined by the radial dimensions of the 2-D sheath. Simulations at various plasma densities and current levels show that the radial size of the gap scales roughly as B/n e , where B is the magnetic field. The results of this work are discussed in the context of long-conduction-time POS physics, but exhibit the same physical gap formation mechanisms as earlier lower density simulations more relevant to short-conduction-time POS. copyright 1995 American Institute of Physics

  4. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  5. Conference on atomic processes in high temperature plasmas: a topical conference of the American Physical Society Division of Plasma Physics

    International Nuclear Information System (INIS)

    1977-01-01

    Abstracts are included for approximately 100 of the papers presented at the meeting. The following sessions were held at the conference: (1) electron ionization and excitation rates, (2) radiation from low density plasmas, (3) electron-ion cross sections and rates, (4) oscillator strengths and atomic structure, (5) spectroscopy and atomic structure, (6) astrophysical plasmas, (7) particle transport, (8) ion-atom cross sections and rates, (9) wall effects in laboratory plasmas, (10) spectroscopy and photoionization, and (11) radiation from high density plasmas

  6. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  7. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  8. Plasma sprayed Nd-Fe-B permanent magnets

    International Nuclear Information System (INIS)

    Willson, M.; Bauser, S.; Liu, S.; Huang, M.

    2003-01-01

    This study demonstrated that the plasma spray deposition method is an alternative process for producing Nd-Fe-B magnets in addition to the two existing principal processes: the powder metallurgy process for producing sintered Nd-Fe-B magnets and the melt spinning process for bonded Nd-Fe-B magnets. Plasma spray is a potentially better process for producing magnetic parts with complicated shape, large area, thin thickness, small dimension, or unusual geometry. High intrinsic coercivity greater than 15 kOe was readily obtained for Nd 16 Dy 1 Fe 76 B 7 even in the as-deposited condition when the substrate was preheated. The plasma spray process contains only three steps: melting, crushing, and plasma spray, which is much simpler than the powder metallurgy and melt spinning processes. Without preheating the substrate, the coercivity was usually very low (∼0.1 kOe) in the as-deposited condition and it increased to 10 to >15 kOe after anneal. Evidence of magnetocrystalline anisotropy was observed in plasma sprayed Nd 15 Dy 1 Fe 77 B 7 magnets when the substrate was not preheated. It is believed that a crystal texture was developed during the plasma spray as a result of the existence of a temperature gradient in the solidifying melt

  9. Plasma production for electron acceleration by resonant plasma wave

    International Nuclear Information System (INIS)

    Anania, M.P.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Croia, M.; Curcio, A.; Di Giovenale, D.; Di Pirro, G.P.; Filippi, F.; Ghigo, A.; Lollo, V.; Pella, S.; Pompili, R.; Romeo, S.; Ferrario, M.

    2016-01-01

    Plasma wakefield acceleration is the most promising acceleration technique known nowadays, able to provide very high accelerating fields (10–100 GV/m), enabling acceleration of electrons to GeV energy in few centimeter. However, the quality of the electron bunches accelerated with this technique is still not comparable with that of conventional accelerators (large energy spread, low repetition rate, and large emittance); radiofrequency-based accelerators, in fact, are limited in accelerating field (10–100 MV/m) requiring therefore hundred of meters of distances to reach the GeV energies, but can provide very bright electron bunches. To combine high brightness electron bunches from conventional accelerators and high accelerating fields reachable with plasmas could be a good compromise allowing to further accelerate high brightness electron bunches coming from LINAC while preserving electron beam quality. Following the idea of plasma wave resonant excitation driven by a train of short bunches, we have started to study the requirements in terms of plasma for SPARC-LAB (Ferrario et al., 2013 [1]). In particular here we focus on hydrogen plasma discharge, and in particular on the theoretical and numerical estimates of the ionization process which are very useful to design the discharge circuit and to evaluate the current needed to be supplied to the gas in order to have full ionization. Eventually, the current supplied to the gas simulated will be compared to that measured experimentally.

  10. Plasma production for electron acceleration by resonant plasma wave

    Energy Technology Data Exchange (ETDEWEB)

    Anania, M.P., E-mail: maria.pia.anania@lnf.infn.it [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Biagioni, A.; Chiadroni, E. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Cianchi, A. [University of Rome Tor Vergata - INFN, via della Ricerca Scientifica, 1, 00133 Roma (Italy); INFN, Via della Ricerca Scientifica, 1, 00133 Roma (Italy); Croia, M.; Curcio, A. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Di Giovenale, D.; Di Pirro, G.P. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Filippi, F. [University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Ghigo, A.; Lollo, V.; Pella, S.; Pompili, R. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Romeo, S. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Ferrario, M. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy)

    2016-09-01

    Plasma wakefield acceleration is the most promising acceleration technique known nowadays, able to provide very high accelerating fields (10–100 GV/m), enabling acceleration of electrons to GeV energy in few centimeter. However, the quality of the electron bunches accelerated with this technique is still not comparable with that of conventional accelerators (large energy spread, low repetition rate, and large emittance); radiofrequency-based accelerators, in fact, are limited in accelerating field (10–100 MV/m) requiring therefore hundred of meters of distances to reach the GeV energies, but can provide very bright electron bunches. To combine high brightness electron bunches from conventional accelerators and high accelerating fields reachable with plasmas could be a good compromise allowing to further accelerate high brightness electron bunches coming from LINAC while preserving electron beam quality. Following the idea of plasma wave resonant excitation driven by a train of short bunches, we have started to study the requirements in terms of plasma for SPARC-LAB (Ferrario et al., 2013 [1]). In particular here we focus on hydrogen plasma discharge, and in particular on the theoretical and numerical estimates of the ionization process which are very useful to design the discharge circuit and to evaluate the current needed to be supplied to the gas in order to have full ionization. Eventually, the current supplied to the gas simulated will be compared to that measured experimentally.

  11. Spheroidization by Plasma Processing and Characterization of Stainless Steel Powder for 3D Printing

    Science.gov (United States)

    Ji, Lina; Wang, Changzhen; Wu, Wenjie; Tan, Chao; Wang, Guoyu; Duan, Xuan-Ming

    2017-10-01

    Stainless steel 316L (SS 316L) powder was spheroidized by plasma processing to improve its suitability for powder 3D printing. The obtained spheroidized (sphero) powder was characterized in terms of its crystalline phases, elemental composition, morphology, particle size and distribution, light absorption, and flow properties. The elemental composition of the sphero powder met the Chinese standard for SS 316L except for its Si content. The volume fraction of ferrite increased after plasma processing. Furthermore, plasma processing was shown to not only reduce the mean size of the particles in the size range of 10 to 100 μm but also generate particles in the size range of 0.1 to 10 μm. The smaller particles filled the voids among larger particles, increasing the powder density. The light absorption was also increased owing to enhanced internal reflection. Although the basic flow energy decreased after plasma processing, the flow function (FF) value was smaller for the sphero powder, indicating a lower flowability of the sphero powder. However, the density of SS 316L pieces printed with commercial and sphero powders was 98.76 pct and 98.16 pct of the SS 316L bulk density, respectively, indicating the suitability of the sphero powder for 3D printing despite an FF below 10.

  12. Quiescent plasma machine for beam-plasma interaction and wave studies

    International Nuclear Information System (INIS)

    Ferreira, J.L.

    1994-01-01

    A quiescent double plasma machine for beam-plasma interaction wave studies is described. A detailed description of several plasma diagnostics used for plasma and wave excitation detection is given. A beam-plasma wave dispersion relation is used to compare theoretical values with the experimentally measured Langmuir wave frequencies and wavelengths. (author). 14 refs, 10 figs

  13. Microwave plasma mode conversion

    International Nuclear Information System (INIS)

    Torres, H.S.; Sakanaka, P.H.; Villarroel, C.H.

    1985-01-01

    The behavior of hot electrons during the process of laser-produced plasma is studied. The basic equations of mode conversion from electromagnetic waves to electrostatic waves are presented. It is shown by mode conversion, that, the resonant absorption and parametric instabilities appear simultaneously, but in different plasma regions. (M.C.K.) [pt

  14. Analysis of radiofrequency discharges in plasma

    Science.gov (United States)

    Kumar, D.; McGlynn, S.P.

    1992-08-04

    Separation of laser optogalvanic signals in plasma into two components: (1) an ionization rate change component, and (2) a photoacoustic mediated component. This separation of components may be performed even when the two components overlap in time, by measuring time-resolved laser optogalvanic signals in an rf discharge plasma as the rf frequency is varied near the electrical resonance peak of the plasma and associated driving/detecting circuits. A novel spectrometer may be constructed to make these measurements. Such a spectrometer would be useful in better understanding and controlling such processes as plasma etching and plasma deposition. 15 figs.

  15. The Plasma Archipelago: Plasma Physics in the 1960s

    Science.gov (United States)

    Weisel, Gary J.

    2017-09-01

    With the foundation of the Division of Plasma Physics of the American Physical Society in April 1959, plasma physics was presented as the general study of ionized gases. This paper investigates the degree to which plasma physics, during its first decade, established a community of interrelated specialties, one that brought together work in gaseous electronics, astrophysics, controlled thermonuclear fusion, space science, and aerospace engineering. It finds that, in some regards, the plasma community was indeed greater than the sum of its parts and that its larger identity was sometimes glimpsed in inter-specialty work and studies of fundamental plasma behaviors. Nevertheless, the plasma specialties usually worked separately for two inter-related reasons: prejudices about what constituted "basic physics," both in the general physics community and within the plasma community itself; and a compartmentalized funding structure, in which each funding agency served different missions.

  16. Beam-plasma instability in charged plasma in the absence of ions

    Energy Technology Data Exchange (ETDEWEB)

    Dubinov, Alexander E. [National Research Nuclear University “MEPhI,” Kashirskoe Highway, 31, Moscow 115409, Russia and Sarov State Institute of Physics and Technology (SarFTI) of National Research Nuclear University “MEPhI,” Dukhova Str., 6, Sarov, Nizhni Novgorod Region 607186 (Russian Federation); Petrik, Alexey G. [Saratov State Technical University, Politechnicheskaja 77, Saratov 410028 (Russian Federation); Kurkin, Semen A.; Frolov, Nikita S.; Koronovskii, Alexey A.; Hramov, Alexander E., E-mail: hramovae@gmail.com [Saratov State Technical University, Politechnicheskaja 77, Saratov 410028 (Russian Federation); Saratov State University, Astrakhanskaja 83, Saratov 410012 (Russian Federation)

    2016-04-15

    We report on the possibility of the beam-plasma instability development in the system with electron beam interacting with the single-component hot electron plasma without ions. As considered system, we analyse the interaction of the low-current relativistic electron beam (REB) with squeezed state in the high-current REB formed in the relativistic magnetically insulated two-section vircator drift space. The numerical analysis is provided by means of 3D electromagnetic simulation in CST Particle Studio. We have conducted an extensive study of characteristic regimes of REB dynamics determined by the beam-plasma instability development in the absence of ions. As a result, the dependencies of instability increment and wavelength on the REB current value have been obtained. The considered process brings the new mechanism of controlled microwave amplification and generation to the device with a virtual cathode. This mechanism is similar to the action of the beam-plasma amplifiers and oscillators.

  17. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  18. PLASMA-2013: International Conference on Research and Applications of Plasmas (Warsaw, Poland, 2-6 September 2013)

    Science.gov (United States)

    Sadowski, Marek J.

    2014-05-01

    The PLASMA-2013 International Conference on Research and Applications of Plasmas was held in Warsaw (Poland) from 2 to 6 September 2013. The conference was organized by the Institute of Plasma Physics and Laser Microfusion, under the auspices of the Polish Physical Society. The scope of the PLASMA conferences, which have been organized every two years since 1993, covers almost all issues of plasma physics and fusion research as well as selected problems of plasma technology. The PLASMA-2013 conference topics included: •Elementary processes and general plasma physics. •Plasmas in tokamaks and stellarators (magnetic confinement fusion). •Plasmas generated by laser beams and inertial confinement fusion. •Plasmas produced by Z-pinch and plasma-focus discharges. •Low-temperature plasma physics. •Space plasmas and laboratory astrophysics. •Plasma diagnostic methods and applications of plasmas. This conference was designed not only for plasma researchers and engineers, but also for students from all over the world, in particular for those from Central and Eastern Europe. Almost 140 participants had the opportunity to hear 9 general lectures, 11 topical talks and 26 oral presentations, as well as to see and discuss around 120 posters. From about 140 contributions, after the preparation of about 100 papers and the peer review process, only 74 papers have been accepted for publication in this topical issue. Acknowledgments Acting on behalf of the International Scientific Committee I would like to express our thanks to all the invited speakers and all the participants of the PLASMA-2013 conference for their numerous contributions. In particular, I wish to thank all of the authors of papers submitted for publication in this topical issue of Physica Scripta . Particular thanks are due to all of the reviewers for their valuable reports and comments, which helped to improve the quality of many of the papers. International Scientific Committee Marek J Sadowski, NCBJ

  19. Dust particle formation in silane plasmas

    NARCIS (Netherlands)

    Sorokin, M.

    2005-01-01

    Dust can be found anywhere: in the kitchen, in the car, in space… Not surprisingly we also see dust in commercial and laboratory plasmas. Dust can be introduced in the plasma, but it can also grow there by itself. In the microelectronics industry, contamination of the processing plasma by dust is an

  20. Experimental studies on beam-plasma interaction

    International Nuclear Information System (INIS)

    Kiwamoto, Y.

    1977-01-01

    Beam-handling technology has reached now at such a level as to enable highly controlled experiments of beam-plasma interaction. Varieties of hypotheses and suppositions about the beam propagation and interaction in space plasma can be proved and often be corrected by examining the specific processes in laboratory plasma. The experiments performed in this way by the author are briefed: ion beam instability in unmagnetized plasma; ion beam instability perpendicular to magnetic field; and electron beam instability. (Mori, K.)

  1. Plasma catalytic reforming of methane

    Energy Technology Data Exchange (ETDEWEB)

    Bromberg, L.; Cohn, D.R.; Rabinovich, A. [Massachusetts Inst. of Technology, Cambridge, MA (United States). Plasma Science and Fusion Center; Alexeev, N. [Russian Academy of Sciences, Moscow (Russian Federation). Baikov Inst. of Metallurgy

    1998-08-01

    Thermal plasma technology can be efficiently used in the production of hydrogen and hydrogen-rich gases from methane and a variety of fuels. This paper describes progress in plasma reforming experiments and calculations of high temperature conversion of methane using heterogeneous processes. The thermal plasma is a highly energetic state of matter that is characterized by extremely high temperatures (several thousand degrees Celsius) and high degree of dissociation and substantial degree of ionization. The high temperatures accelerate the reactions involved in the reforming process. Hydrogen-rich gas (50% H{sub 2}, 17% CO and 33% N{sub 2}, for partial oxidation/water shifting) can be efficiently made in compact plasma reformers. Experiments have been carried out in a small device (2--3 kW) and without the use of efficient heat regeneration. For partial oxidation/water shifting, it was determined that the specific energy consumption in the plasma reforming processes is 16 MJ/kg H{sub 2} with high conversion efficiencies. Larger plasmatrons, better reactor thermal insulation, efficient heat regeneration and improved plasma catalysis could also play a major role in specific energy consumption reduction and increasing the methane conversion. A system has been demonstrated for hydrogen production with low CO content ({approximately} 1.5%) with power densities of {approximately} 30 kW (H{sub 2} HHV)/liter of reactor, or {approximately} 10 m{sup 3}/hr H{sub 2} per liter of reactor. Power density should further increase with increased power and improved design.

  2. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described for electron beam heating of a high-density plasma to drive a fast liner. An annular or solid relativistic electron beam is used to heat a plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the plasma then converges on a fast liner to explosively or ablatively drive the liner to implosion. (U.K.)

  3. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  4. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  5. Plasma research in the thermodynamics division

    International Nuclear Information System (INIS)

    Gorczyca, B.; Sado, J.

    1995-01-01

    In this state some recent research concerning the theoretical and experimental investigations of low- and high-temperature plasmas was done. The model of LTE and non-LTE was used to descriptions of plasma conditions. The non-LTE assumptions were applied to two-temperature state of plasmas and to the ionizational nonequilibrium. The result of the research was the analysis of the transport phenomena in isotropic and anisotropic plasmas, where anisotropic was done by the external electric or magnetic fields. The experimental part of the research concerned the plasma measurement methods, particularly applied to the Klein effect, the spectroscopic methods and the laser diagnostics of plasma. Some research studied the interactions between strong magnetic field and injected gas flux to a reactor. This is the fundamental problem in controlled fission processes (the high-temperature plasma). (author). 9 refs, 12 figs

  6. Dusty plasma phase in a steady state plasma device

    International Nuclear Information System (INIS)

    Liang Xiaoping; Zheng Jian; Ma Jinxiu; Liu Wangdong; Zhuang Ge; Xie Jinlin; Wang Congrong; Yu Changxuan

    2000-01-01

    A DC discharge dusty plasma device used for study of waves in dusty plasma is introduced. A dusty plasma column is produced with about 30 cm in length and about 8.4 cm in diameter. The electron saturation current of Langmuir probe is obviously decreasing while the dust grains are present in the plasma. The negative charge on dust grains is directly proportional to the rotation rate of the dispenser. And the dust grains carry up to 40% of the negative charges in the whole plasma

  7. Transition in plasma fluctuation between attached and detached plasmas

    International Nuclear Information System (INIS)

    Okazaki, Katsuya; Ohno, Noriyasu; Kajita, Shin; Tanaka, Hirohiko

    2012-01-01

    The static and dynamic behaviors of detached plasmas have received considerable attention because the use of a detached divertor is thought to provide a promising method for reducing the heat flux to plasma-facing components. In this study, fluctuations were measured with an electrostatic probe as the plasma was changed from attached to detached states by increasing the neutral gas pressure. The transition from an attached plasma to a detached plasma was found to change the phase relation between the density and the potential. (author)

  8. Plasma simulation in space propulsion : the helicon plasma thruster

    OpenAIRE

    Navarro Cavallé, Jaume

    2017-01-01

    The Helicon Plasma Thruster (HPT) is an electrodynamic rocket proposed in the early 2000s. It matches an Helicon Plasma Source (HPS), which ionizes the neutral gas and heats up the plasma, with aMagneticNozzle (MN),where the plasma is supersonically accelerated resulting in thrust. Although the core of this thruster inherits the knowledge on Helicon Plasma sources, dated from the seventies, the HPT technology is still not developed and remains below TRL 4. A deep review of the HPT State-of-ar...

  9. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  10. Numerical studies of transport processes in Tokamak plasma

    International Nuclear Information System (INIS)

    Spineanu, F.; Vlad, M.

    1984-09-01

    The paper contains the summary of a set of studies of the transport processes in tokamak plasma, performed with a one-dimensional computer code. The various transport models (which are implemented by the expressions of the transport coefficients) are presented in connection with the regimes of the dynamical development of the discharge. Results of studies concerning the skin effect and the large scale MHD instabilities are also included

  11. Development of Process for Plasma Spray:Case Study for Molybdenum

    Czech Academy of Sciences Publication Activity Database

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matějíček, Jiří; Gilmore, D. L.; Neiser, R. A.

    2003-01-01

    Roč. 348, 1-2 (2003), s. 54-66 ISSN 0921-5093 Grant - others:NSF(US) DMR9632570 Institutional research plan: CEZ:AV0Z2043910 Keywords : process maps, plasma spray, thermal spray Subject RIV: JG - Metallurgy Impact factor: 1.365, year: 2003

  12. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  13. Confluence or independence of microwave plasma bullets in atmospheric argon plasma jet plumes

    Science.gov (United States)

    Li, Ping; Chen, Zhaoquan; Mu, Haibao; Xu, Guimin; Yao, Congwei; Sun, Anbang; Zhou, Yuming; Zhang, Guanjun

    2018-03-01

    Plasma bullet is the formation and propagation of a guided ionization wave (streamer), normally generated in atmospheric pressure plasma jet (APPJ). In most cases, only an ionization front produces in a dielectric tube. The present study shows that two or three ionization fronts can be generated in a single quartz tube by using a microwave coaxial resonator. The argon APPJ plumes with a maximum length of 170 mm can be driven by continuous microwaves or microwave pulses. When the input power is higher than 90 W, two or three ionization fronts propagate independently at first; thereafter, they confluence to form a central plasma jet plume. On the other hand, the plasma bullets move independently as the lower input power is applied. For pulsed microwave discharges, the discharge images captured by a fast camera show the ionization process in detail. Another interesting finding is that the strongest lightening plasma jet plumes always appear at the shrinking phase. Both the discharge images and electromagnetic simulations suggest that the confluence or independent propagation of plasma bullets is resonantly excited by the local enhanced electric fields, in terms of wave modes of traveling surface plasmon polaritons.

  14. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  15. Streaming metal plasma generation by vacuum arc plasma guns

    International Nuclear Information System (INIS)

    MacGill, R.A.; Dickinson, M.R.; Anders, A.; Monteiro, O.R.; Brown, I.G.

    1998-01-01

    We have developed several different embodiments of repetitively pulsed vacuum arc metal plasma gun, including miniature versions, multicathode versions that can produce up to 18 different metal plasma species between which one can switch, and a compact high-duty cycle well-cooled version, as well as a larger dc gun. Plasma guns of this kind can be incorporated into a vacuum arc ion source for the production of high-energy metal ion beams, or used as a plasma source for thin film formation and for metal plasma immersion ion implantation and deposition. The source can also be viewed as a low-energy metal ion source with ion drift velocity in the range 20 - 200 eV depending on the metal species used. Here we describe the plasma sources that we have developed, the properties of the plasma generated, and summarize their performance and limitations. copyright 1998 American Institute of Physics

  16. An overview of plasma-in-liquid experimental studies at the University of Michigan's Plasma Science and Technology Laboratory

    Science.gov (United States)

    Foster, John; Howard, Cameron; Sommers, Bradley

    2010-11-01

    Plasma production or plasma injection in liquid water affords one the opportunity to nonthermally inject advanced oxidation processes into water for the purpose of sterilization or chemical processing. Limitations of current injection approaches include limited throughput capacity, electrode erosion, and reduced process volume. Currently we are investigating two potential approaches to circumventing these issues. These include direct plasma injection using an underwater DBD plasma jet and the direct excitation of underwater isolated bubbles via a pulsed electric field. Presented here are results from these ongoing tests, which include a comparative study of the effectiveness of microdischarge, and plasma jet direct injection approaches on the decomposition of Methylene Blue dye. Additionally, an approach to excitation of isolated bubbles using pulsed electric fields is also discussed. Streamer propagation dynamics such as surface propagation and the observed excitation of surface waves on electrode-attached and free bubbles are also discussed.

  17. Non-equilibrium in flowing atmospheric plasmas

    International Nuclear Information System (INIS)

    Haas, J.C.M. de.

    1986-01-01

    This thesis deals with the fundamental aspects of two different plasmas applied in technological processes. The first one is the cesium seeded argon plasma in a closed cycle Magnetohydrodynamic (MHD) generator, the second is the thermal argon plasma in a cascade arc with an imposed flow. In Chapter 2 the influence of non-equilibrium on the mass and energy balances of a plasma is worked out. The general theory presented there can be applied to both the plasma in an MHD generator and to the cascade arc with imposed flow. Introductions to these plasmas are given in the Chapters 3 and 6 respectively. These chapters are both followed by two chapters which treat the theoretical and the experimental investigations. The results are summarized in Chapter 9. (Auth.)

  18. High-beta plasma blobs in the morningside plasma sheet

    Directory of Open Access Journals (Sweden)

    G. Haerendel

    1999-12-01

    Full Text Available Equator-S frequently encountered, i.e. on 30% of the orbits between 1 March and 17 April 1998, strong variations of the magnetic field strength of typically 5–15-min duration outside about 9RE during the late-night/early-morning hours. Very high-plasma beta values were found, varying between 1 and 10 or more. Close conjunctions between Equator-S and Geotail revealed the spatial structure of these "plasma blobs" and their lifetime. They are typically 5–10° wide in longitude and have an antisymmetric plasma or magnetic pressure distribution with respect to the equator, while being altogether low-latitude phenomena  (≤ 15°. They drift slowly sunward, exchange plasma across the equator and have a lifetime of at least 15–30 min. While their spatial structure may be due to some sort of mirror instability, little is known about the origin of the high-beta plasma. It is speculated that the morningside boundary layer somewhat further tailward may be the source of this plasma. This would be consistent with the preference of the plasma blobs to occur during quiet conditions, although they are also found during substorm periods. The relation to auroral phenomena in the morningside oval is uncertain. The energy deposition may be mostly too weak to generate a visible signature. However, patchy aurora remains a candidate for more disturbed periods.Key words. Magnetospheric physics (plasma convection; plasma sheet; plasma waves and instabilities

  19. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  20. Charge dependence of the plasma travel length in atmospheric-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Yambe, Kiyoyuki; Konda, Kohmei; Masuda, Seiya [Graduate School of Science and Technology, Niigata University, Niigata 950-2181 (Japan)

    2016-06-15

    Plasma plume is generated using a quartz tube, helium gas, and foil electrode by applying AC high voltage under the atmosphere. The plasma plume is released into the atmosphere from inside of the quartz tube and is seen as the continuous movement of the plasma bullet. The travel length of plasma bullet is defined from plasma energy and force due to electric field. The drift velocity of plasma bullet has the upper limit under atmospheric-pressure because the drift velocity is determined from the balance between electric field and resistive force due to collisions between plasma and air. The plasma plume charge depends on the drift velocity. Consequently, in the laminar flow of helium gas flow state, the travel length of the plasma plume logarithmically depends on the plasma plume charge which changes with both the electric field and the resistive force.

  1. Charge dependence of the plasma travel length in atmospheric-pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Konda, Kohmei; Masuda, Seiya

    2016-01-01

    Plasma plume is generated using a quartz tube, helium gas, and foil electrode by applying AC high voltage under the atmosphere. The plasma plume is released into the atmosphere from inside of the quartz tube and is seen as the continuous movement of the plasma bullet. The travel length of plasma bullet is defined from plasma energy and force due to electric field. The drift velocity of plasma bullet has the upper limit under atmospheric-pressure because the drift velocity is determined from the balance between electric field and resistive force due to collisions between plasma and air. The plasma plume charge depends on the drift velocity. Consequently, in the laminar flow of helium gas flow state, the travel length of the plasma plume logarithmically depends on the plasma plume charge which changes with both the electric field and the resistive force.

  2. Plasma fractionation issues.

    Science.gov (United States)

    Farrugia, Albert; Evers, Theo; Falcou, Pierre-Francois; Burnouf, Thierry; Amorim, Luiz; Thomas, Sylvia

    2009-04-01

    Procurement and processing of human plasma for fractionation of therapeutic proteins or biological medicines used in clinical practice is a multi-billion dollar international trade. Together the private sector and public sector (non-profit) provide large amounts of safe and effective therapeutic plasma proteins needed worldwide. The principal therapeutic proteins produced by the dichotomous industry include gamma globulins or immunoglobulins (including pathogen-specific hyperimmune globulins, such as hepatitis B immune globulins) albumin, factor VIII and Factor IX concentrates. Viral inactivation, principally by solvent detergent and other processes, has proven highly effective in preventing transmission of enveloped viruses, viz. HBV, HIV, and HCV.

  3. Plasma rotation study in Tore Supra radio frequency heated plasmas

    International Nuclear Information System (INIS)

    Chouli, Bilal

    2014-01-01

    Toroidal flows are found to improve the performance of the magnetic confinement devices with increase of the plasma stability and confinement. In ITER or future reactors, the torque from NBI should be less important than in present-day tokamaks. Consequently, it is of interest to study other intrinsic mechanisms that can give rise to plasma rotation in order to predict the rotation profile in experiments. Intriguing observations of plasmas rotation have been made in radio frequency (RF) heated plasmas with little or no external momentum injection. Toroidal rotation in both the direction of the plasma current (co-current) and in the opposite direction (counter-current) has been observed depending on the heating schemes and plasma performance. In Tore Supra, most observations in L-mode plasmas have been in the counter-current direction. However, in this thesis, we show that in lower hybrid current drive (LHCD), the core toroidal rotation increment is in co- or counter-current direction depending on the plasma current amplitude. At low plasma current the rotation change is in the co-current direction while at high plasma current, the change is in the counter-current direction. In both low and high plasma current cases, rotation increments are found to increase linearly with the injected LH power. Several mechanisms in competition which can induce co- or counter-current rotation in Tore Supra LHCD plasmas are investigated and typical order of magnitude are discussed in this thesis. (author) [fr

  4. Optical plasma torch electron bunch generation in plasma wakefield accelerators

    Directory of Open Access Journals (Sweden)

    G. Wittig

    2015-08-01

    Full Text Available A novel, flexible method of witness electron bunch generation in plasma wakefield accelerators is described. A quasistationary plasma region is ignited by a focused laser pulse prior to the arrival of the plasma wave. This localized, shapeable optical plasma torch causes a strong distortion of the plasma blowout during passage of the electron driver bunch, leading to collective alteration of plasma electron trajectories and to controlled injection. This optically steered injection is more flexible and faster when compared to hydrodynamically controlled gas density transition injection methods.

  5. Vaporized wall material/plasma interaction during plasma disruption

    International Nuclear Information System (INIS)

    Merrill, B.J.; Carroll, M.C.; Jardin, S.C.

    1983-01-01

    The purpose of this paper is to discuss a new plasma disruption model that has been developed for analyzing the consequences to the limiter/first wall structures. This model accounts for: nonequilibrium surface vaporization for the ablating structure, nonequilibrium ionization of and radiation emitted from the ablated material in the plasma, plasma particle and energy transport, and plasma electromagnetic field evolution during the disruption event. Calculations were performed for a 5 ms disruption on a stainless steel flat limiter as part of a D-shaped first wall. These results indicated that the effectiveness of the ablated wall material to shield the exposed structure is greater than predicted by earlier models, and that the rate of redeposition of the ablated wall material ions is very dramatic. Impurity transport along magnetic field lines, global plasma motion, and radiation transport in an optically thick plasma are important factors that require additional modeling. Experimental measurements are needed to verify these models

  6. A survey of dusty plasma physics

    International Nuclear Information System (INIS)

    Shukla, P.K.

    2001-01-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  7. A survey of dusty plasma physics

    Science.gov (United States)

    Shukla, P. K.

    2001-05-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  8. Plasma properties

    International Nuclear Information System (INIS)

    Weitzner, H.

    1990-06-01

    This paper discusses the following topics: MHD plasma activity: equilibrium, stability and transport; statistical analysis; transport studies; edge physics studies; wave propagation analysis; basic plasma physics and fluid dynamics; space plasma; and numerical methods

  9. Plasmas for medicine

    Science.gov (United States)

    von Woedtke, Th.; Reuter, S.; Masur, K.; Weltmann, K.-D.

    2013-09-01

    Plasma medicine is an innovative and emerging field combining plasma physics, life science and clinical medicine. In a more general perspective, medical application of physical plasma can be subdivided into two principal approaches. (i) “Indirect” use of plasma-based or plasma-supplemented techniques to treat surfaces, materials or devices to realize specific qualities for subsequent special medical applications, and (ii) application of physical plasma on or in the human (or animal) body to realize therapeutic effects based on direct interaction of plasma with living tissue. The field of plasma applications for the treatment of medical materials or devices is intensively researched and partially well established for several years. However, plasma medicine in the sense of its actual definition as a new field of research focuses on the use of plasma technology in the treatment of living cells, tissues, and organs. Therefore, the aim of the new research field of plasma medicine is the exploitation of a much more differentiated interaction of specific plasma components with specific structural as well as functional elements or functionalities of living cells. This interaction can possibly lead either to stimulation or inhibition of cellular function and be finally used for therapeutic purposes. During recent years a broad spectrum of different plasma sources with various names dedicated for biomedical applications has been reported. So far, research activities were mainly focused on barrier discharges and plasma jets working at atmospheric pressure. Most efforts to realize plasma application directly on or in the human (or animal) body for medical purposes is concentrated on the broad field of dermatology including wound healing, but also includes cancer treatment, endoscopy, or dentistry. Despite the fact that the field of plasma medicine is very young and until now mostly in an empirical stage of development yet, there are first indicators of its enormous

  10. Ball lightning as a spherical plasma configuration of relevance to industrial plasma engineering

    International Nuclear Information System (INIS)

    Roth, J.R.

    1992-01-01

    One of the most interesting spherical plasma configurations found in nature is ball lightning, which has been extensively observed in atmospheric air, usually in association with thunderstorms. If the physical processes responsible for ball lightning were understood, this knowledge would have very interesting implications not only for fusion research, but also for industrial plasma engineering. The ability to create a steady-state atmospheric glow discharge would allow many surface modification and other plasma processing applications to be carried out under atmospheric conditions, rather than in expensive vacuum systems which enforce batch processing operations. The existence of ball lightning offers encouragement for the belief that a steady-state atmospheric glow discharge may be possible. Much progress has been made in theoretical attempts to understand ball lightning, perhaps the most comprehensive of which is the Koloc model. This and related models have not satisfactorily dealt with the long plasma lifetimes in the face of electron scattering at atmospheric density. This leads to an unsatisfactory aspect of the Koloc model, the requirement of a shell of relativistic electrons with very low Coulomb scattering cross sections. This last major conceptual roadblock in understanding ball lightning may have been removed by the recent suggestion of Witalis who pointed out that atmospheric gases, or their products, can exhibit the Ramsauer effect, extremely low electron scattering cross sections at low electron kinetic temperatures, on the order of one eV. This recent progress in ball lightning models has stimulated research aimed at producing a steady state glow discharge in air at atmospheric pressure. Such a glow discharge in argon with a few ppm of acetone has been reported by Kanda, et al. At the UTK Plasma Science Laboratory, the authors have generated at 2.8 liter plasma in a steady-state atmospheric pressure glow discharge in helium and other gases

  11. X-Ray photoelectron spectroscopy analysis of plasma-polymer interactions for development of low-damage plasma processing of soft materials

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    Plasma-polymer interactions have been investigated using atomic force microscopy (AFM) and x-ray photoelectron spectroscopy (XPS) of polyethyleneterephthalate (PET) films, which have been exposed to argon plasmas driven by low-inductance antenna modules as a parameter of ion energy. The AFM images indicated that the argon plasma exposure exhibited a significant change in surface roughness. The XPS analyses suggested that the degradation of chemical bonding structure and/or bond scission of PET could be effectively suppressed in the plasma exposures with ion energies below 6 eV. However, significant degradations of O = C-O bond, C-O bond and phenyl group were observed with increasing ion energy above 6 eV.

  12. Possibility for a self-consistent treatment of transport processes in a turbulent plasma

    International Nuclear Information System (INIS)

    Mondt, J.P.

    1985-06-01

    All commonly used models of plasma dynamics share a common flaw in their a priori validity. In particular, a solid foundation of plasma modelling on microscopic dynamics, as exists for moderately dilute gases, is obscured because of the difficulties inherent in the treatment of the potentially very important interplay between plasma waves and collisional processes. The present report briefly discusses the nature of these difficulties and presents a possible approach towards the establishment of a plasma theory founded on the microscopic particle dynamics. The essence of this approach is the realization that only discrete particle interactions can create correlations. These therefore come into being on different spatial scales depending on their cluster number, after which collective effects magnify them analogous to the growth of intial perturbations in an unstable system. Truncation of the Born-Bogolyubov-Green-Kirkwood-Yvon ('BBGKY') hierarchy thereby becomes a possibility through the introduction of a small parameter in intial conditions although the dynamical system in itself does not contain a uniformly small parameter

  13. Design Considerations in Capacitively Coupled Plasmas

    Science.gov (United States)

    Song, Sang-Heon; Ventzek, Peter; Ranjan, Alok

    2015-11-01

    Microelectronics industry has driven transistor feature size scaling from 10-6 m to 10-9 m during the past 50 years, which is often referred to as Moore's law. It cannot be overstated that today's information technology would not have been so successful without plasma material processing. One of the major plasma sources for the microelectronics fabrication is capacitively coupled plasmas (CCPs). The CCP reactor has been intensively studied and developed for the deposition and etching of different films on the silicon wafer. As the feature size gets to around 10 nm, the requirement for the process uniformity is less than 1-2 nm across the wafer (300 mm). In order to achieve the desired uniformity, the hardware design should be as precise as possible before the fine tuning of process condition is applied to make it even better. In doing this procedure, the computer simulation can save a significant amount of resources such as time and money which are critical in the semiconductor business. In this presentation, we compare plasma properties using a 2-dimensional plasma hydrodynamics model for different kinds of design factors that can affect the plasma uniformity. The parameters studied in this presentation include chamber accessing port, pumping port, focus ring around wafer substrate, and the geometry of electrodes of CCP.

  14. Modelling of new generation plasma optical devices

    Directory of Open Access Journals (Sweden)

    Litovko Irina V.

    2016-06-01

    Full Text Available The paper presents new generation plasma optical devices based on the electrostatic plasma lens configuration that opens a novel attractive possibility for effective high-tech practical applications. Original approaches to use of plasma accelerators with closed electron drift and open walls for the creation of a cost-effective low-maintenance plasma lens with positive space charge and possible application for low-cost, low-energy rocket engine are described. The preliminary experimental, theoretical and simulation results are presented. It is noted that the presented plasma devices are attractive for many different applications in the state-of-the-art vacuum-plasma processing.

  15. The spray-drying process is sufficient to inactivate infectious porcine epidemic diarrhea virus in plasma.

    Science.gov (United States)

    Gerber, Priscilla F; Xiao, Chao-Ting; Chen, Qi; Zhang, Jianqiang; Halbur, Patrick G; Opriessnig, Tanja

    2014-11-07

    Porcine epidemic diarrhea virus (PEDV) is considered an emergent pathogen associated with high economic losses in many pig rearing areas. Recently it has been suggested that PEDV could be transmitted to naïve pig populations through inclusion of spray-dried porcine plasma (SDPP) into the nursery diet which led to a ban of SDPP in several areas in North America and Europe. To determine the effect of spray-drying on PEDV infectivity, 3-week-old pigs were intragastrically inoculated with (1) raw porcine plasma spiked with PEDV (RAW-PEDV-CONTROL), (2) porcine plasma spiked with PEDV and then spray dried (SD-PEDV-CONTROL), (3) raw plasma from PEDV infected pigs (RAW-SICK), (4) spray-dried plasma from PEDV infected pigs (SD-SICK), or (5) spray-dried plasma from PEDV negative pigs (SD-NEG-CONTROL). For the spray-drying process, a tabletop spray-dryer with industry-like settings for inlet and outlet temperatures was used. In the RAW-PEDV-CONTROL group, PEDV RNA was present in feces at day post infection (dpi) 3 and the pigs seroconverted by dpi 14. In contrast, PEDV RNA in feces was not detected in any of the pigs in the other groups including the SD-PEDV-CONTROL group and none of the pigs had seroconverted by termination of the project at dpi 28. This work provides direct evidence that the experimental spray-drying process used in this study was effective in inactivating infectious PEDV in the plasma. Additionally, plasma collected from PEDV infected pigs at peak disease did not contain infectious PEDV. These findings suggest that the risk for PEDV transmission through commercially produced SDPP is minimal. Copyright © 2014 Elsevier B.V. All rights reserved.

  16. Very low pressure plasma sprayed yttria-stabilized zirconia coating using a low-energy plasma gun

    International Nuclear Information System (INIS)

    Zhu, Lin; Zhang, Nannan; Bolot, Rodolphe; Planche, Marie-Pierre; Liao, Hanlin; Coddet, Christian

    2011-01-01

    In the present study, a more economical low-energy plasma source was used to perform a very low pressure plasma-spray (VLPPS) process. The plasma-jet properties were analyzed by means of optical emission spectroscopy (OES). Moreover, yttria-stabilized zirconia coating (YSZ) was elaborated by a F100 low-power plasma gun under working pressure of 1 mbar, and the substrate specimens were partially shadowed by a baffle-plate during plasma spraying for obtaining different coating microstructures. Based on the SEM observation, a column-like grain coating was deposited by pure vapor deposition at the shadowed region, whereas, in the unshadowed region, the coating exhibited a binary microstructure which was formed by a mixed deposition of melted particles and evaporated particles. The mechanical properties of the coating were also well under investigation. (orig.)

  17. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  18. IEEE conference record -- Abstracts: 1996 IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    Anon.

    1996-01-01

    This meeting covered the following topics: space plasmas; non-equilibrium plasma processing; computer simulation of vacuum power tubes; vacuum microelectronics; microwave systems; basic phenomena in partially ionized gases -- gaseous electronics, electrical discharges; ball lightning/spherical plasma configuration; plasma diagnostics; plasmas for lighting; dense plasma focus; intense ion and electron beams; plasma, ion, and electron sources; flat panel displays; fast z-pinches and x-ray lasers; environmental/energy issues in plasma science; thermal plasma processing; computational plasma physics; magnetic confinement fusion; microwave-plasma interactions; space plasma engineering; EM and ETH launchers; fast wave devices; intense beam microwaves; slow wave devices; space plasma measurements; basic phenomena in fully ionized plasma -- waves, instabilities, plasma theory, etc; plasma closing switches; fast opening switches; and laser-produced plasma. Separate abstracts were prepared for most papers in this conference

  19. Simulation of some nonstationary astrophysical processes in laser-produced-plasma experiments

    International Nuclear Information System (INIS)

    Antonov, V.M.; Zakharov, Yu.P.; Orishich, A.M.; Ponomarenko, A.G.; Posukh, V.G.

    1985-01-01

    Preliminary results and calibration are reported on the astrophysical plasma dynamics simulator. This apparatus creates a spherical plasma cloud by the irradiation of a perlon filament target from two radial opposite directions by pulses of highly ionized background plasma in a high-vacuum chamber with diameter of 1.2 m and length of 5 m. The spherical plasma cloud simulates the exploding peripheric part of a supernova, expanding into the interstellar medium. (author)

  20. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.