WorldWideScience

Sample records for plasma-material surface interaction

  1. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  2. Plasma-material interactions

    International Nuclear Information System (INIS)

    Wilson, K.L.

    1984-01-01

    Plasma-interactive components must be resistant to erosion processes, efficient in heat removal, and effective in minimizing tritium inventory and permeation. As long as plasma edge temperatures are 50 eV, no one material can satisfy the diverse requirements imposed by these plasma materials interactions. The only solution is the design of duplex, or even more complicated, structures. The material that faces the plasma should be low atomic number, with acceptable erosion and evaporation characteristics. The substrate material must have high thermal conductivity for heat removal. Finally, materials must be selected judiciously for tritium compatibility. In conclusion, materials play a critical role in the achievement of safe and economical magnetic fusion energy. Improvements in materials have already led to many advances in present day device operation, but additional innovative materials solutions are required for the critical plasma materials interaction issues in future power reactors

  3. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  4. Plasma surface interaction studies in Japan

    International Nuclear Information System (INIS)

    Hino, T.; Hirohata, Y.; Yamashina, T.

    1994-01-01

    In order to achieve a long burning time period in a fusion reactor, the interactions between the plasma facing materials and the fusion plasma have to be well controlled. Namely, the radiation loss due to impurities and deterioration of the energy confinement time due to fuel particle recyclings have to be suppressed, in addition to the requirement of heat removal based on a high heat flux component. Recently, in Japan, the plasma facing material/component has been very actively developed for ITER and Large Helical Device (LHD). In this review paper, we briefly introduce the following issues, (1) progress of plasma surface interactions in tokamaks and helical devices, (2) development of plasma facing materials, (3) divertor development, (4) boronization, (5) selective pumping of helium ash, (6) tritium retention, and (7) neutron damage of graphite plasma facing material. (author)

  5. Experimental Study of Plasma-Surface Interaction and Material Damage Relevant to ITER Type I Elms

    International Nuclear Information System (INIS)

    Makhlai, V.A.; Bandura, A.N.; Byrka, O.V. and others; Landman, I.; Neklyudov, I.M.

    2006-01-01

    The paper presents experimental investigations of main features of plasma surface interaction and energy transfer to the material surface in dependence on plasma heat loads. The experiments were performed with QSPA repetitive plasma pulses of the duration of 0.25 ms and the energy density up to 2.5 MJ/m 2 . Surface morphology of the targets exposed to QSPA plasma screams is analyzed. Relative contribution of the Lorentz force and plasma pressure gradient to the resulting surface profile is discussed. development of cracking on the tungsten surface and swelling of the surface are found to be in strong dependence on initial temperature of the target

  6. XIX Conference on Plasma Surface Interactions

    International Nuclear Information System (INIS)

    Kurnaev, V A; Gasparyan, Yu M

    2016-01-01

    The 19 th Conference on Plasma Surface Interactions (PSI-2016) was held at National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) on 28-29 January 2016. This is a traditional annual meeting organized by MEPhI for many years and devoted to the recent achievements of Russian scientists and foreign colleagues in experimental and computer simulations of plasma and its components' interactions with plasma faced materials (PFM) for fusion devices and plasma technologies. The conference agenda covered a broad list of topics including: • plasma induced erosion and modification of materials; • radiation damage in materials; • lithium and liquid metals as PFM; • modeling of plasma surface interaction and processes in SOL of tokamak plasma; • diagnostics of plasma-surface interaction. The aim of the conference was to present and discuss new results in PSI in a wide audience with different areas of expertise. The important feature of the conference is to give PhD and undergraduate students the opportunity for approbation of results of their scientific activity and improve their knowledge in the novel directions of R and D in the field of fusion and plasma technologies. In total, more than 80 experienced and young researchers participated in the conference. These Proceedings contain 21 papers selected for publication, which were reviewed by the invited international team of editors (T. Tanabe, S. Petrovich, Ch. Grisolia, Yu. Martynenko, S. Krasheninnikov, L. Begrambekov, A. Pisarev). The Conference as well as editing of this issue were supported by National Research Nuclear University MEPhI in the framework of the Russian Academic Excellence Project (contract No. 02.a03.21.0005, 27.08.2013). Finally, we would like to thank all of the speakers, participants and organizing committee members for their contribution to the conference. Acknowledgements The organizers of the conference would like to thank for the support from National Research

  7. Multi-physics modeling of plasma-material interactions

    Science.gov (United States)

    Lasa, Ane; Green, David; Canik, John; Younkin, Timothy; Blondel, Sophie; Wirth, Brian; Drobny, Jon; Curreli, Davide

    2017-10-01

    Plasma-material interactions (PMI) can degrade both plasma and material properties. Often, PMI modeling focuses on either the plasma or surface. Here, we present an integrated model with high-fidelity codes coupled within the IPS framework that self-consistently addresses PMI. The model includes, calculation of spatially resolved influx of plasma and impurities to the surface and their implantation; surface erosion and roughening; evolution of implanted species and sub-surface composition; and transport of eroded particles across the plasma and their re-deposition. The model is applied and successfully compared to dedicated PISCES linear device experiments, where a tungsten (W) target was exposed to helium (He) plasma. The present contribution will focus on the analysis of W erosion, He retention and sub-surface gas bubble and surface composition evolution, under the different He plasma conditions across the surface that are calculated by impurity transport modeling. Impact of code coupling, reflected as interplay between surface erosion, fuel / impurity implantation and retention, and evolution of target composition, as well as sensitivity of these processes to plasma exposure conditions is also analyzed in detail. This work is supported by the US DOE under contract DE-AC05-00OR22725.

  8. Modeling plasma/material interactions during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1994-10-01

    Disruptions in tokamak reactors are still of serious concern and present a potential obstacle for successful operation and reliable design. Erosion of plasma-facing materials due to thermal energy dump during a disruption can severely limit the lifetime of these components, therefore diminishing the economic feasibility of the reactor. A comprehensive disruption erosion model which takes into account the interplay of major physical processes during plasma-material interaction has been developed. The initial burst of energy delivered to facing-material surfaces from direct impact of plasma particles causes sudden ablation of these materials. As a result, a vapor cloud is formed in front of the incident plasma particles. Shortly thereafter, the plasma particles are stopped in the vapor cloud, heating and ionizing it. The energy transmitted to the material surfaces is then dominated by photon radiation. It is the dynamics and the evolution of this vapor cloud that finally determines the net erosion rate and, consequently, the component lifetime. The model integrates with sufficient detail and in a self-consistent way, material thermal evolution response, plasma-vapor interaction physics, vapor hydrodynamics, and radiation transport in order to realistically simulate the effects of a plasma disruption on plasma-facing components. Candidate materials such as beryllium and carbon have been analyzed. The dependence of the net erosion rate on disruption physics and various parameters was analyzed and is discussed

  9. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  10. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  11. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  12. EDITORIAL: Plasma Surface Interactions for Fusion

    Science.gov (United States)

    2006-05-01

    Because plasma-boundary physics encompasses some of the most important unresolved issues for both the International Thermonuclear Experimental Reactor (ITER) project and future fusion power reactors, there is a strong interest in the fusion community for better understanding and characterization of plasma wall interactions. Chemical and physical sputtering cause the erosion of the limiters/divertor plates and vacuum vessel walls (made of C, Be and W, for example) and degrade fusion performance by diluting the fusion fuel and excessively cooling the core, while carbon redeposition could produce long-term in-vessel tritium retention, degrading the superior thermo-mechanical properties of the carbon materials. Mixed plasma-facing materials are proposed, requiring optimization for different power and particle flux characteristics. Knowledge of material properties as well as characteristics of the plasma material interaction are prerequisites for such optimizations. Computational power will soon reach hundreds of teraflops, so that theoretical and plasma science expertise can be matched with new experimental capabilities in order to mount a strong response to these challenges. To begin to address such questions, a Workshop on New Directions for Advanced Computer Simulations and Experiments in Fusion-Related Plasma Surface Interactions for Fusion (PSIF) was held at the Oak Ridge National Laboratory from 21 to 23 March, 2005. The purpose of the workshop was to bring together researchers in fusion related plasma wall interactions in order to address these topics and to identify the most needed and promising directions for study, to exchange opinions on the present depth of knowledge of surface properties for the main fusion-related materials, e.g., C, Be and W, especially for sputtering, reflection, and deuterium (tritium) retention properties. The goal was to suggest the most important next steps needed for such basic computational and experimental work to be facilitated

  13. Achieving atomistic control in materials processing by plasma–surface interactions

    International Nuclear Information System (INIS)

    Chang, Jeffrey; Chang, Jane P

    2017-01-01

    The continuous down-scaling of electronic devices and the introduction of functionally improved novel materials require a greater atomic level controllability in the synthesis and patterning of thin film materials, especially with regards to deposition uniformity and conformality as well as etching selectivity and anisotropy. The richness of plasma chemistry and the corresponding plasma–surface interactions provide the much needed processing flexibility and efficacy. To achieve the integration of the novel materials into devices, plasma-enhanced atomic layer processing techniques are emerging as the enabling factors to obtain atomic scale control of complex materials and nanostructures. This review focuses on an overview of the role of respective plasma species involved in plasma–surface interactions, addressing their respective and synergistic effects, which is followed by two distinct applications: plasma-enhanced atomic layer deposition (ALD) and atomic layer etching (ALE). For plasma-enhanced ALD, this review emphasizes the use of plasma chemistry to enable alternative pathways to synthesize complex materials at low temperatures and the challenges associated with deposition conformality. For plasma enabled ALE processes, the review focuses on the surface-specific chemical reactions needed to achieve desirable selectivity and anisotropy. (topical review)

  14. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  15. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  16. Early Career. Harnessing nanotechnology for fusion plasma-material interface research in an in-situ particle-surface interaction facility

    Energy Technology Data Exchange (ETDEWEB)

    Allain, Jean Paul [Univ. of Illinois, Champaign, IL (United States)

    2014-08-08

    This project consisted of fundamental and applied research of advanced in-situ particle-beam interactions with surfaces/interfaces to discover novel materials able to tolerate intense conditions at the plasma-material interface (PMI) in future fusion burning plasma devices. The project established a novel facility that is capable of not only characterizing new fusion nanomaterials but, more importantly probing and manipulating materials at the nanoscale while performing subsequent single-effect in-situ testing of their performance under simulated environments in fusion PMI.

  17. Plasma-Materials Interactions Test Facility

    International Nuclear Information System (INIS)

    Uckan, T.

    1986-11-01

    The Plasma-Materials Interactions Test Facility (PMITF), recently designed and constructed at Oak Ridge National Laboratory (ORNL), is an electron cyclotron resonance microwave plasma system with densities around 10 11 cm -3 and electron temperatures of 10-20 eV. The device consists of a mirror cell with high-field-side microwave injection and a heating power of up to 0.8 kW(cw) at 2.45 GHz. The facility will be used for studies of plasma-materials interactions and of particle physics in pump limiters and for development and testing of plasma edge diagnostics

  18. Plasma-wall interaction of advanced materials

    Directory of Open Access Journals (Sweden)

    J.W. Coenen

    2017-08-01

    Full Text Available DEMO is the name for the first stage prototype fusion reactor considered to be the next step after ITER. For the realization of fusion energy especially materials questions pose a significant challenge already today. Advanced materials solution are under discussion in order to allow operation under reactor conditions [1] and are already under development used in the next step devices. Apart from issues related to material properties such as strength, ductility, resistance against melting and cracking one of the major issues to be tackled is the interaction with the fusion plasma. Advanced tungsten (W materials as discussed below do not necessarily add additional lifetime issues, they will, however, add concerns related to erosion or surface morphology changes due to preferential sputtering. Retention of fuel and exhaust species are one of the main concerns. Retention of hydrogen will be one of the major issues to be solved in advanced materials as especially composites and alloys will introduce new hydrogen interactions mechanisms. Initial calculations show these mechanisms. Especially for Helium as the main impurity species material issues arise related to surfaces modification and embrittlement. Solutions are proposed to mitigate effects on material properties and introduce new release mechanisms.

  19. Plasma-wall interaction and plasma facing materials

    International Nuclear Information System (INIS)

    Tanabe, Tetsuo; Miyahara, Akira.

    1990-01-01

    The recognition that plasma-wall interaction plays the essential role from both standpoints of energy balance and particle balance for realizing nuclear fusion reactors has become to prevail. However, on how each elementary process acts and what competitive effect the synthetic action brings about, the stage of doing the qualitative discussion has just come, and the quantitative investigation is the problem for the future. In this paper, the plasma-wall interaction as seen from the research field of plasma-facing materials is discussed centering around graphite materials which have been mostly used at present, and the present status of the research and development on the problems of impurities, hydrogen recycling and heat resistance and radiation resistance is mentioned. Moreover, the problems are pointed out, and the course for the future is looked for. The recent experiment with large tokamaks adopted graphite or carbon as the plasma-facing materials, and the reduction of metallic impurities in plasma showed the clear improvement of plasma confinement characteristics. However, for the next device which requires forced cooling, the usability of graphite is doubtful. (K.I.) 51 refs

  20. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  1. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  2. Ion-surface interaction: simulation of plasma-wall interaction (ITER)

    International Nuclear Information System (INIS)

    Salou, Pierre

    2013-01-01

    The wall materials of magnetic confinement in fusion machines are exposed to an aggressive environment; the reactor blanket is bombarded with a high flux of particles extracted from the plasma, leading to the sputtering of surface material. This sputtering causes wall erosion as well as plasma contamination problems. In order to control fusion reactions in complex reactors, it is thus imperative to well understand the plasma-wall interactions. This work proposes the study of the sputtering of fusion relevant materials. We propose to simulate the charged particles influx by few keV single-charged ion beams. This study is based on the catcher method; to avoid any problem of pollution (especially in the case of carbon) we designed a new setup allowing an in situ Auger electron spectroscopy analysis. The results provide the evolution of the angular distribution of the sputtering yield as a function of the ion mass (from helium to xenon) and its energy (from 3 keV to 9 keV). (author) [fr

  3. Plasma-surface interactions under high heat and particle fluxes

    NARCIS (Netherlands)

    De Temmerman, G.; Bystrov, K.; Liu, F.; Liu, W.; Morgan, T.; Tanyeli, I.; van den Berg, M.; Xu, H.; Zielinski, J.

    2013-01-01

    The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface

  4. Plasma Interactions with Mixed Materials and Impurity Transport

    Energy Technology Data Exchange (ETDEWEB)

    Rognlien, T. D. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Beiersdorfer, Peter [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Chernov, A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Frolov, T. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Magee, E. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Rudd, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Umansky, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-10-28

    The project brings together three discipline areas at LLNL to develop advanced capability to predict the impact of plasma/material interactions (PMI) on metallic surfaces in magnetic fusion energy (MFE) devices. These areas are (1) modeling transport of wall impurity ions through the edge plasma to the core plasma, (2) construction of a laser blow-off (LBO) system for injecting precise amounts of metallic atoms into a tokamak plasma, and (3) material science analysis of fundamental processes that modify metallic surfaces during plasma bombardment. The focus is on tungsten (W), which is being used for the ITER divertor and in designs of future MFE devices. In area (1), we have worked with the University of California, San Diego (UCSD) on applications of the UEDGE/DUSTT coupled codes to predict the influx of impurity ions from W dust through the edge plasma, including periodic edge-plasma oscillations, and revived a parallel version of UEDGE to speed up these simulations. In addition, the impurity transport model in the 2D UEDGE code has been implemented into the 3D BOUT++ turbulence/transport code to allow fundamental analysis of the impact of strong plasma turbulence on the impurity transport. In area (2), construction and testing of the LBO injection system has been completed. The original plan to install the LBO on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton and its use to validate the impurity transport simulations is delayed owing to NSTX-U being offline for substantial magnetic coil repair period. In area (3), an analytic model has been developed to explain the growth of W tendrils (or fuzz) observed for helium-containing plasmas. Molecular dynamics calculations of W sputtering by W and deuterium (D) ions shows that a spatial blending of interatomic potentials is needed to describe the near-surface and deeper regions of the material.

  5. Plasma Interactions with Mixed Materials and Impurity Transport

    International Nuclear Information System (INIS)

    Rognlien, T. D.; Beiersdorfer, Peter; Chernov, A.; Frolov, T.; Magee, E.; Rudd, R.; Umansky, M.

    2016-01-01

    The project brings together three discipline areas at LLNL to develop advanced capability to predict the impact of plasma/material interactions (PMI) on metallic surfaces in magnetic fusion energy (MFE) devices. These areas are (1) modeling transport of wall impurity ions through the edge plasma to the core plasma, (2) construction of a laser blow-off (LBO) system for injecting precise amounts of metallic atoms into a tokamak plasma, and (3) material science analysis of fundamental processes that modify metallic surfaces during plasma bombardment. The focus is on tungsten (W), which is being used for the ITER divertor and in designs of future MFE devices. In area (1), we have worked with the University of California, San Diego (UCSD) on applications of the UEDGE/DUSTT coupled codes to predict the influx of impurity ions from W dust through the edge plasma, including periodic edge-plasma oscillations, and revived a parallel version of UEDGE to speed up these simulations. In addition, the impurity transport model in the 2D UEDGE code has been implemented into the 3D BOUT++ turbulence/transport code to allow fundamental analysis of the impact of strong plasma turbulence on the impurity transport. In area (2), construction and testing of the LBO injection system has been completed. The original plan to install the LBO on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton and its use to validate the impurity transport simulations is delayed owing to NSTX-U being offline for substantial magnetic coil repair period. In area (3), an analytic model has been developed to explain the growth of W tendrils (or fuzz) observed for helium-containing plasmas. Molecular dynamics calculations of W sputtering by W and deuterium (D) ions shows that a spatial blending of interatomic potentials is needed to describe the near-surface and deeper regions of the material.

  6. Atomic and plasma-material interaction data for fusion. Vol.1

    International Nuclear Information System (INIS)

    1991-01-01

    The International Atomic Energy Agency, through its Atomic and Molecular Data Unit, coordinates a wide spectrum of programmes for the compilation, evaluation, and generation of atomic, molecular, and plasma-wall interaction data for fusion research. The present, first, volume of Atomic and Plasma-Material Interaction Data for Fusion, contains extended versions of the reviews presented at the IAEA Advisory Group Meeting on Particle-Surface Interaction Data for Fusion, held 19-21 April 1989 at the IAEA Headquarters in Vienna, The plasma-wall interaction processes covered here are those considered most important for the operational performance of magnetic confinement fusion reactors. In addition to processes due to particle impact under normal operation, plasma-wall interaction effects due to off-normal plasma events (disruptions, electron runaway bombardment) are covered, and a summary of the status of data information on these processes is given from the point of view of magnetic fusion reactor design. Refs, figs and tabs

  7. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  8. Study of plasma-surface interaction at the GOL-3 facility

    Energy Technology Data Exchange (ETDEWEB)

    Shoshin, A.A., E-mail: shoshin@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arakcheev, A.S., E-mail: asarakcheev@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arzhannikov, A.V., E-mail: A.V.Arzhannikov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Burdakov, A.V., E-mail: a.v.burdakov@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State Technical University, Novosibirsk 630092 (Russian Federation); Ivanov, I.A., E-mail: I.A.Ivanov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Kasatov, A.A., E-mail: a.a.kasatov@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kuklin, K.N., E-mail: K.N.Kuklin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Polosatkin, S.V., E-mail: S.V.Polosatkin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Postupaev, V.V., E-mail: V.V.Postupaev@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Sinitsky, S.L., E-mail: S.L.Sinitsky@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); and others

    2017-01-15

    The review presents experimental studies of plasma-surface interaction and materials behavior under plasma loads done in the multiple-mirror trap of the GOL-3 facility. In the experiments for the PSI, the energy density in the extracted plasma stream varies from 0.5 to 30 MJ/m{sup 2}. Parameters of near-surface plasma measured by a set of diagnostics are reviewed. Surface patterns of targets exposed to the plasma are analyzed. The erosion depth depends on the energy loads—it rises from 0 to 600 μm at 0.5 and 30 MJ/m{sup 2}, correspondingly. Cracking and evolution of graphite and tungsten surface morphology are discussed. The enthalpy of brittle destruction of graphite (10 kJ/g), which determines the threshold of bulk damage of targets irradiated with a charged-particle flux with large penetration depth, was determined. Comparison of different facilities for PSI studies are presented. Heat flux play a key role to the target surface erosion.

  9. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  10. Plasma-wall interaction

    International Nuclear Information System (INIS)

    Reichle, R.

    2004-01-01

    This document gathers the 43 slides presented in the framework of the week long lecture 'hot plasmas 2004' and dedicated to plasma-wall interaction in a tokamak. This document is divided into 4 parts: 1) thermal load on the wall, power extraction and particle recovery, 2) basic edge plasma physics, 3) processes that drive the plasma-solid interaction, and 4) material conditioning (surface treatment...) for ITER

  11. Vaporized wall material/plasma interaction during plasma disruption

    International Nuclear Information System (INIS)

    Merrill, B.J.; Carroll, M.C.; Jardin, S.C.

    1983-01-01

    The purpose of this paper is to discuss a new plasma disruption model that has been developed for analyzing the consequences to the limiter/first wall structures. This model accounts for: nonequilibrium surface vaporization for the ablating structure, nonequilibrium ionization of and radiation emitted from the ablated material in the plasma, plasma particle and energy transport, and plasma electromagnetic field evolution during the disruption event. Calculations were performed for a 5 ms disruption on a stainless steel flat limiter as part of a D-shaped first wall. These results indicated that the effectiveness of the ablated wall material to shield the exposed structure is greater than predicted by earlier models, and that the rate of redeposition of the ablated wall material ions is very dramatic. Impurity transport along magnetic field lines, global plasma motion, and radiation transport in an optically thick plasma are important factors that require additional modeling. Experimental measurements are needed to verify these models

  12. Plasma-material interactions in TFTR

    International Nuclear Information System (INIS)

    Dylla, H.F.; Bell, M.G.; Blanchard, W.R.; Boody, F.P.; Bretz, N.; Budny, R.; Bush, C.E.; Cecchi, J.L.; Cohen, S.A.; Combs, S.K.; Davis, S.L.; Doyle, B.L.; Efthimion, P.C.; England, A.C.; Eubank, H.P.; Fonck, R.; Fredrickson, E.; Grisham, L.R.; Goldston, R.J.; Grek, B.; Groebner, R.; Hawryluk, R.J.; Heifetz, D.; Hendel, H.; Hill, K.W.; Hiroe, S.; Hulse, R.; Johnson, D.; Johnson, L.C.; Kilpatrick, S.; Lamarche, P.H.; Little, R.; Manos, D.M.; Mansfield, D.; Meade, D.M.; Medley, S.S.; Milora, S.L.; Mikkelsen, D.R.; Mueller, D.; Murakami, M.; Nieschmidt, E.; Owens, D.K.; Park, H.; Pontau, A.; Prichard, B.; Ramsey, A.T.; Redi, M.H.; Schivell, J.; Schmidt, G.L.; Scott, S.D.; Sesnic, S.; Shimada, M.; Simpkins, J.E.; Sinnis, J.; Stauffer, F.; Stratton, B.; Tait, G.D.; Taylor, G.; Ulrickson, M.; Von Goeler, S.; Wampler, W.R.; Wilson, K.; Williams, M.; Wong, K.L.; Young, K.M.; Zarnstorff, M.C.; Zweben, S.

    1987-01-01

    This paper presents a summary of plasma-material interactions which influence the operation of TFTR with high current (≤ 2.2 MA) ohmically heated, and high-power (≅ 10 MW) neutral-beam heated plasmas. The conditioning procedures which are applied routinely to the first-wall hardware are reviewed. Fueling characteristics during gas, pellet, and neutral-beam fueling are described. Recycling coefficients near unity are observed for most gas fueled discharges. Gas fueled discharges after helium discharge conditioning of the toroidal bumper limiter, and discharges fueled by neutral beams and pellets, show R e = 5-6x10 19 m -3 ) values of Z eff are ≤ 1.5. Increases in Z eff of ≤ 1 have been observed with neutral beam heating of 10 MW. The primary low Z impurity is carbon with concentrations decreasing from ≅ 10% to e . Oxygen densities tend to increase with n e , and at the ohmic plasma density limit oxygen and carbon concentrations are comparable. Chromium getter experiments and He 2+ /D + plasma comparisons indicate that the limiter is the primary source of carbon and that the vessel wall is a significant source of the oxygen impurity. Metallic impurities, consisting of the vacuum vessel metals (Ni, Fe, Cr) have significant (≅ 10 -4 n e ) concentrations only at low plasma densities (n e 19 m -3 ). The primary source of metallic impurities is most likely ion sputtering from metals deposited on the carbon limiter surface. (orig.)

  13. Plasma-aided surface technology for modification of materials referred to fire protection

    International Nuclear Information System (INIS)

    Dineff, P.; Gospodinova, D.; Kostova, L.; Vladkova, T.; Chen, E.

    2008-01-01

    There has been considerable interest in dielectric barrier air discharge at atmospheric pressure and room temperature over the past decade due to the increased number of industrial applications. New plasma-aided capillary impregnation technology for flame spreading stop and fire protection of porous materials was developed. Research, based on thermogravimetric analysis (TGA), differential thermal analysis (DTA), and differential scanning calorimetry (DSC), proves that plasma-chemical surface pre-treatment exert material change on chemical interaction between phosphorus containing flame retardant and wood matrix (Pinus sylvestris, Bulgaria; Pseudotsuga, Canada)

  14. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  15. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  16. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  17. Stochastic clustering of material surface under high-heat plasma load

    Science.gov (United States)

    Budaev, Viacheslav P.

    2017-11-01

    The results of a study of a surface formed by high-temperature plasma loads on various materials such as tungsten, carbon and stainless steel are presented. High-temperature plasma irradiation leads to an inhomogeneous stochastic clustering of the surface with self-similar granularity - fractality on the scale from nanoscale to macroscales. Cauliflower-like structure of tungsten and carbon materials are formed under high heat plasma load in fusion devices. The statistical characteristics of hierarchical granularity and scale invariance are estimated. They differ qualitatively from the roughness of the ordinary Brownian surface, which is possibly due to the universal mechanisms of stochastic clustering of material surface under the influence of high-temperature plasma.

  18. Physics of plasma-wall interactions in controlled fusion

    International Nuclear Information System (INIS)

    Post, D.E.; Behrisch, R.

    1984-01-01

    In the areas of plasma physics, atomic physics, surface physics, bulk material properties and fusion experiments and theory, the following topics are presented: the plasma sheath; plasma flow in the sheath and presheath of a scrape-off layer; probes for plasma edge diagnostics in magnetic confinement fusion devices; atomic and molecular collisions in the plasma boundary; physical sputtering of solids at ion bombardment; chemical sputtering and radiation enhanced sublimation of carbon; ion backscattering from solid surfaces; implantation, retention and release of hydrogen isotopes; surface erosion by electrical arcs; electron emission from solid surfaces;l properties of materials; plasma transport near material boundaries; plasma models for impurity control experiments; neutral particle transport; particle confinement and control in existing tokamaks; limiters and divertor plates; advanced limiters; divertor tokamak experiments; plasma wall interactions in heated plasmas; plasma-wall interactions in tandem mirror machines; and impurity control systems for reactor experiments

  19. Collaborative Research. Fundamental Science of Low Temperature Plasma-Biological Material Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Graves, David Barry [Univ. California, Berkeley, CA (United States); Oehrlein, Gottlieb [Univ. of Maryland, College Park, MD (United States)

    2014-09-01

    atmospheric pressure using several types of low temperature plasma sources, for which radical induced interactions generally dominate due to short mean free paths of ions and VUV photons. For these conditions we demonstrated the importance of environmental interactions when atmospheric pressure plasma sources are used to modify biomolecules. This is evident from both gas phase characterization data and in-situ surface characterization of treated biomolecules. Environmental interactions can produce unexpected outcomes due to the complexity of reactions of reactive species with the atmosphere which determines the composition of reactive fluxes and atomistic changes of biomolecules. Overall, this work clarified a richer spectrum of scientific opportunities and challenges for the field of low temperature plasma-biomolecule surface interactions than initially anticipated, in particular for plasma sources operating at atmospheric pressure. The insights produced in this work, e.g. demonstration of the importance of environmental interactions, are generally important for applications of APP to materials modifications. Thus one major contributions of this research has been the establishment of methodologies to more systematically study the interaction of plasma with bio-molecules. In particular, our studies of atmospheric pressure plasma sources using very well-defined experimental conditions enabled to combine atomistic surface modifications of biomolecules with changes in their biological function. The clarification of the role of ions, VUV photons and radicals in deactivation of biomolecules during low pressure and atmospheric pressure plasma-biomolecule interaction has broad implications, e.g. for the emerging field of plasma medicine. The development of methods to detect the effects of plasma treatment on immune-active biomolecules will be helpful in many future studies.

  20. Material Surface Characteristics and Plasma Performance in the Lithium Tokamak Experiment

    Science.gov (United States)

    Lucia, Matthew James

    The performance of a tokamak plasma and the characteristics of the surrounding plasma facing component (PFC) material surfaces strongly influence each other. Despite this relationship, tokamak plasma physics has historically been studied more thoroughly than PFC surface physics. The disparity is particularly evident in lithium PFC research: decades of experiments have examined the effect of lithium PFCs on plasma performance, but the understanding of the lithium surface itself is much less complete. This latter information is critical to identifying the mechanisms by which lithium PFCs affect plasma performance. This research focused on such plasma-surface interactions in the Lithium Tokamak Experiment (LTX), a spherical torus designed to accommodate solid or liquid lithium as the primary PFC. Surface analysis was accomplished via the novel Materials Analysis and Particle Probe (MAPP) diagnostic system. In a series of experiments on LTX, the MAPP x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS) capabilities were used for in vacuo interrogation of PFC samples. This represented the first application of XPS and TDS for in situ surface analysis of tokamak PFCs. Surface analysis indicated that the thin (dLi ˜ 100nm) evaporative lithium PFC coatings in LTX were converted to Li2O due to oxidizing agents in both the residual vacuum and the PFC substrate. Conversion was rapid and nearly independent of PFC temperature, forming a majority Li2O surface within minutes and an entirely Li2O surface within hours. However, Li2O PFCs were still capable of retaining hydrogen and sequestering impurities until the Li2 O was further oxidized to LiOH, a process that took weeks. For hydrogen retention, Li2O PFCs retained H+ from LTX plasma discharges, but no LiH formation was observed. Instead, results implied that H+ was only weakly-bound, such that it almost completely outgassed as H 2 within minutes. For impurity sequestration, LTX plasma performance

  1. Molecular dynamics simulations of interactions between energetic dust and plasma-facing materials

    International Nuclear Information System (INIS)

    Niu, Guo-jian; Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi; Luo, Guang-nan

    2015-01-01

    The interactions between dust and plasma-facing material (PFM) relate to the lifetime of PFM and impurity production. Series results have been obtained theoretically and experimentally but more detailed studies are needed. In present research, we investigate the evolution of kinetic, potential and total energy of plasma-facing material (PFM) in order to understand the dust/PFM interaction process. Three typical impacting energy are selected, i.e., 1, 10 and 100 keV/dust for low-, high- and hyper-energy impacting cases. For low impacting energy, dust particles stick on PFM surface without damaging it. Two typical time points exist and the temperature of PFM grows all the time but PFM structure experience a modifying process. Under high energy case, three typical points appear. The temperature curve fluctuates in the whole interaction process which indicates there are dust/PFM and kinetic/potential energy exchanges. In the hyper-energy case in present simulation, the violence dust/PFM interactions cause sputtering and crater investigating on energy evolution curves. We further propose the statistics of energy distribution. Results show that about half of impacting energy consumes on heating plasma-facing material meanwhile the other half on PFM structure deformation. Only a small proportion becomes kinetic energy of interstitial or sputtering atoms.

  2. Molecular dynamics simulations of interactions between energetic dust and plasma-facing materials

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Guo-jian, E-mail: niugj@ipp.ac.cn [Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi [Hefei Center Physical Science and Technology, Hefei (China); Luo, Guang-nan [Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Hefei Center Physical Science and Technology, Hefei (China); Hefei Science Center of CAS, Hefei (China)

    2015-11-15

    The interactions between dust and plasma-facing material (PFM) relate to the lifetime of PFM and impurity production. Series results have been obtained theoretically and experimentally but more detailed studies are needed. In present research, we investigate the evolution of kinetic, potential and total energy of plasma-facing material (PFM) in order to understand the dust/PFM interaction process. Three typical impacting energy are selected, i.e., 1, 10 and 100 keV/dust for low-, high- and hyper-energy impacting cases. For low impacting energy, dust particles stick on PFM surface without damaging it. Two typical time points exist and the temperature of PFM grows all the time but PFM structure experience a modifying process. Under high energy case, three typical points appear. The temperature curve fluctuates in the whole interaction process which indicates there are dust/PFM and kinetic/potential energy exchanges. In the hyper-energy case in present simulation, the violence dust/PFM interactions cause sputtering and crater investigating on energy evolution curves. We further propose the statistics of energy distribution. Results show that about half of impacting energy consumes on heating plasma-facing material meanwhile the other half on PFM structure deformation. Only a small proportion becomes kinetic energy of interstitial or sputtering atoms.

  3. Integrated models for plasma/material interaction during loss of plasma confinement

    International Nuclear Information System (INIS)

    Hassanein, A.

    1998-01-01

    A comprehensive computer package, High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS), has been developed to evaluate the damage incurred on plasma-facing materials during loss of plasma confinement. The HEIGHTS package consists of several integrated computer models that follow the start of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the energy deposited. The package includes new models to study turbulent plasma behavior in the SOL and predicts the plasma parameters and conditions at the divertor plate. Full two-dimensional comprehensive radiation magnetohydrodynamic models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. A brief description of the HEIGHTS package and its capabilities are given in this work with emphasis on turbulent plasma behavior in the SOL during disruptions

  4. An in situ accelerator-based diagnostic for plasma-material interactions science on magnetic fusion devices.

    Science.gov (United States)

    Hartwig, Zachary S; Barnard, Harold S; Lanza, Richard C; Sorbom, Brandon N; Stahle, Peter W; Whyte, Dennis G

    2013-12-01

    This paper presents a novel particle accelerator-based diagnostic that nondestructively measures the evolution of material surface compositions inside magnetic fusion devices. The diagnostic's purpose is to contribute to an integrated understanding of plasma-material interactions in magnetic fusion, which is severely hindered by a dearth of in situ material surface diagnosis. The diagnostic aims to remotely generate isotopic concentration maps on a plasma shot-to-shot timescale that cover a large fraction of the plasma-facing surface inside of a magnetic fusion device without the need for vacuum breaks or physical access to the material surfaces. Our instrument uses a compact (~1 m), high-current (~1 milliamp) radio-frequency quadrupole accelerator to inject 0.9 MeV deuterons into the Alcator C-Mod tokamak at MIT. We control the tokamak magnetic fields--in between plasma shots--to steer the deuterons to material surfaces where the deuterons cause high-Q nuclear reactions with low-Z isotopes ~5 μm into the material. The induced neutrons and gamma rays are measured with scintillation detectors; energy spectra analysis provides quantitative reconstruction of surface compositions. An overview of the diagnostic technique, known as accelerator-based in situ materials surveillance (AIMS), and the first AIMS diagnostic on the Alcator C-Mod tokamak is given. Experimental validation is shown to demonstrate that an optimized deuteron beam is injected into the tokamak, that low-Z isotopes such as deuterium and boron can be quantified on the material surfaces, and that magnetic steering provides access to different measurement locations. The first AIMS analysis, which measures the relative change in deuterium at a single surface location at the end of the Alcator C-Mod FY2012 plasma campaign, is also presented.

  5. Vaporization studies of plasma interactive materials in simulated plasma disruption events

    International Nuclear Information System (INIS)

    Stone, C.A. IV; Croessmann, C.D.; Whitley, J.B.

    1988-03-01

    The melting and vaporization that occur when plasma facing materials are subjected to a plasma disruption will severely limit component lifetime and plasma performance. A series of high heat flux experiments was performed on a group of fusion reactor candidate materials to model material erosion which occurs during plasma disruption events. The Electron Beam Test System was used to simulate single disruption and multiple disruption phenomena. Samples of aluminum, nickel, copper, molybdenum, and 304 stainless steel were subjected to a variety of heat loads, ranging from 100 to 400 msec pulses of 8 to 18 kWcm 2 . It was found that the initial surface temperature of a material strongly influences the vaporization process and that multiple disruptions do not scale linearly with respect to single disruption events. 2 refs., 9 figs., 5 tabs

  6. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1999-01-01

    Damage to plasma-facing components (PFCs) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called high energy interaction with general heterogeneous target systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed. (orig.)

  7. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.

    1998-01-01

    Damage to plasma-facing components (PFCS) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor-cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed

  8. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  9. Dynamics of Plasma-Surface Interactions using In-situ Ion Beam Analysis

    International Nuclear Information System (INIS)

    Whyte, D.G.

    2009-01-01

    The overall goal of this proposal was to develop an innovative experimental facility that would allow for the measurement of real-time response of a material surface to plasma bombardment by employing in-situ high-energy ion beam analysis. This facility was successfully developed and deployed at U. Wisconsin-Madison and was named DIONISOS (Dynamics of IONic Implantation and Sputtering on Surfaces). There were several major highlights to the DIONISOS research which we will briefly highlight below. The full technical details of the DIONISOS development, deployment and research results are contained in the Appendices which contain several peer-reviewed publications and a PhD thesis devoted to DIONISOS. The DIONISOS results on deuterium retention in molybdenum were chosen as an invited talk at the 2008 International Conference on Plasma-Surface Interactions in Toledo, Spain.

  10. Tritium-surface interactions

    International Nuclear Information System (INIS)

    Kirkaldy, J.S.

    1983-06-01

    The report deals broadly with tritium-surface interactions as they relate to a fusion power reactor enterprise, viz., the vacuum chamber, first wall, peripherals, pumping, fuel recycling, isotope separation, repair and maintenance, decontamination and safety. The main emphasis is on plasma-surface interactions and the selection of materials for fusion chamber duty. A comprehensive review of the international (particularly U.S.) research and development is presented based upon a literature review (about 1 000 reports and papers) and upon visits to key laboratories, Sandia, Albuquerque, Sandia, Livermore and EGβG Idaho. An inventory of Canadian expertise and facilities for RβD on tritium-surface interactions is also presented. A number of proposals are made for the direction of an optimal Canadian RβD program, emphasizing the importance of building on strength in both the technological and fundamental areas. A compendium of specific projects and project areas is presented dealing primarily with plasma-wall interactions and permeation, anti-permeation materials and surfaces and health, safety and environmental considerations. Potential areas of industrial spinoff are identified

  11. Contribution to the beam plasma material interactions during material processing with TEA CO2 laser radiation

    Science.gov (United States)

    Jaschek, Rainer; Konrad, Peter E.; Mayerhofer, Roland; Bergmann, Hans W.; Bickel, Peter G.; Kowalewicz, Roland; Kuttenberger, Alfred; Christiansen, Jens

    1995-03-01

    The TEA-CO2-laser (transversely excited atmospheric pressure) is a tool for the pulsed processing of materials with peak power densities up to 1010 W/cm2 and a FWHM of 70 ns. The interaction between the laser beam, the surface of the work piece and the surrounding atmosphere as well as gas pressure and the formation of an induced plasma influences the response of the target. It was found that depending on the power density and the atmosphere the response can take two forms. (1) No target modification due to optical break through of the atmosphere and therefore shielding of the target (air pressure above 10 mbar, depending on the material). (2) Processing of materials (air pressure below 10 mbar, depending on the material) with melting of metallic surfaces (power density above 0.5 109 W/cm2), hole formation (power density of 5 109 W/cm2) and shock hardening (power density of 3.5 1010 W/cm2). All those phenomena are usually linked with the occurrence of laser supported combustion waves and laser supported detonation waves, respectively for which the mechanism is still not completely understood. The present paper shows how short time photography and spatial and temporal resolved spectroscopy can be used to better understand the various processes that occur during laser beam interaction. The spectra of titanium and aluminum are observed and correlated with the modification of the target. If the power density is high enough and the gas pressure above a material and gas composition specific threshold, the plasma radiation shows only spectral lines of the background atmosphere. If the gas pressure is below this threshold, a modification of the target surface (melting, evaporation and solid state transformation) with TEA-CO2- laser pulses is possible and the material specific spectra is observed. In some cases spatial and temporal resolved spectroscopy of a plasma allows the calculation of electron temperatures by comparison of two spectral lines.

  12. Atomic and Plasma-Material Interaction Data for Fusion. V. 16

    International Nuclear Information System (INIS)

    Braams, B.J.; Chung, H.-K.

    2014-03-01

    A wide variety of atomic, molecular, radiative and plasma-wall interaction processes involving a mixture of atoms, ions and molecules occur in the plasmas produced in nuclear fusion experiments. In the low temperature divertor and near wall region, molecules and molecular ions are formed. The plasma particles react with electrons and with each other. Plasma modelling requires cross-sections and rate coefficients for all these processes, and in addition spectral signatures to support interpretation of data from fusion experiments. The mission of the International Atomic Energy Agency Nuclear Data Section (IAEA/NDS) in the area of atomic and molecular data is to enhance the competencies of Member States in their research into nuclear fusion through the provision of internationally recommended atomic, molecular, plasma-material interaction and material properties databases. One mechanism by which the IAEA pursues this mission is the Coordinated Research Project (CRP). The present volume of Atomic and Plasma-Material Interaction Data for Fusion contains contributions from participants in the CRP 'Atomic and Molecular Data for Plasma Modelling' (2004-2008). This CRP was concerned with data for processes in the near wall and divertor plasma and plasma-wall interaction in fusion experiments, with focus on cross-sections for molecular reactions. Participants in the CRP came from 14 different institutes, many with strong ties to fusion plasma modelling and experiment. D. Humbert of the Nuclear Data Section was scientific secretary of the CRP. Participants' contributions for this volume were collected and refereed after the conclusion of the CRP

  13. Nuclear Fusion Research Understanding Plasma-Surface Interactions

    CERN Document Server

    Clark, Robert E.H

    2005-01-01

    It became clear in the early days of fusion research that the effects of the containment vessel (erosion of "impurities") degrade the overall fusion plasma performance. Progress in controlled nuclear fusion research over the last decade has led to magnetically confined plasmas that, in turn, are sufficiently powerful to damage the vessel structures over its lifetime. This book reviews current understanding and concepts to deal with this remaining critical design issue for fusion reactors. It reviews both progress and open questions, largely in terms of available and sought-after plasma-surface interaction data and atomic/molecular data related to these "plasma edge" issues.

  14. Experimental investigation of the material surface modification in microsecond plasma opening switch

    Energy Technology Data Exchange (ETDEWEB)

    Bystritskij, V; Grigor` ev, S; Kharlov, A; Sinebryukhov, A [Russian Academy of Sciences, Tomsk (Russian Federation). Institute of Electrophysics; Burkov, P [Russian Academy of Scinces, Tomsk (Russian Federation). Institute of Strength Physics and Materials Control; Grigorev, V; Koval, T [Institute of Nuclear Physics, Tomsk (Russian Federation)

    1997-12-31

    The paper is devoted to the investigations of the material surface modification by high power ion beam generated in microsecond plasma opening switch (MPOS). Various types of steels were investigated: stainless steel 17-4PH, carbon steel C1020, pure iron. For all these materials, the optimal regimes for irradiation were defined. A significant increase in microhardness (1.5 to 2-fold) was obtained for these materials. Numerical calculations and theoretical estimations of the ion beam-matter interaction were also performed. The advantages and problems of this approach are discussed. (author). 8 figs., 3 refs.

  15. Plasma-material interactions in current tokamaks and their implications for next-step fusion reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next-step DT fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety and performance. Erosion will increase to a scale of several cm from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally co-ordinated effort in the field of plasma-surface interactions supporting the engineering design activities of the international thermonuclear experimental reactor project (ITER) and significant progress has been made in better understanding these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/re-deposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modelling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D avenues for their resolution are presented. (orig.)

  16. Atomic and plasma-material interaction data for fusion. V. 5

    International Nuclear Information System (INIS)

    1994-01-01

    Volume 5 of the supplements on ''atomic and plasma-material interaction data for fusion'' to the journal ''Nuclear Fusion'' is devoted to a critical assessment of the physical and thermo-mechanical properties of presently considered candidate plasma-facing and structural materials for next-generation thermonuclear fusion devices. It contains 9 papers. The subjects are: (i) requirements and selection criteria for plasma-facing materials and components in the ITER EDA (Engineering Design Activities) design; (ii) thermomechanical properties of Beryllium; (iii) material properties data for fusion reactor plasma-facing carbon-carbon composites; (iv) high-Z candidate plasma facing materials; (v) recommended property data for Molybdenum, Niobium and Vanadium alloys; (vi) copper alloys for high heat flux structure applications; (vii) erosion of plasma-facing materials during a tokamak disruption; (viii) runaway electron effects; and (ix) data bases for thermo-hydrodynamic coupling with coolants. Refs, figs, tabs

  17. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  18. Plasma-material interaction under simulated disruption conditions

    International Nuclear Information System (INIS)

    Arkhipov, N.I.; Bakhtin, V.P.; Safronov, V.M.; Toporkov, D.A.; Vasenin, S.G.; Wurz, H.; Zhitlukhin, A.M.

    1995-01-01

    Sudden evaporation of divertor plate surface under high heat load during tokamak plasma disruption instantaneously produces a vapor shield. The cloud of vaporized material prevents the divertor plates from the bulk of incoming energy flux and thus reduces the further material erosion. Dynamics and effectiveness of the vapor shield are studied experimentally at the 2MK-200 facility under simulated disruption conditions. (orig.)

  19. Plasma surface interactions in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L. [and others

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak.

  20. Plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L.

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak

  1. The plasma-wall interaction region: a key low temperature plasma for controlled fusion

    International Nuclear Information System (INIS)

    Counsell, G F

    2002-01-01

    The plasma-wall interaction region of a fusion device provides the interface between the hot core plasma and the material surfaces. To obtain acceptably low levels of erosion from these surfaces requires most of the power leaving the core to be radiated. This is accomplished in existing devices by encouraging plasma detachment, in which the hot plasma arriving in the region is cooled by volume recombination and ion-neutral momentum transfer with a dense population of neutrals recycled from the surface. The result is a low temperature (1 eV e e >10 19 m -3 ) but weakly ionized (n 0 >10 20 m -3 , n e /n 0 <0.1) plasma found nowhere else in the fusion environment. This plasma provides many of the conditions found in industrial plasmas exploiting plasma chemistry and the presence of carbon in the region (in the form of carbon-fibre composite used in the plasma facing materials) can result in the formation of deposited hydrocarbon films. The plasma-wall interaction region is therefore among the most difficult in fusion to model, requiring an understanding of atomic, molecular and surface physics issues

  2. Atomic and plasma-material interaction data for fusion. V. 14

    International Nuclear Information System (INIS)

    Clark, R.E.H.

    2008-01-01

    Plasmas in fusion energy devices consist of hot core plasmas with cooler regions near the edge. The temperatures are much lower in the edge region than in the core and there is a relatively high population of neutral species. Neutral and charged molecular species may form in this region and influence the plasma diagnostics. A variety of molecules, including species of hydrocarbons, form in the edge region, and hydrocarbon species up to C 3 H 8 may be produced. As the plasma interacts with the surface of the containment vessel, erosion from the surface will take place. There is then the potential for a number of chemical reactions to occur near the surface. A wide variety of interaction processes will take place involving these molecules in the edge region. It is not well known to what extent these processes affect the efficiency of the divertor itself. Thus there is a need to gather spectroscopic and collisional data to better understand the extent to which these processes are important in the edge regions, including data derived from infrared spectroscopy. The importance of these molecular processes to fusion research led to a strong recommendation from the A+M Subcommittee of the International Fusion Research Council at its twelfth meeting in May 2000 to initiate a coordinated research project (CRP) to address data needs in this area. The IAEA initiated the CRP on Data for Molecular Processes in Edge Plasmas in 2001. The purpose of the CRP was to identify the specific molecular processes that are important to the plasma physics in the edge region and to provide data for some of these processes. During the course of the CRP that concluded in 2005, new data have been generated for a variety of processes impacting a number of issues in the edge region of fusion plasmas. Essentially all the goals of the original work plan were fulfilled during the course of the CRP, with the generation of new theoretical and measured cross-sections for a variety of processes in

  3. Plasma-material Interactions in Current Tokamaks and their Implications for Next-step Fusion Reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.; Coad, J.P.; Grisolia, C.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next-step DT (deuterium-tritium) fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety, and performance. Erosion will increase to a scale of several centimeters from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally coordinated effort in the field of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor (ITER) project and significant progress has been made in better under standing these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modeling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D (Research and Development) avenues for their resolution are presented

  4. Plasma-material Interactions in Current Tokamaks and their Implications for Next-step Fusion Reactors

    Energy Technology Data Exchange (ETDEWEB)

    Federici, G.; Skinner, C.H.; Brooks, J.N.; Coad, J.P.; Grisolia, C. [and others

    2001-01-10

    The major increase in discharge duration and plasma energy in a next-step DT [deuterium-tritium] fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety, and performance. Erosion will increase to a scale of several centimeters from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally coordinated effort in the field of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor (ITER) project and significant progress has been made in better under standing these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modeling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D [Research and Development] avenues for their resolution are presented.

  5. Materials surface modification by plasma bombardment under simultaneous erosion and redeposition conditions

    International Nuclear Information System (INIS)

    Hirooka, Y.; Goebel, D.M.; Conn, R.W.

    1986-07-01

    The first in-depth investigation of surface modification of materials by continuous, high-flux argon plasma bombardment under simultaneous erosion and redeposition conditions have been carried out for copper and 304 stainless steel using the PISCES facility. The plasma bombardment conditions are: incident ion flux range from 10 17 to 10 19 ions sec -1 cm -2 , total ion fluence is controlled between 10 19 and 10 22 ions cm -2 , electron temperature range from 5 to 15 eV, and plasma density range from 10 11 to 10 13 cm -3 . The incident ion energy is 100 eV. The sample temperature is between 300 and 700K. Under redeposition dominated conditions, the material erosion rate due to the plasma bombardment is significantly smaller (by a factor up to 10) than that can be expected from the classical ion beam sputtering yield data. It is found that surface morphologies of redeposited materials strongly depend on the plasma bombardment condition. The effect of impurities on surface morphology is elucidated in detail. First-order modelings are implemented to interpret the reduced erosion rate and the surface evolution. Also, fusion related surface properties of redeposited materials such as hydrogen reemission and plasma driven permeation have been characterized

  6. Plasma-material interactions in current tokamaks and their implications for next step fusion reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next step DT fusion reactor will give rise to important plasma-material effects that will critically in influence its operation, safety and performance. Erosion will increase to a scale of several centimetres from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma facing components. Controlling plasma-wall interactions is critical to achieving high performance in present day tokamaks, and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena stimulated an internationally co-ordinated effort in the part of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor project (ITER), and significant progress has been made in better understanding these issues. The paper reviews the underlying physical processes and the existing experimental database of plasma-material inter actions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next step fusion reactors. Two main topical groups of interaction are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation and (ii) tritium retention and removal. The use of modelling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D avenues for their resolution are presented. (author)

  7. High speed cine film studies of plasma behaviour and plasma surface interactions in tokamaks

    International Nuclear Information System (INIS)

    Goodall, D.H.J.

    1982-01-01

    High speed cine photography is a useful diagnostic aid for studying plasma behaviour and plasma surface interactions. Several workers have filmed discharges in tokamaks including ASDEX, DITE, DIVA, ISX, JFT2, TFR and PLT. These films are discussed and examples given of the observed phenomena which include plasma limiter interactions, diverted discharges, disruptions, magnetic islands and moving glowing objects often known as 'UFOs'. Examples of plasma structures in ASDEX and DITE not previously published are also given. The paper also reports experiments in DITE to determine the origin of UFOs. (orig.)

  8. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    Electron-ion-plasma treatment of materials and products, including surface clearing and activation, formation surface layers with changed chemical and phase structure, increased hardness and corrosion resistance; deposition of various functional coatings, has received a wide distribution in a science and industry. Widespread methods of ion-plasma modification of material and product surfaces are ion etching and activation, ion-plasma nitriding, arc or magnetron deposition of functional coatings, including nanostructured. The combination of above methods of surface modification allows essentially to improve exploitation properties of treated products and to optimize the characteristics of modified surfaces for concrete final requirements. For the purpose of a combination of various methods of ion-plasma treatment in a single work cycle at Institute of High Current Electronics of SB RAS (IHCE SB RAS) specialized technological equipment 'DUET', 'TRIO' and 'QUADRO' and 'KVINTA' have been developed. This equipment allow generating uniform low-temperature gas plasma at pressures of (0.1-1) Pa with concentration of (10 9 -10 11 ) cm -3 in volume of (0.1-1) m 3 . In the installations consistent realization of several various operations of materials and products treatment in a single work cycle is possible. The operations are preliminary heating and degassing, ion clearing, etching and activation of materials and products surface by plasma of arc discharges; chemicothermal treatment (nitriding) for formation of diffusion layer on a surface of treated sample using plasma of nonself-sustained low-pressure arc discharge; deposition of single- or multilayered superhard (≥40 GPa) nanocrystalline coatings on the basis of pure metals or their compounds (nitrides, carbides, carbonitrides) by the arc plasma-assisted method. For realization of the modes all installations are equipped by original sources of gas and metal plasma. Besides, in

  9. Present status of plasma-wall interactions research and materials development activities in the US

    International Nuclear Information System (INIS)

    Hirooka, Y.; Conn, R.W.

    1989-08-01

    It is well known in the fusion engineering community that the plasma confinement performance in magnetic fusion devices is strongly affected by edge-plasma interactions with surface components. These plasma-material interactions (PMI) include fuel particle recycling and impurity generation both during normal and off-normal operation. To understand and then to control PMI effects, considerable effort has been made, particularly over the last decade in US, supported by Department of Energy, Division of Development and Technology. Also, because plasma-facing components are generally expected to receive significant amount of heat due to plasma bombardment and run-away electrons, materials must tolerate high-heat fluxes (HHF). The HHF-component research has been conducted in parallel with PMI research. One strong motivation for these research activities is that DT-burning experiments are currently planned in the Tokamak Test Fusion Reactor (TFTR) in early 1990s. Several different but mutually complementary approaches have been taken in the PMI+HHF research. The first approach is to conduct PMI experiments using toroidal fusion devices such as TFTR. The second one is to simulate elemental processes involved in PMI using ion beams and electron beams, etc. The last one but not least is to use non-tokamak plasma facilities. Along with these laboratory activities, new materials have been developed and evaluated from the PMI+HHF point of view. In this paper, several major PMI+HHF research facilities in US and their activities are briefly reviewed. 21 refs., 10 figs., 2 tabs

  10. Atmospheric-Pressure Plasma Interaction with Soft Materials as Fundamental Processes in Plasma Medicine.

    Science.gov (United States)

    Takenaka, Kosuke; Miyazaki, Atsushi; Uchida, Giichiro; Setsuhara, Yuichi

    2015-03-01

    Molecular-structure variation of organic materials irradiated with atmospheric pressure He plasma jet have been investigated. Optical emission spectrum in the atmospheric-pressure He plasma jet has been measured. The spectrum shows considerable emissions of He lines, and the emission of O and N radicals attributed to air. Variation in molecular structure of Polyethylene terephthalate (PET) film surface irradiated with the atmospheric-pressure He plasma jet has been observed via X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). These results via XPS and FT-IR indicate that the PET surface irradiated with the atmospheric-pressure He plasma jet was oxidized by chemical and/or physical effect due to irradiation of active species.

  11. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y. [eds.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage.

  12. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    International Nuclear Information System (INIS)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage

  13. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  14. Plasma Wall Interaction Phenomena on Tungsten Armour Materials for Fusion Applications

    Energy Technology Data Exchange (ETDEWEB)

    Uytdenhouwen, I. [SCK.CEN - The Belgian Nuclear Research Centre, Institute for Nuclear Materials Science, Boeretang 200, 2400 Mol (Belgium); Forschungszentrum Juelich GmbH, EURATOM-association, D-52425 Juelich (Germany); Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium); Massaut, V. [Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium); Linke, J. [Forschungszentrum Juelich GmbH, EURATOM-association, D-52425 Juelich (Germany); Van Oost, G. [Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium)

    2008-07-01

    One of the most attractive future complements to present energy sources is nuclear fusion. A large progress was made throughout the last decade from both the physical as the technological area leading to the construction of the ITER machine. One of the key issues that recently received a large interest at international level is focused on the Plasma Wall Interaction (PWI). One of the promising Plasma Facing Materials (PFM) are Tungsten (W) and Tungsten alloys. However, despite the worldwide use and industrial availability of W, the database of physical and mechanical properties is very limited. Especially after fusion relevant neutron irradiation and PWI phenomena, most of the properties are still unknown. The plasma fuel consists out of deuterium (D) and tritium (T). Tritium is radio-active and therefore an issue from the safety point of view. During steady-state plasma operation of future fusion power plants, the PFM need to extract a power density of {approx}10-20 MW/m{sup 2}. On top of this heat, transient events will deposit an additional non-negligible amount of energy (Disruptions, Vertical Displacement Events, Edge Localized Modes) during short durations. These severe heat loads cause cracking and even melting of the surface resulting in a reduced lifetime and the creation of dust. A contribution to the understanding of cracking phenomena under the severe thermal loads is described as well as the properties degradation under neutron irradiation. Several W grades were irradiated in the BR2 reactor (SCK.CEN) and the thermal loads were simulated with the electron-beam facility JUDITH (FZJ). Since knowledge should be gained about the Tritium retention in the PFM for safety and licensing reasons, a unique test facility at SCK.CEN is being set-up. The plasmatron VISION-I will simulate steady state plasmas for Tritium retention studies. The formation of surface cracks and dust, the initial porosity, neutron induced traps, re-deposited material - change the Tritium

  15. Plasma Wall Interaction Phenomena on Tungsten Armour Materials for Fusion Applications

    International Nuclear Information System (INIS)

    Uytdenhouwen, I.; Massaut, V.; Linke, J.; Van Oost, G.

    2008-01-01

    One of the most attractive future complements to present energy sources is nuclear fusion. A large progress was made throughout the last decade from both the physical as the technological area leading to the construction of the ITER machine. One of the key issues that recently received a large interest at international level is focused on the Plasma Wall Interaction (PWI). One of the promising Plasma Facing Materials (PFM) are Tungsten (W) and Tungsten alloys. However, despite the worldwide use and industrial availability of W, the database of physical and mechanical properties is very limited. Especially after fusion relevant neutron irradiation and PWI phenomena, most of the properties are still unknown. The plasma fuel consists out of deuterium (D) and tritium (T). Tritium is radio-active and therefore an issue from the safety point of view. During steady-state plasma operation of future fusion power plants, the PFM need to extract a power density of ∼10-20 MW/m 2 . On top of this heat, transient events will deposit an additional non-negligible amount of energy (Disruptions, Vertical Displacement Events, Edge Localized Modes) during short durations. These severe heat loads cause cracking and even melting of the surface resulting in a reduced lifetime and the creation of dust. A contribution to the understanding of cracking phenomena under the severe thermal loads is described as well as the properties degradation under neutron irradiation. Several W grades were irradiated in the BR2 reactor (SCK.CEN) and the thermal loads were simulated with the electron-beam facility JUDITH (FZJ). Since knowledge should be gained about the Tritium retention in the PFM for safety and licensing reasons, a unique test facility at SCK.CEN is being set-up. The plasmatron VISION-I will simulate steady state plasmas for Tritium retention studies. The formation of surface cracks and dust, the initial porosity, neutron induced traps, re-deposited material - change the Tritium

  16. Investigation of plasma interaction with carbon based and mixed materials related to next-generation fusion devices

    International Nuclear Information System (INIS)

    Guseva, M.I.; Martynenko, Yu.V.; Korshunov, S.N.

    2003-01-01

    Carbon-carbon composites, tungsten and beryllium are considered at present as candidate-materials for International Thermonuclear Experimental Reactor (ITER). The presence of various materials, as the divertor and the first wall components, will unavoidably result in the formation of mixed layers on the surfaces of plasma facing components. In this review, processes of plasma interaction with these materials and layers formed by mixing of the materials are considered. Mixed W-Be and W-C layers were prepared by deposition of two species atoms upon a substrate under simultaneous sputtering of two targets by 20 keV Ar + -ions. The thickness of the deposited mixed layers was 100-500 nm. The most important processes investigated here are: a) erosion at threshold energies and at various temperatures, b) erosion at plasma disruption, c) surface modification at normal operation regime and disruption, d) the influence of the surface modification on material erosion, e) erosion product formation at plasma disruption (dust creation), f) hydrogen isotopes retention in materials. An experimental method of determination of sputtering yield under ion bombardment in the near-threshold energy range has been developed. The method is based on the use of special regimes of field ion microscopic analysis. The method has been used for measurement of the sputtering yield of C-C composite, technically pure tungsten, tungsten oxide and mixed W-C layer on the tungsten by deuterium ions. The energy dependences of the sputtering yield of those materials by deuterium ions at energies ranging from 10 to 500 eV was investigated. Temperature dependences of pure and B-doped C-C composites erosion by deuterium ions were investigated. Material erosion was studied in a steady state plasma at the LENTA facility with parameters close to those expected at normal operation of ITER, and in the MKT plasma accelerator simulating plasma disruption. Surface modifications of graphite materials and tungsten

  17. Time-Domain Modeling of RF Antennas and Plasma-Surface Interactions

    Directory of Open Access Journals (Sweden)

    Jenkins Thomas G.

    2017-01-01

    Full Text Available Recent advances in finite-difference time-domain (FDTD modeling techniques allow plasma-surface interactions such as sheath formation and sputtering to be modeled concurrently with the physics of antenna near- and far-field behavior and ICRF power flow. Although typical sheath length scales (micrometers are much smaller than the wavelengths of fast (tens of cm and slow (millimeter waves excited by the antenna, sheath behavior near plasma-facing antenna components can be represented by a sub-grid kinetic sheath boundary condition, from which RF-rectified sheath potential variation over the surface is computed as a function of current flow and local plasma parameters near the wall. These local time-varying sheath potentials can then be used, in tandem with particle-in-cell (PIC models of the edge plasma, to study sputtering effects. Particle strike energies at the wall can be computed more accurately, consistent with their passage through the known potential of the sheath, such that correspondingly increased accuracy of sputtering yields and heat/particle fluxes to antenna surfaces is obtained. The new simulation capabilities enable time-domain modeling of plasma-surface interactions and ICRF physics in realistic experimental configurations at unprecedented spatial resolution. We will present results/animations from high-performance (10k-100k core FDTD/PIC simulations of Alcator C-Mod antenna operation.

  18. Assessment Of Surface-Catalyzed Reaction Products From High Temperature Materials In Plasmas

    Science.gov (United States)

    Allen, Luke Daniel

    Current simulations of atmospheric entry into both Mars and Earth atmospheres for the design of thermal protections systems (TPS) typically invoke conservative assumptions regarding surface-catalyzed recombination and the amount of energy deposited on the surface. The need to invoke such assumptions derives in part from lack of adequate experimental data on gas-surface interactions at trajectory relevant conditions. Addressing this issue, the University of Vermont's Plasma Test and Diagnostics Laboratory has done extensive work to measure atomic specie consumption by measuring the concentration gradient over various material surfaces. This thesis extends this work by attempting to directly diagnose molecular species production in air plasmas. A series of spectral models for the A-X and B-X systems of nitric oxide (NO), and the B-X system of boron monoxide (BO) have been developed. These models aim to predict line positions and strengths for the respective molecules in a way that is best suited for the diagnostic needs of the UVM facility. From the NO models, laser induced fluorescence strategies have been adapted with the intent of characterizing the relative quantity and thermodynamic state of NO produced bysurface-catalyzed recombination, while the BO model adds a diagnostic tool for the testing of diboride-based TPS materials. Boundary layer surveys of atomic nitrogen and NO have been carried out over water-cooled copper and nickel surfaces in air/argon plasmas. Translation temperatures and relative number densities throughout the boundary layer are reported. Additional tests were also conducted over a water-cooled copper surface to detect evidence of highly non-equilibrium effects in the form of excess population in elevated vibrational levels of the A-X system of NO. The tests showed that near the sample surface there is a much greater population in the upsilon'' = 1ground state than is predicted by a Boltzmann distribution.

  19. Interaction of candidate plasma facing materials with tokamak plasma in COMPASS

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Weinzettl, Vladimír; Macková, Anna; Malinský, Petr; Havránek, Vladimír; Naydenkova, Diana; Klevarová, Veronika; Petersson, P.; Gasior, P.; Hakola, A.; Rubel, M.; Fortuna, E.; Kolehmainen, J.; Tervakangas, S.

    2017-01-01

    Roč. 493, September (2017), s. 102-119 ISSN 0022-3115. [International Conference on Plasma-Facing Materials and Components for Fusion Applications/15./. Aix-en-Provence, 18.05.2015-22.05.2015] R&D Projects: GA ČR(CZ) GA14-12837S; GA ČR(CZ) GA15-10723S; GA MŠk(CZ) LM2015045; GA MŠk LM2015056 Institutional support: RVO:61389021 ; RVO:61389005 Keywords : erosion * COMPASS tokamak * plasma-material interaction * ion beam analysis Subject RIV: JF - Nuclear Energetics; JF - Nuclear Energetics (UJF-V) OBOR OECD: Nuclear related engineering ; Nuclear related engineering (UJF-V) Impact factor: 2.048, year: 2016 http://www.sciencedirect.com/science/ article /pii/S0022311517301708

  20. Plasma-surface interaction

    International Nuclear Information System (INIS)

    Kurnaev, V.A.

    1996-01-01

    The latest experimental results characterizing plasma interaction with walls in thermonuclear facilities are presented. The main attention is paid to the mode of plasma ejection from the tokamak divertor receiving elements to study the properties of the so-called gas divertor. A sharp reduction of load on the receiving plates is provided in the mode at the expense of over-radiation of a substantial share of power in a layer of peripheral removed plasma. However, the sharp reduction of load on the current-receiving plates is accompanied by an increase of the main plasma charge up to an unacceptably high level. An alternative variant of solving the problem of heat and impurity removal in the form of a concept of capillary lithium divertor is described. Besides, the latest results of experiments in simulator devices are presented. 46 refs., 15 figs

  1. A new facility for studying plasma interacting with flowing liquid lithium surface

    International Nuclear Information System (INIS)

    Cao, X.; Ou, W.; Tian, S.; Wang, C.; Zhu, Z.; Wang, J.; Gou, F.; Yang, D.; Chen, S.

    2014-01-01

    A new facility to study plasmas interacting with flowing liquid lithium surface was designed and is constructing in Sichuan University. The integrated setup includes the liquid lithium circulating part and linear high density plasma generator. The circulating part is consisted of main loop, on-line monitor system, lithium purification system and temperature programmed desorption system. In our group a linear high density plasma generator was built in 2012. Three coils were mounted along the vessel to produce an axial magnetic field inside. The magnetic field strength is up to 0.45 T and work continuously. Experiments on plasmas interacting with free flowing liquid lithium surface will be performed

  2. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  3. The tritium confinement and surface chemistry of plasma facing materials in controlled D-T fusion devices

    International Nuclear Information System (INIS)

    Wu, C.H.

    1987-01-01

    Tritium permeation through first walls, limiters or divertors subjected to energetic tritium charge exchange neutral bombardment is a potentially serious problem area for advanced D-T reactors operating at elevated temperatures. High concentrations of tritium in the near surface region can be reached by implantation of the charge neutral flux combined with a relatively slow recombination of these atoms into molecules at the plasma/ first wall interface. A concentration gradient is established, causing tritium to diffuse into the bulk and essentially to the outer wall surface where it can enter the first wall coolant. Since tritium separation from cooling water is very costly, release of even a small fraction of tritium to the environment could pose undesirable safety problems. Therefore, it is necessary to reduce the tritium permeation. An analysis of the way of inhibition has been made. The tritium interacts with the solid surface of the plasma facing components, resulting in trapping and material erosion, and posing problems with respect to plasma density control. The erosion of the plasma facing component materials is mainly caused by physical and chemical erosion. A detailed analysis of chemical erosion by tritium has been performed and the results are described. (author)

  4. Nonlinear interaction of the surface waves at a plasma boundary

    International Nuclear Information System (INIS)

    Dolgopolov, V.V.; El-Naggar, I.A.; Hussein, A.M.; Khalil, Sh.M.

    1976-01-01

    Amplitudes of electromagnetic waves with combination frequencies, radiating from the plasma boundary due to nonlinear interaction of the surface waves, have been found. Previous papers on this subject did not take into account that the tangential components of the electric field of waves with combination frequencies were discontinuous at the plasma boundary. (Auth.)

  5. Interactions between plasma-treated carbon nanotubes and electrically neutral materials

    Science.gov (United States)

    Ogawa, Daisuke; Nakamura, Keiji

    2014-10-01

    A plasma treatment can create dangling bonds on the surface of carbon nanotubes (CNTs). The dangling bonds are so reactive that the bonds possibly interact with other neutral species even out of the plasma if the lifetime of the bonds is effectively long. In order to have good understandings with the interactions, we placed multi-wall CNTs (MWCNTs) in atmospheric dielectric barrier discharge that was created in a closed environment with the voltage at 5 kV. We set 50 W for the operating power and 15 minutes for the process time for this plasma treatment. Our preliminary results showed that the reaction between dangling bonds and neutrals likely occurred in the situation when CNTs were treated with argon plasma, and then exposed in a nitrogen-rich dry box. We did Fourier transform infrared (FTIR) spectroscopy after the treatments. The measurement showed that the spectrum with plasma-treated CNTs was different from pristine CNTs. This is an indication that the plasma-treated CNTs have reactive cites on the surface even after the discharge (~ minutes), and then the CNTs likely reacted with the neutral species that causes the different spectrum. In this poster, we will show more details from our results and further progresses from this research.

  6. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  7. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  8. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  9. Plasma-materials interaction issues for the International Thermonuclear Experimental Reactor (ITER)

    International Nuclear Information System (INIS)

    Cohen, S.A.; Werley, K.A.

    1992-02-01

    Analysis of proposed operating scenarios for the International Thermonuclear Experimental Reactor has yielded predictions for the power and particle fluxes onto the material surfaces facing the plasma. The particles, mostly deuterium, tritium, and helium ions, would have energies in the range of 50--2000 eV and fluxes up to 5 x 10 23 /m 2 s. Lower fluxes of multi-MeV electrons and alpha particles may also strike the plasma-facing surfaces, primarily during transient events. The peak power fluxes onto the plasma-facing surfaces during normal operation are expected to be 5--100 MW/m 2 , but much higher during transient events. At the extreme conditions expected for steady-state operation, commonly used heat-removal structures are unable to withstand either the high sputter erosion rates or power loads. To reduce the time-averaged power flux, active control of the plasma position is specified to sweep the plasma heat load across larger areas of plasma-facing components. However, the cyclic heat load creates fatigue lifetime problems. Solutions to these lifetime and reliability problems by (1) changes in machine design and operation, (2) redeposition mechanisms, and (3) changes in materials, will be discussed. A proposed accelerated-life test facility for prototype divertor plate development is described

  10. Apparent Surface Free Energy of Polymer/Paper Composite Material Treated by Air Plasma

    Directory of Open Access Journals (Sweden)

    Konrad Terpiłowski

    2017-01-01

    Full Text Available Surface plasma treatment consists in changes of surface properties without changing internal properties. In this paper composite polymer/paper material is used for production of packaging in cosmetic industry. There are problems with bonding this material at the time of packaging production due to its properties. Composite surface was treated by air plasma for 1, 10, 20, and 30 s. The advancing and receding contact angles of water, formamide, and diiodomethane were measured using both treated and untreated samples. Apparent surface free energy was estimated using the hysteresis (CAH and Van Oss, Good, Chaudhury approaches (LWAB. Surface roughness was investigated using optical profilometry and identification of after plasma treatment emerging chemical groups was made by means of the XPS (X-ray photoelectron spectroscopy technique. After plasma treatment the values of contact angles decreased which is particularly evident for polar liquids. Apparent surface free energy increased compared to that of untreated samples. Changes of energy value are due to the electron-donor parameter of energy. This parameter increases as a result of adding polar groups at the time of surface plasma activation. Changes of surface properties are combination of increase of polar chemical functional groups, increase on the surface, and surface roughness increase.

  11. Non-uniform Erosion and Surface Evolution of Plasma-Facing Materials for Electric Propulsion

    Science.gov (United States)

    Matthes, Christopher Stanley Rutter

    A study regarding the surface evolution of plasma-facing materials is presented. Experimental efforts were performed in the UCLA Pi Facility, designed to explore the physics of plasma-surface interactions. The influence of micro-architectured surfaces on the effects of plasma sputtering is compared with the response of planar samples. Ballistic deposition of sputtered atoms as a result of geometric re-trapping is observed. This provides a self-healing mechanism of micro-architectured surfaces during plasma exposure. This result is quantified using a QCM to demonstrate the evolution of surface features and the corresponding influence on the instantaneous sputtering yield. The sputtering yield of textured molybdenum samples exposed to 300 eV Ar plasma is found to be roughly 1 of the 2 corresponding value of flat samples, and increases with ion fluence. Mo samples exhibited a sputtering yield initially as low as 0.22+/-8%, converging to 0.4+/-8% at high fluence. Although the yield is dependent on the initial surface structure, it is shown to be transient, reaching a steady-state value that is independent of initial surface conditions. A continuum model of surface evolution resulting from sputtering, deposition and surface diffusion is also derived to resemble the damped Kuramoto-Sivashinsky (KS) equation of non-linear dynamics. Linear stability analysis of the evolution equation provides an estimate of the selected wavelength, and its dependence on the ion energy and angle of incidence. The analytical results are confirmed by numerical simulations of the equation with a Fast Fourier Transform method. It is shown that for an initially flat surface, small perturbations lead to the evolution of a selected surface pattern that has nano- scale wavelength. When the surface is initially patterned by other means, the final resulting pattern is a competition between the "templated" pattern and the "self-organized" structure. Potential future routes of research are also

  12. Near term and long term materials issues and development needs for plasma interactive components

    International Nuclear Information System (INIS)

    Mattas, R.F.

    1986-01-01

    Plasma interactive components (PICs), including the first wall, limiter blades, divertor collector plates, halo scrapers, and RF launchers, are exposed to high particle fluxes that can result in high sputtering erosion rates and high heat fluxes. In addition, the materials in reactors are exposed to high neutron fluxes which will degrade the bulk properties. This severe environment will limit the materials and designs which can be used in fusion devices. In order to provide a reasonable degree of confidence that plasma interactive components will operate successfully, a comprehensive development program is needed. Materials research and development plays a key role in the successful development of PICs. The range of operating conditions along with a summary of the major issues for materials development is described. The areas covered include plasma/materials interactions, erosion/redeposition, baseline materials properties, fabrication, and irradiation damage effects. Candidate materials and materials development needs in the near term and long term are identified

  13. Reflectivity reduction of retro-reflector installed in LHD due to plasma surface interaction

    International Nuclear Information System (INIS)

    Yoshida, N.; Ohtawa, Y.; Ebihara, A.; Akiyama, T.; Tokitani, M.; Ashikawa, N.; Kawahata, K.

    2008-10-01

    Optical reflectivity of the retro-reflector installed in LHD as the first mirror was reduced seriously by plasma wall interaction. In order to understand the mechanism of the reflectivity reduction, optical and material properties of the mirror surfaces have been examined extensively. It was found that the deposited impurity layers caused the serious reduction of the reflectivity. Formation of iron oxide, bulges structure and He bubbles are the major factors for the reflectivity reduction in the wide wave length range. (author)

  14. Study of plasma formation in CW CO2 laser beam-metal surface interaction

    Science.gov (United States)

    Azharonok, V. V.; Vasilchenko, Zh V.; Golubev, Vladimir S.; Gresev, A. N.; Zabelin, Alexandre M.; Chubrik, N. I.; Shimanovich, V. D.

    1994-04-01

    An interaction of the cw CO2 laser beam and a moving metal surface has been studied. The pulsed and thermodynamical parameters of the surface plasma were investigated by optical and spectroscopical methods. The subsonic radiation wave propagation in the erosion plasma torch has been studied.

  15. Unraveling atomic-level self-organization at the plasma-material interface

    Science.gov (United States)

    Allain, J. P.; Shetty, A.

    2017-07-01

    The intrinsic dynamic interactions at the plasma-material interface and critical role of irradiation-driven mechanisms at the atomic scale during exposure to energetic particles require a priori the use of in situ surface characterization techniques. Characterization of ‘active’ surfaces during modification at atomic-scale levels is becoming more important as advances in processing modalities are limited by an understanding of the behavior of these surfaces under realistic environmental conditions. Self-organization from exposure to non-equilibrium and thermalized plasmas enable dramatic control of surface morphology, topography, composition, chemistry and structure yielding the ability to tune material properties with an unprecedented level of control. Deciphering self-organization mechanisms of nanoscale morphology (e.g. nanodots, ripples) and composition on a variety of materials including: compound semiconductors, semiconductors, ceramics, polymers and polycrystalline metals via low-energy ion-beam assisted plasma irradiation are critical to manipulate functionality in nanostructured systems. By operating at ultra-low energies near the damage threshold, irradiation-driven defect engineering can be optimized and surface-driven mechanisms controlled. Tunability of optical, electronic, magnetic and bioactive properties is realized by reaching metastable phases controlled by atomic-scale irradiation-driven mechanisms elucidated by novel in situ diagnosis coupled to atomistic-level computational tools. Emphasis will be made on tailored surface modification from plasma-enhanced environments on particle-surface interactions and their subsequent modification of hard and soft matter interfaces. In this review, we examine current trends towards in situ and in operando surface and sub-surface characterization to unravel atomic-scale mechanisms at the plasma-material interface. This work will emphasize on recent advances in the field of plasma and ion

  16. Magnum-PSI: A new plasma-wall interaction experiment

    International Nuclear Information System (INIS)

    Koppers, W.; Eck, H. van; Scholten, J.

    2006-01-01

    The FOM-Institute for Plasma Physics Rijnhuizen is preparing the construction of Magnum-PSI, a magnetized (3 T), steady-state, large area (diameter 10 cm), high-flux plasma (10 24 ions m -2 s -1 generator. The aim of the linear plasma device Magnum-PSI is to provide a controlled, highly accessible laboratory experiment in which the interaction of a magnetized plasma with different surfaces can be studied in detail. Plasma parameters can be varied over a wide range, in particular covering the high-density, low-temperature conditions expected for the detached divertor plasma of ITER. The target set-up will be extremely flexible allowing the investigation of different materials under a large variety of conditions (temperatures, inclination, biasing, coatings, etc.). A range of target materials will be used, including carbon, tungsten and other metals, and mixed materials. Because of the large plasma beam of 10 cm diameter and spacious vacuum tank, even the test of whole plasma-facing component mock-ups will be possible. Dedicated diagnostics will be installed to allow for detailed studies of the fundamental physics and chemistry of plasma-surface interaction, such as erosion and deposition, hydrogen recycling, retention and removal, dust and layer formation, plasma sheath physics and heat loads (steady-state or transient). Magnum-PSI will be a unique experiment to address the ITER divertor physics which will essentially differ from present day Tokamak and/or linear plasma generator physics. In this contribution, we will present the pre-design of the Magnum-PSI experiment. We will discuss the requirements on the vacuum system, 3T superconducting magnet, plasma source, target manipulator and additional plasma heating. In addition, we will briefly introduce the plasma and surface diagnostics that will be used in the Magnum-PSI experiment. (author)

  17. Atomic and plasma-material interaction data for fusion. V. 6

    International Nuclear Information System (INIS)

    1995-01-01

    Volume 6 of the supplement ''atomic and plasma-material interaction data for fusion'' to the journal ''Nuclear Fusion'' includes critical assessments and results of original experimental and theoretical studies on inelastic collision processes among the basic and dominant impurity constituents of fusion plasmas. Processes considered in the 15 papers constituting this volume are: electron impact excitation of excited Helium atoms, electron impact excitation and ionization of plasma impurity ions and atoms, electron-impurity-ion recombination and excitation, ionization and electron capture in collisions of plasma protons and impurity ions with the main fusion plasma neutral components helium and atomic and molecular hydrogen. Refs, figs, tabs

  18. IAEA activities on atomic, molecular and plasma-material interaction data for fusion

    Science.gov (United States)

    Braams, Bastiaan J.; Chung, Hyun-Kyung

    2013-09-01

    The IAEA Atomic and Molecular Data Unit (http://www-amdis.iaea.org/) aims to provide internationally evaluated and recommended data for atomic, molecular and plasma-material interaction (A+M+PMI) processes in fusion research. The Unit organizes technical meetings and coordinates an A+M Data Centre Network (DCN) and a Code Centre Network (CCN). In addition the Unit organizes Coordinated Research Projects (CRPs), for which the objectives are mixed between development of new data and evaluation and recommendation of existing data. In the area of A+M data we are placing new emphasis in our meeting schedule on data evaluation and especially on uncertainties in calculated cross section data and the propagation of uncertainties through structure data and fundamental cross sections to effective rate coefficients. Following a recent meeting of the CCN it is intended to use electron scattering on Be, Ne and N2 as exemplars for study of uncertainties and uncertainty propagation in calculated data; this will be discussed further at the presentation. Please see http://www-amdis.iaea.org/CRP/ for more on our active and planned CRPs, which are concerned with atomic processes in core and edge plasma and with plasma interaction with beryllium-based surfaces and with irradiated tungsten.

  19. Atomic and plasma-material interaction data for fusion. V. 2

    International Nuclear Information System (INIS)

    1992-01-01

    This issues of the Atomic and Plasma-Material Interaction Data for Fusion contains 9 papers on atomic and molecular processes in the edge region of magnetically confined fusion plasmas, including spectroscopic data for fusion edge plasmas; electron collision processes with plasma edge neutrals; electron-ion collisions in the plasma edge; cross-section data for collisions of electrons with hydrocarbon molecules; dissociative and energy transfer reactions involving vibrationally excited hydrogen or deuterium molecules; an assessment of ion-atom collision data for magnetic fusion plasma edge modeling; an extended scaling of cross sections for the ionization of atomic and molecular hydrogen as well as helium by multiply-charged ions; ion-molecule collision processes relevant to fusion edge plasmas; and radiative losses and electron cooling rates for carbon and oxygen plasma impurities. Refs, figs and tabs

  20. Magnetic fusion energy plasma interactive and high heat flux components. Volume I. Technical assessment of the critical issues and problem areas in the plasma materials interaction field

    International Nuclear Information System (INIS)

    Conn, R.W.; Gauster, W.B.; Heifetz, D.; Marmar, E.; Wilson, K.L.

    1984-01-01

    A technical assessment of the critical issues and problem areas in the field of plasma materials interactions (PMI) in magnetic fusion devices shows these problems to be central for near-term experiments, for intermediate-range reactor devices including D-T burning physics experiments, and for long-term reactor machines. Critical technical issues are ones central to understanding and successful operation of existing and near-term experiments/reactors or devices of great importance for the long run, i.e., ones which will require an extensive, long-term development effort and thus should receive attention now. Four subgroups were formed to assess the critical PMI issues along four major lines: (1) PMI and plasma confinement physics experiments; (2) plasma-edge modelling and theory; (3) surface physics; and (4) materials technology for in-vessel components and the first wall. The report which follows is divided into four major sections, one for each of these topics

  1. Intense Magnetized Plasma-Wall Interaction

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Bruno S. [UNR; Fuelling, Stephan [UNR

    2013-11-30

    This research project studied wall-plasma interactions relevant to fusion science. Such interactions are a critical aspect of Magneto-Inertial Fusion (MIF) because flux compression by a pusher material, in particular the metal for the liner approach to MIF, involves strong eddy current heating on the surface of the pusher, and probably interactions and mixing of the pusher with the interior fuel during the time when fusion fuel is being burned. When the pusher material is a metal liner, high-energy-density conditions result in fascinating behavior. For example, "warm dense matter" is produced, for which material properties such as resistivity and opacity are not well known. In this project, the transformation into plasma of metal walls subjected to pulsed megagauss magnetic fields was studied with an experiment driven by the UNR 1 MA Zebra generator. The experiment was numerically simulated with using the MHRDR code. This simple, fundamental high-energy-density physics experiment, in a regime appropriate to MIF, has stimulated an important and fascinating comparison of numerical modeling codes and tables with experiment. In addition, we participated in developing the FRCHX experiment to compress a field-reversed-configuration (FRC) plasma with a liner, in collaboration with researchers from Air Force Research Laboratory and Los Alamos National Lab, and we helped develop diagnostics for the Plasma Liner Experiment (PLX) at LANL. Last, but not least, this project served to train students in high-energy-density physics.

  2. Influence of irradiation conditions on plasma evolution in laser-surface interaction

    Science.gov (United States)

    Hermann, J.; Boulmer-Leborgne, C.; Dubreuil, B.; Mihailescu, I. N.

    1993-09-01

    The plasma plume induced by pulsed CO2 laser irradiation of a Ti target at power densities up to 4×108 W cm-2 was studied by emission spectroscopy. Time- and space-resolved measurements were performed by varying laser intensity, laser temporal pulse shape, ambient gas pressure, and the nature of the ambient gas. Experimental results are discussed by comparison with usual models. We show that shock wave and plasma propagation depend critically on the ratio Ivap/Ii, Ivap being the intensity threshold for surface vaporization and Ii the plasma ignition threshold of the ambient gas. Spectroscopic diagnostics of the helium breakdown plasma show maximum values of electron temperature and electron density in the order of kTe˜10 eV and ne=1018 cm-3, respectively. The plasma cannot be described by local thermodynamic equilibrium modeling. Nevertheless, excited metal atoms appear to be in equilibrium with electrons, hence, they can be used like a probe to measure the electron temperature. In order to get information on the role of the plasma in the laser-surface interaction, Ti surfaces were investigated by microscopy after irradiation. Thus an enhanced momentum transfer from the plasma to the target due to the recoil pressure of the breakdown plasma could be evidenced.

  3. Divertor heat flux control and plasma-material interaction

    International Nuclear Information System (INIS)

    Kikuchi, Yusuke; Nagata, Masayoshi; Sawada, Keiji; Takamura, Shuichi; Ueda, Yoshio

    2014-01-01

    Development of reliable radiative-cooling divertors is essential in DEMO reactor because it uses low-activation materials with low heat removal and the plasma heat flux exhausted from the confined region is 5 times as large as in ITER. It is important to predict precisely the heat and particle flux toward the divertor plate by simulation. In this present article, theoretical and experimental data of the reflection, secondary emission and surface recombination coefficients of the divertor plate by ion bombardment are given and their effects on the power transmission coefficient are discussed. In addition, some topics such as the erosion process of the divertor plate by ELM and the plasma disruption, the thermal shielding due to the vapor layer on the divertor plate and the formation of fuzz structure on W by helium plasma irradiation, are described. (author)

  4. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  5. Plasma-Assisted Synthesis and Surface Modification of Electrode Materials for Renewable Energy.

    Science.gov (United States)

    Dou, Shuo; Tao, Li; Wang, Ruilun; El Hankari, Samir; Chen, Ru; Wang, Shuangyin

    2018-02-14

    Renewable energy technology has been considered as a "MUST" option to lower the use of fossil fuels for industry and daily life. Designing critical and sophisticated materials is of great importance in order to realize high-performance energy technology. Typically, efficient synthesis and soft surface modification of nanomaterials are important for energy technology. Therefore, there are increasing demands on the rational design of efficient electrocatalysts or electrode materials, which are the key for scalable and practical electrochemical energy devices. Nevertheless, the development of versatile and cheap strategies is one of the main challenges to achieve the aforementioned goals. Accordingly, plasma technology has recently appeared as an extremely promising alternative for the synthesis and surface modification of nanomaterials for electrochemical devices. Here, the recent progress on the development of nonthermal plasma technology is highlighted for the synthesis and surface modification of advanced electrode materials for renewable energy technology including electrocatalysts for fuel cells, water splitting, metal-air batteries, and electrode materials for batteries and supercapacitors, etc. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    Energy Technology Data Exchange (ETDEWEB)

    R. Kaita; R. Majeski; R. Doerner; G. Antar; M. Baldwin; R. Conn; P. Efthimion; M. Finkenthal; D. Hoffman; B. Jones; S. Krashenninikov; H. Kugel; S. Luckhardt; R. Maingi; J. Menard; T. Munsat; D. Stutman; G. Taylor; J. Timberlake; V. Soukhanovskii; D. Whyte; R. Woolley; L. Zakharov

    2002-10-15

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors.

  7. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.; Antar, G.; Baldwin, M.; Conn, R.; Efthimion, P.; Finkenthal, M.; Hoffman, D.; Jones, B.; Krashenninikov, S.; Kugel, H.; Luckhardt, S.; Maingi, R.; Menard, J.; Munsat, T.; Stutman, D.; Taylor, G.; Timberlake, J.; Soukhanovskii, V.; Whyte, D.; Woolley, R.; Zakharov, L.

    2002-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors

  8. Liquid lithium limiter effects on tokamak plasmas and plasma-liquid surface interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.

    2003-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors. (author)

  9. Imitation of deuterium plasma interaction with the surface of carbon materials in gaseous divertor conditions

    Energy Technology Data Exchange (ETDEWEB)

    Korshunov, S.N. E-mail: sinet@nfi.kiae.ru; Guseva, M.I.; Gureev, V.M.; Danelyan, L.S.; Khripunov, B.I.; Kolbasov, B.N.; Kulikauskas, V.S.; Litnovsky, A.M.; Martynenko, Yu.V.; Petrov, V.B.; Zatekin, V.V

    2003-03-01

    The experiments on simulation of gas divertor conditions were done in the LENTA facility under interaction of a plasma flow with neutral gas. The samples of carbon materials were exposed in a steady-state deuterium plasma (ion energy 5 eV, ion flux 5x10{sup 21} m{sup -2} s{sup -1}, fluence 10{sup 26} m{sup -2}) at 1470 K (MPG-8) and at 1320 K (SEP NB31). Heavy deuterocarbon molecules (C{sub 2}D{sub 2}, C{sub 2}D{sub 4}, C{sub 2}D{sub 6}) were observed in mass spectra of the discharge. This fact and high erosion yields show the presence of chemical erosion. Deuterium accumulation in carbon materials was studied by elastic recoil detection analysis. The integral deuterium content is 6x10{sup 18} m{sup -2} in SEP NB31 and 1.95x10{sup 19} m{sup -2} in MPG-8. The profiles of C and Mo atom distributions in deposited layer on Mo collector is 'X'-like. Carbon atoms distribution in deposited layer on Si is uniform. The integral deuterium content in co-deposited layers is 1.4x10{sup 21} m{sup -2} on Si and 4.8x10{sup 20} m{sup -2} on Mo. A globular structure of co-deposited layer on Mo collector was found.

  10. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  11. Foundations of low-temperature plasma enhanced materials synthesis and etching

    Science.gov (United States)

    Oehrlein, Gottlieb S.; Hamaguchi, Satoshi

    2018-02-01

    Low temperature plasma (LTP)-based synthesis of advanced materials has played a transformational role in multiple industries, including the semiconductor industry, liquid crystal displays, coatings and renewable energy. Similarly, the plasma-based transfer of lithographically defined resist patterns into other materials, e.g. silicon, SiO2, Si3N4 and other electronic materials, has led to the production of nanometer scale devices that are the basis of the information technology, microsystems, and many other technologies based on patterned films or substrates. In this article we review the scientific foundations of both LTP-based materials synthesis at low substrate temperature and LTP-based isotropic and directional etching used to transfer lithographically produced resist patterns into underlying materials. We cover the fundamental principles that are the basis of successful application of the LTP techniques to technological uses and provide an understanding of technological factors that may control or limit material synthesis or surface processing with the use of LTP. We precede these sections with a general discussion of plasma surface interactions, the LTP-generated particle fluxes including electrons, ions, radicals, excited neutrals and photons that simultaneously contact and modify surfaces. The surfaces can be in the line of sight of the discharge or hidden from direct interaction for structured substrates. All parts of the article are extensively referenced, which is intended to help the reader study the topics discussed here in more detail.

  12. Investigation of plasma–surface interaction at plasma beam facilities

    Energy Technology Data Exchange (ETDEWEB)

    Kurnaev, V., E-mail: kurnaev@plasma.mephi.ru [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Vizgalov, I.; Gutorov, K. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Tulenbergenov, T.; Sokolov, I.; Kolodeshnikov, A.; Ignashev, V.; Zuev, V.; Bogomolova, I. [Institute of Atomic Energy, National Nuclear Center the Republic of Kazakhstan, Street Krasnoarmejsky, 10, 071100 Kurchatov (Kazakhstan); Klimov, N. [SRC RF TRINITI, ul. Pushkovykh, vladenie 12, Troitsk, 142190 Moscow (Russian Federation)

    2015-08-15

    The new Plasma Beam Facility (PBF) has been put into operation for assistance in testing of plasma faced components at Material Science Kazakhstan Tokamak (KTM). PBF includes a powerful electron gun (up to 30 kV, 1 A) and a high vacuum chamber with longitudinal magnetic field coils (up to 0.2 T). The regime of high vacuum electron beam transportation is used for thermal tests with power density at the target surface up to 10 GW/m{sup 2}. The beam plasma discharge (BPD) regime with a gas-puff is used for generation of intensive ion fluxes up to 3 ⋅ 10{sup 22} m{sup −2} s{sup −1}. Initial tests of the KTM PBF’s capabilities were carried out: various discharge regimes, carbon deposits cleaning, simultaneous thermal and ion impacts on radiation cooled refractory targets. With a water-cooled target the KTM PBF could be used for high heat flux tests of materials (validated by the experiment with W mock-up at the PR-2 PBF)

  13. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  14. 1st IAEA research co-ordination meeting on 'plasma-material interaction data for mixed plasma facing materials in fusion reactors'. Summary report

    International Nuclear Information System (INIS)

    Janev, R.K.; Longhurst, G.

    1998-12-01

    The proceedings and conclusions of the 1st IAEA Research Co-ordination Meeting on 'Plasma-Material Interaction Data for Mixed Plasma Facing Materials in Fusion Reactors', held on December 19 and 20, 1998 at the IAEA Headquarters in Vienna, are briefly described. This report includes a summary of the presentations made by meeting participants, a review of the data availability and data needs in the areas from the scope of the Co-ordinated Research Project (CRP) on the subject of the meeting, and recommendations regarding the future work within this CRP. (author)

  15. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  16. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  17. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  18. An assessment of surface emissivity variation effects on plasma uniformity analysis using IR cameras

    Science.gov (United States)

    Greenhalgh, Abigail; Showers, Melissa; Biewer, Theodore

    2017-10-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) is a linear plasma device operating at Oak Ridge National Laboratory (ORNL). Its purpose is to test plasma source and heating concepts for the planned Material Plasma Exposure eXperiment (MPEX), which has the mission to test the plasma-material interactions under fusion reactor conditions. In this device material targets will be exposed to high heat fluxes (>10 MW/m2). To characterize the heat fluxes to the target a IR thermography system is used taking up to 432 frames per second videos. The data is analyzed to determine the surface temperature on the target in specific regions of interest. The IR analysis has indicated a low level of plasma uniformity; the plasma often deposits more heat to the edge of the plate than the center. An essential parameter for IR temperature calculation is the surface emissivity of the plate (stainless steel). A study has been performed to characterize the variation in the surface emissivity of the plate as its temperature changes and its surface finish is modified by plasma exposure.

  19. Studies on plasma-surface interaction in the stellarator W7-AS

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Pech, P.; Reiner, H.D.; Schneider, W.; Wolff, H.; Brakel, R.; Grigull, P.; Hofmann, J.; Roth, J.

    1995-01-01

    The analysis of long term collector samples has given information on wall erosion by ion sputtering in W7-AS. There is strong indication that this erosion occurred during high ι-discharges which are separatrix-dominated by 5/n island chains. Langmuir and calorimeter probe measurements have demonstrated that in this case particle and heat fluxes leave the plasma locally with high densities. Erosion of limiter surfaces measured post-mortem can be satisfactorily correlated with results from measurements with flush mounted limiter probes. Measurements with a moveable collector probe have shown that the plasma impurity contamination by limiter material is higher after wall boronization in limiter-dominated discharges. This is explained by less contamination of the limiter surface after removal of the boronization layer from the limiter surface. On the basis of a simple 1D-impurity transport model a comparison of Langmuir and collector probe measurements with spectroscopic measurements in the core plasma was made, supporting this hypothesis. ((orig.))

  20. Materials for the plasma-facing components of steady state stellarators

    International Nuclear Information System (INIS)

    Bolt, H.; Boscary, J.; Greuner, H.; Grigull, P.; Maier, H.; Streibl, B.

    2005-01-01

    The specific advantage of current-free stellarators is their inherent capability for full steady-state operation. This will lead to long discharges and the corresponding stationary plasma exposure of the plasma-facing materials. Further to this, the absence of disruptions relaxes the requirements to the plasma-facing materials in terms of thermal shock stability, although ELM activity occurs also in stellarators and leads to fast transient surface loads on the ms-time scale. Another aspect regarding the plasma-material interactions in stellarators is the sensitivity to impurity accumulation in the core plasma. Thus, it is preferred to apply low-Z materials until operation scenarios are established which do not lead to this accumulation process. In the case of high-Z materials impurity accumulation will lead to a radiative plasma collapse. For the stellarator W7-X low-Z plasma-facing materials have been selected to protect the divertor and the wall surfaces. Due to the stationary operation, the plasma-facing materials have to be bonded or clamped to actively water-cooled substrates to remove the incident heat fluxes. The following materials have been selected to fulfil the operational requirements: 1. A three directionally carbon fibre reinforced carbon composite (CFC) with very high thermal conductivity bonded to a water cooled CuCrZr heat sink for the divertor which will be exposed to heat fluxes up to 10MW/m 2 . 2. Isotropic fine grain graphite tiles mechanically clamped to a CuCrZr heat sink which is brazed to a stainless steel cooling tube for the areas of moderate heat fluxes up to 0.5 MW/m 2 (baffles, inner wall). 3. Thick boron carbide coating on water cooled steel panels for the outer wall surfaces with low heat fluxes up to 0.2 MW/m 2 . This coating would be applied on most surfaces only after the initial operation. In the presentation the properties of these materials will be discussed with a view to the plasma-wall interaction in W7-X. In fusion reactors

  1. Surface interactions in a cold plasma atmosphere

    International Nuclear Information System (INIS)

    Inspector, A.

    1981-10-01

    The formation of pyrocoating on conmercial grade graphite in a cold plasma atmosphere of argon and propylene mixtures was investigated. The experiments were performed in an evacuated glass tube at low pressure ( 6 Hz), and in some experiments by micro-wave frequency irradiation (2.45x10 6 Hz) through an external antenna that was located around the middle of the tube. The research was performed in four complementary directions: (a) Characterization of the plasma. The effect of various experimental parameters on the composition of the plasma was investigated; the density of the positive ions; the temperature of the electrons. The following parameters were investigated: the concentration of the hydrocarbon in the feed mixture; the total gas pressure in the tube; the induced power; the location of the sampling position in relation to the location of the antenna and the direction of the gas flow. (b) Measurements of the deposition rate as a function of the concentration of the propylene in the feed mixture and of the total gas pressure in the tube. (c) Characterization of the coating. The characterization included structure and morphology analysis, and measurements of microporosity, composition, optical anisotropy and density. (d) Development of a theoretical model of the deposition process which is based on the plasma-surface interactions, and relates the characteristics of the plasma to those of the deposited coating. The values for the composition of the coating and its rate of deposition that were calculated using the model agree well with those that were measured experimentally

  2. Treatment of polymer surfaces in plasma Part I. Kinetic model

    International Nuclear Information System (INIS)

    Tabaliov, N A; Svirachev, D M

    2006-01-01

    The surface tension of the polymer materials depends on functional groups over its surface. As a result from the plasma treatment the kind and concentration of the functional groups can be changed. In the present work, the possible kinetic reactions are defined. They describe the interaction between the plasma and the polymer surface of polyethylene terephthalate (PET). Basing on these reactions, the systems of differential kinetic equations are suggested. The solutions are obtained analytically for the system kinetic equations at defined circumstances

  3. Department of Plasma Physics and Material Engineering - Overview

    International Nuclear Information System (INIS)

    Rabinski, M.

    2010-01-01

    Full text: In April 2009 the Department of Materials Studies was united with the Department of Plasma Physics and Technology, This action followed twenty years of close cooperation in the implementation of high-intensity ion-beam pulses for the implantation of materials. In 2009 the activities of the new Department continued previous studies in the following fields of plasma physics, controlled nuclear fusion and plasma engineering: · Development of selected methods for high-temperature plasma diagnostics; · Studies of physical phenomena in pulsed discharges at the Plasma-Focus and RPI-IBIS facilities; · Research on plasma technologies, search for new methods of surface engineering; · Selected problems of plasma theory and computational modelling. In the framework of the EURATOM program. efforts were devoted to the development of diagnostics methods for tokamak-type facilities. Such studies included the elaboration of a special detection system based on a Cherenkov-type detector. Other fusion-oriented efforts were connected with the application of activation methods to the investigation of neutrons from the JET tokamak. Also. solid-state nuclear track detectors of the PM-355 type were used for measurements of energetic protons emitted from ultra-intense laser produced plasmas. In our continuing experimental studies, particular attention was paid to the development and application of optical spectroscopy for diagnostics of high-temperature plasma within the RPI-IBIS device and Plasma-Focus facilities. Fast ions escaping from the plasma were studied with nuclear track detectors, The interaction of plasma-ion streams with different targets was also investigated. A field of research activity was related to plasma technology. Efforts were undertaken to improve the ultra-high vacuum (UHV) deposition of thin superconducting layers. c.g. pure niobium film on the surface of copper resonant cavities of accelerators. The vacuum arc deposition technique was also applied to

  4. Ion-solid interactions for materials modification and processing

    International Nuclear Information System (INIS)

    Poker, D.B.; Ila, D.; Cheng, Y.T.; Harriott, L.R.; Sigmon, T.W.

    1996-01-01

    Topics ranged from the very fundamental ion-solid interactions to the highly device-oriented semiconductor applications. Highlights of the symposium featured in this volume include: nanocrystals in insulators, plasma immersion ion implantation. Focused ion beams, molecular dynamics simulations of ion-surface interactions, ion-beam mixing of insulators, GeV ion irradiation, electro-optical materials, polymers, tribological materials, and semiconductor processing. Separate abstracts were prepared for most papers in this volume

  5. 2nd (final) IAEA research co-ordination meeting on 'plasma-material interaction data for mixed plasma facing materials in fusion reactors'. Summary report

    International Nuclear Information System (INIS)

    Clark, R.E.H.

    2001-11-01

    The proceedings and conclusions of the 2nd Research Co-ordination Meeting on 'Plasma-Material Interaction Data for Mixed Plasma Facing Materials in Fusion Reactors', held on October 16 and 17, 2000 at the IAEA Headquarters in Vienna, are briefly described. This report includes a summary of the presentations made by the meeting participants and a review of the accomplishments of the Co-ordinated Research Project (CRP). In addition, short summaries from the participants are included indicating the specific research completed in support of this CRP. (author)

  6. Atomic and plasma-material interaction data for fusion. V. 3

    International Nuclear Information System (INIS)

    1992-01-01

    This volume of Atomic and Plasma-Material Interaction Data for Fusion is devoted to atomic collision processes of helium atoms and of beryllium and boron atoms and ions in fusion plasmas. Most of the articles included in this volume are extended versions of the contributions presented at the IAEA experts' meetings on Atomic Data for Helium Beam Fusion Alpha Particle Diagnostics and on the Atomic Database for Beryllium and Boron, held in June 1991 at the IAEA headquarters in Vienna, or have resulted from the cross-section data analyses and evaluations performed by the working groups of these meetings. Refs, figs and tabs

  7. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  8. Interaction of powerful hot plasma and fast ion streams with materials in dense plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Chernyshova, M., E-mail: maryna.chernyshova@ipplm.pl [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Gribkov, V.A. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Kowalska-Strzeciwilk, E.; Kubkowska, M.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Zielinska, E. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Demina, E.V.; Pimenov, V.N.; Maslyaev, S.A. [Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Bondarenko, G.G. [National Research University Higher School of Economics (HSE), Moscow (Russian Federation); Vilemova, M.; Matejicek, J. [Institute of Plasma Physics of the CAS, Prague (Czech Republic)

    2016-12-15

    Highlights: • Materials perspective for use in mainstream nuclear fusion facilities were studied. • Powerful streams of hot plasma and fast ions were used to induce irradiation. • High temporal, spatial, angular and spectral resolution available in experiments. • Results of irradiation were investigated by number of analysis techniques. - Abstract: A process of irradiating and ablating solid-state targets with hot plasma and fast ion streams in two Dense Plasma Focus (DPF) devices – PF-6 and PF-1000 was examined by applying a number of diagnostics of nanosecond time resolution. Materials perspective for use in chambers of the mainstream nuclear fusion facilities (mainly with inertial plasma confinement like NIF and Z-machine), intended both for the first wall and for constructions, have been irradiated in these simulators. Optical microscopy, SEM, Atomic Emission Spectroscopy, images in secondary electrons and in characteristic X-ray luminescence of different elements, and X-ray elemental analysis, gave results on damageability for a number of materials including low-activated ferritic and austenitic stainless steels, β-alloy of Ti, as well as two types of W and a composite on its base. With an increase of the number of shots irradiating the surface, its morphology changes from weakly pronounced wave-like structures or ridges to strongly developed ones. At later stages, due to the action of the secondary plasma produced near the target materials they melted, yielding both blisters and a fracturing pattern: first along the grain and then “in-between” the grains creating an intergranular net of microcracks. At the highest values of power flux densities multiple bubbles appeared. Furthermore, in this last case the cracks were developed because of microstresses at the solidification of melt. Presence of deuterium within the irradiated ferritic steel surface nanolayers is explained by capture of deuterons in lattice defects of the types of impurity atoms

  9. Lunar dusty plasma: A result of interaction of the solar wind flux and ultraviolet radiation with the lunar surface

    International Nuclear Information System (INIS)

    Lisin, E A; Tarakanov, V P; Petrov, O F; Popel, S I

    2015-01-01

    One of the main problems of future missions to the Moon is associated with lunar dust. Solar wind flux and ultraviolet radiation interact with the lunar surface. As a result, there is a substantial surface change and a near-surface plasma sheath. Dust particles from the lunar regolith, which turned in this plasma because of any mechanical processes, can levitate above the surface, forming dust clouds. In preparing of the space experiments “Luna-Glob” and “Luna-Resource” particle-in-cell calculations of the near-surface plasma sheath parameters are carried out. Here we present some new results of particle-in-cell simulation of the plasma sheath formed near the surface of the moon as a result of interaction of the solar wind and ultraviolet radiation with the lunar surface. The conditions of charging and stable levitation of dust particles in plasma above the lunar surface are also considered. (paper)

  10. Bibliography on plasma-wall interactions

    International Nuclear Information System (INIS)

    Okano, J.

    1980-05-01

    Bibliography is compiled for the following subjects: (1) Plasma-wall interactions, general, (2) Sputtering, (3) Chemical sputtering, (4) Blistering, (5) Electron-impact desorption, (6) Thermal desorption and photo-desorption, (7) Emission of secondary electrons and ions, emission of photoelectrons, and material for getters, (8) Gas release and trapping, (9) Approach from surface diagnostics (review). The compilation has not been intended to be complete, but to give a first step toward a further study of the respective subjects. (author)

  11. Interaction of cw CO2 laser radiation with plasma near-metallic substrate surface

    Science.gov (United States)

    Azharonok, V. V.; Astapchik, S. A.; Zabelin, Alexandre M.; Golubev, Vladimir S.; Golubev, V. S.; Grezev, A. N.; Filatov, Igor V.; Chubrik, N. I.; Shimanovich, V. D.

    2000-07-01

    Optical and spectroscopic methods were used in studying near-surface plasma that is formed under the effect CW CO2 laser of (2- 5)x106W/cm2 power density upon stainless steel in He and Ar shielding gases. The variation of plume spatial structure with time has been studied, the outflow of gas-vapor jets from the interaction area has been characterized. The spectra of plasma plume pulsations have been obtained for the frequency range Δf = 0-1 MHz. The temperature and electron concentration of plasma plume have been found under radiation effect upon the target of stainless steel. Consideration has been given to the most probable mechanisms of CW laser radiation-metal non-stationary interaction.

  12. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  13. Study of tungsten surface interaction with plasma streams at DPF-1000U

    Directory of Open Access Journals (Sweden)

    Ladygina Marina S.

    2015-06-01

    Full Text Available In this note experimental studies of tungsten (W samples irradiated by intense plasma-ion streams are reported. Measurements were performed using the modified plasma focus device DPF-1000U equipped with an axial gas-puffing system. The main diagnostic tool was a Mechelle®900 optical spectrometer. The electron density of a freely propagating plasma stream (i.e., the plasma stream observed without any target inside the vacuum chamber was estimated on the basis of the half-width of the Dβ spectral line, taking into account the linear Stark effect. For a freely propagating plasma stream the maximum electron density amounted to about 1.3 × 1017 cm−3 and was reached during the maximum plasma compression. The plasma electron density depends on the initial conditions of the experiments. It was thus important to determine first the plasma flow characteristics before attempting any target irradiation. These data were needed for comparison with plasma characteristics after an irradiation of the investigated target. In fact, spectroscopic measurements performed during interactions of plasma streams with the investigated W samples showed many WI and WII spectral lines. The surface erosion was determined from mass losses of the irradiated samples. Changes on the surfaces of the irradiated samples were also investigated with an optical microscope and some sputtering and melting zones were observed.

  14. Application of Glow Discharge Plasma to Alter Surface Properties of Materials

    Science.gov (United States)

    Trigwell, Steve; Buhler, Charles R.; Calle, Carlos I.

    2005-01-01

    Some polymer materials that are considered important for spaceport operations are rendered noncompliant when subjected to the Kennedy Space Center (KSC) Standard electrostatic testing. These materials operate in stringent environmental conditions, such as high humidity. Treating materials that fail electrostatic testing and altering their surface properties so that they become compliant would result in considerable cost savings. Significant improvement in electrostatic dissipation of Saf-T-Vu PVC after treatment with air Atmospheric Plasma Glow Discharge (APGD) was observed and the material now passed the KSC electrostatic test. The O:C ratio on the surface, as monitored by X-ray Photoelectron Spectroscopy, increased from 0.165 tO 0.275 indicating enhanced oxidation, and surface contact angle measurements decreased from 107.5 to 72.6 showing increased hydrophilicity that accounted for the increased conductivity. Monitoring of the aging showed that the materials hydrophobic recovery resulted in it failing the electrostatic test 30 hours after treatment. This was probably due to the out-diffusion of the added Zn, Ba, and Cd salt stabilizers detected on the surface and/or diffusion of low molecular weight oligomers. On going work includes improving the long term hydrophilicity by optimizing the APGD process with different gas mixtures. Treatment of other spaceport materials is also presented.

  15. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  16. Proceedings of US/Japan Workshop (97FT5-06) on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    International Nuclear Information System (INIS)

    Nygren, Richard; Kureczko, Diana

    1998-10-01

    The 1997 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices was held at the Warwick Regis Hotel in San Francisco, California, on December 8-11, 1997. There were 53 presentations as well as discussions on technical issues and on planning for future collaborations, and 35 researchers from japan and the US participated in the workshop. Over the last few years, with the strong emphasis in the US on technology for ITER, there has been less work done in the US fusion program on basic plasma materials interaction and this change in emphasis workshops. The program this year emphasized activities that were not carried out under the ITER program and a new element this year in the US program was planning and some analysis on liquid surface concepts for advanced plasma facing components. The program included a ceremony to honor Professor Yamashina, who was retiring this year and a special presentation on his career

  17. Helium atmospheric pressure plasma jets touching dielectric and metal surfaces

    Science.gov (United States)

    Norberg, Seth A.; Johnsen, Eric; Kushner, Mark J.

    2015-07-01

    Atmospheric pressure plasma jets (APPJs) are being investigated in the context plasma medicine and biotechnology applications, and surface functionalization. The composition of the surface being treated ranges from plastics, liquids, and biological tissue, to metals. The dielectric constant of these materials ranges from as low as 1.5 for plastics to near 80 for liquids, and essentially infinite for metals. The electrical properties of the surface are not independent variables as the permittivity of the material being treated has an effect on the dynamics of the incident APPJ. In this paper, results are discussed from a computational investigation of the interaction of an APPJ incident onto materials of varying permittivity, and their impact on the discharge dynamics of the plasma jet. The computer model used in this investigation solves Poisson's equation, transport equations for charged and neutral species, the electron energy equation, and the Navier-Stokes equations for the neutral gas flow. The APPJ is sustained in He/O2 = 99.8/0.2 flowing into humid air, and is directed onto dielectric surfaces in contact with ground with dielectric constants ranging from 2 to 80, and a grounded metal surface. Low values of relative permittivity encourage propagation of the electric field into the treated material and formation and propagation of a surface ionization wave. High values of relative permittivity promote the restrike of the ionization wave and the formation of a conduction channel between the plasma discharge and the treated surface. The distribution of space charge surrounding the APPJ is discussed.

  18. Frequency Upconversion and Parametric Surface Instabilities in Microwave Plasma Interactions.

    Science.gov (United States)

    Rappaport, Harold Lee

    In this thesis the interaction of radiation with plasmas whose density profiles are nearly step functions of space and/or time are studied. The wavelengths of radiation discussed are large compared with plasma density gradient scale lengths. The frequency spectra are evaluated and the energy balance investigated for the transmitted and reflected transient electromagnetic waves that are generated when a monochromatic source drives a finite width plasma in which a temporal step increase in density occurs. Transmission resonances associated with the abrupt boundaries manifest themselves as previously unreported multiple frequency peaks in the transmitted electromagnetic spectrum. A tunneling effect is described in which a burst of energy is transmitted from the plasma immediately following a temporal density transition. Stability of an abruptly bounded plasma, one for which the incident radiation wavelength is large compared with the plasma density gradient scale length, is investigated for both s and p polarized radiation types. For s-polarized radiation a new formalism is introduced in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. Results for a particular regime and a summary of relevant literature is presented. We conclude that when s-polarized radiation acts alone on an abrupt diffusely bounded underdense plasma stimulated excitation of electron surface modes is suppressed. For p-polarized radiation the recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) ^dag is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case, spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields are reported. The regime is of interest because we have found that when the perturbation wavenumber parallel to the boundary is less than the pump frequency divided by twice

  19. Chemical and Physical Interactions of Martian Surface Material

    Science.gov (United States)

    Bishop, J. L.

    1999-09-01

    A model of alteration and maturation of the Martian surface material is described involving both chemical and physical interactions. Physical processes involve distribution and mixing of the fine-grained soil particles across the surface and into the atmosphere. Chemical processes include reaction of sulfate, salt and oxidizing components of the soil particles; these agents in the soils deposited on rocks will chew through the rock minerals forming coatings and will bind surface soils together to form duricrust deposits. Formation of crystalline iron oxide/oxyhydroxide minerals through hydrothermal processes and of poorly crystalline and amorphous phases through palagonitic processes both contribute to formation of the soil particles. Chemical and physical alteration of these soil minerals and phases contribute to producing the chemical, magnetic and spectroscopic character of the Martian soil as observed by Mars Pathfinder and Mars Global Surveyor. Minerals such as maghemite/magnetite and jarosite/alunite have been observed in terrestrial volcanic soils near steam vents and may be important components of the Martian surface material. The spectroscopic properties of several terrestrial volcanic soils containing these minerals have been analyzed and evaluated in terms of the spectroscopic character of the surface material on Mars.

  20. FUSION ENERGY SCIENCES WORKSHOP ON PLASMA MATERIALS INTERACTIONS: Report on Science Challenges and Research Opportunities in Plasma Materials Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Maingi, Rajesh [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Zinkle, Steven J. [University of Tennessee – Knoxville; Foster, Mark S. [U.S. Department of Energy

    2015-05-01

    The realization of controlled thermonuclear fusion as an energy source would transform society, providing a nearly limitless energy source with renewable fuel. Under the auspices of the U.S. Department of Energy, the Fusion Energy Sciences (FES) program management recently launched a series of technical workshops to “seek community engagement and input for future program planning activities” in the targeted areas of (1) Integrated Simulation for Magnetic Fusion Energy Sciences, (2) Control of Transients, (3) Plasma Science Frontiers, and (4) Plasma-Materials Interactions aka Plasma-Materials Interface (PMI). Over the past decade, a number of strategic planning activities1-6 have highlighted PMI and plasma facing components as a major knowledge gap, which should be a priority for fusion research towards ITER and future demonstration fusion energy systems. There is a strong international consensus that new PMI solutions are required in order for fusion to advance beyond ITER. The goal of the 2015 PMI community workshop was to review recent innovations and improvements in understanding the challenging PMI issues, identify high-priority scientific challenges in PMI, and to discuss potential options to address those challenges. The community response to the PMI research assessment was enthusiastic, with over 80 participants involved in the open workshop held at Princeton Plasma Physics Laboratory on May 4-7, 2015. The workshop provided a useful forum for the scientific community to review progress in scientific understanding achieved during the past decade, and to openly discuss high-priority unresolved research questions. One of the key outcomes of the workshop was a focused set of community-initiated Priority Research Directions (PRDs) for PMI. Five PRDs were identified, labeled A-E, which represent community consensus on the most urgent near-term PMI scientific issues. For each PRD, an assessment was made of the scientific challenges, as well as a set of actions

  1. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  2. Examples for application and diagnostics in plasma-powder interaction

    International Nuclear Information System (INIS)

    Kersten, H; Wiese, R; Thieme, G; Froehlich, M; Kopitov, A; Bojic, D; Scholze, F; Neumann, H; Quaas, M; Wulff, H; Hippler, R

    2003-01-01

    Low-pressure plasmas offer a unique possibility of confinement, control and fine tailoring of particle properties. Hence, dusty plasmas have grown into a vast field and new applications of plasma-processed dust particles are emerging. There is demand for particles with special properties and for particle-seeded composite materials. For example, the stability of luminophore particles could be improved by coating with protective Al 2 O 3 films which are deposited by a PECVD process using a metal-organic precursor gas. Alternatively, the interaction between plasma and injected micro-disperse powder particles can also be used as a diagnostic tool for the study of plasma surface processes. Two examples will be provided: the interaction of micro-sized (SiO 2 ) grains confined in a radiofrequency plasma with an external ion beam as well as the effect of a dc-magnetron discharge on confined particles during deposition have been investigated

  3. Nonlinear interaction of s-polarized surface waves at the boundary of a semibounded magnetized plasma

    International Nuclear Information System (INIS)

    Amein, W.H.; El-Siragy, N.M.; Nagy, O.Z.; Sayed, Y.A.

    1981-01-01

    Nonlinear interaction of S-Polarized surface waves at the boundary of a semibounded magnetized plasma is investigated. The expressions of the amplitudes of the generated waves are found. It is shown that, the generated waves with combined frequencies are equally radiated from the transient layer into plasma and vacuum

  4. Wall ablation of heated compound-materials into non-equilibrium discharge plasmas

    Science.gov (United States)

    Wang, Weizong; Kong, Linghan; Geng, Jinyue; Wei, Fuzhi; Xia, Guangqing

    2017-02-01

    The discharge properties of the plasma bulk flow near the surface of heated compound-materials strongly affects the kinetic layer parameters modeled and manifested in the Knudsen layer. This paper extends the widely used two-layer kinetic ablation model to the ablation controlled non-equilibrium discharge due to the fact that the local thermodynamic equilibrium (LTE) approximation is often violated as a result of the interaction between the plasma and solid walls. Modifications to the governing set of equations, to account for this effect, are derived and presented by assuming that the temperature of the electrons deviates from that of the heavy particles. The ablation characteristics of one typical material, polytetrafluoroethylene (PTFE) are calculated with this improved model. The internal degrees of freedom as well as the average particle mass and specific heat ratio of the polyatomic vapor, which strongly depends on the temperature, pressure and plasma non-equilibrium degree and plays a crucial role in the accurate determination of the ablation behavior by this model, are also taken into account. Our assessment showed the significance of including such modifications related to the non-equilibrium effect in the study of vaporization of heated compound materials in ablation controlled arcs. Additionally, a two-temperature magneto-hydrodynamic (MHD) model accounting for the thermal non-equilibrium occurring near the wall surface is developed and applied into an ablation-dominated discharge for an electro-thermal chemical launch device. Special attention is paid to the interaction between the non-equilibrium plasma and the solid propellant surface. Both the mass exchange process caused by the wall ablation and plasma species deposition as well as the associated momentum and energy exchange processes are taken into account. A detailed comparison of the results of the non-equilibrium model with those of an equilibrium model is presented. The non-equilibrium results

  5. Research on interactions of plasma streams with CFC targets in the Rod Plasma Injector facility

    Directory of Open Access Journals (Sweden)

    Zaloga Dobromil R.

    2016-06-01

    Full Text Available This paper present results of optical spectroscopy studies of interactions of intense plasma streams with a solid target made of carbon fibre composite (CFC. The experiments were carried out within the Rod Plasma Injector (RPI IBIS facility. The optical measurements were performed first for a freely propagating plasma stream in order to determine the optimal operational parameters of this facility. Optical emission spectra (OES were recorded for different operational modes of the RPI IBIS device, and spectral lines were identified originating from the working gas (deuterium as well as some lines from the electrode material (molybdenum. Subsequently, optical measurements of plasma interacting with the CFC target were performed. In the optical spectra recorded with the irradiated CFC samples, in addition to deuterium and molybdenum lines, many carbon lines, which enabled to estimate erosion of the investigated targets, were recorded. In order to study changes in the irradiated CFC samples, their surfaces were analysed (before and after several plasma discharges by means of scanning electron microscope (SEM and energy dispersive spectroscopy (EDS techniques. The analysis of the obtained SEM images showed that the plasma irradiation induces noticeable changes in the surface morphology, for example vaporisation of some carbon fibres and formation of microcracks. The obtained EDS images showed that upon the irradiated target surface, some impurity ions are also deposited, particularly molybdenum ions from the applied electrodes.

  6. Nonlinear radiation of waves at combination frequencies due to radiation-surface wave interaction in plasmas

    International Nuclear Information System (INIS)

    El Naggar, I.A.; Hussein, A.M.; Khalil, Sh.M.

    1992-09-01

    Electromagnetic waves radiated with combination frequencies from a semi-bounded plasma due to nonlinear interaction of radiation with surface wave (both of P-polarization) has been investigated. Waves are radiated both into vacuum and plasma are found to be P-polarized. We take into consideration the continuity at the plasma boundary of the tangential components of the electric field of the waves. The case of normal incidence of radiation and rarefield plasma layer is also studied. (author). 7 refs

  7. Critical plasma-wall interaction issues for plasma-facing materials and components in near-term fusion devices

    International Nuclear Information System (INIS)

    Federici, G.; Coad, J.P.; Haasz, A.A.; Janeschitz, G.; Noda, N.; Philipps, V.; Roth, J.; Skinner, C.H.; Tivey, R.; Wu, C.H.

    2000-01-01

    The increase in pulse duration and cumulative run-time, together with the increase of the plasma energy content, will represent the largest changes in operation conditions in future fusion devices such as the International Thermonuclear Experimental Reactor (ITER) compared to today's experimental facilities. These will give rise to important plasma-physics effects and plasma-material interactions (PMIs) which are only partially observed and accessible in present-day experiments and will open new design, operation and safety issues. For the first time in fusion research, erosion and its consequences over many pulses (e.g., co-deposition and dust) may determine the operational schedule of a fusion device. This paper identifies the most critical issues arising from PMIs which represent key elements in the selection of materials, the design, and the optimisation of plasma-facing components (PFCs) for the first-wall and divertor. Significant advances in the knowledge base have been made recently, as part of the R and D supporting the engineering design activities (EDA) of ITER, and some of the most relevant data are reviewed here together with areas where further R and D work is urgently needed

  8. MAGNUM-PSI, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Goedheer, W.J.; Rooij, G.J. van; Veremiyenko, V.; Ahmad, Z.; Barth, C.J.; Eck, H.J.N. van; Groot, B. de; Hellermann, M.G. von; Kruijtzer, G.L.; Wolff, J.C.; Brezinsek, S.; Philipps, V.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Dahiya, R.P.; Engeln, R.A.H.; Schram, D.C.; Fantz, U.; Kleyn, A.W.; Lopes Cardozo, N.J.

    2005-01-01

    The FOM-Institute for Plasma Physics - together with its TEC partners - is preparing the construction of Magnum-psi, a magnetized (3 T), steady-state, large area (100 cm 2 ), high-flux (up to 10 24 H + ions m -2 s -1 ) plasma generator. The research programme of Magnum-psi will address the questions for the ITER divertor: erosion, redeposition and hydrogen retention with carbon substrates, melting of metal surfaces, erosion and redeposition with mixed materials. In order to explore and develop the techniques to be applied in Magnum-psi, a pilot experiment (Pilot-psi), operating at a magnetic field up to 1.6 Tesla, has been constructed. Pilot-psi produces a hydrogen plasma beam with the required parameters (T e ≤ 1eV and flux ≥ 10 23 m -2 s -1 ) over an area of 1 cm 2 . In this paper the results of extensive diagnostic measurements on Pilot-psi (a.o., Thomson Scattering and high-resolution spectroscopy), combined with numerical studies of the source and the expansion of the plasma will be presented to demonstrate the feasibility of the large Magnum-psi plasma generator. (author)

  9. Liquid gallium jet-plasma interaction studies in ISTTOK tokamak

    International Nuclear Information System (INIS)

    Gomes, R.B.; Fernandes, H.; Silva, C.; Sarakovskis, A.; Pereira, T.; Figueiredo, J.; Carvalho, B.; Soares, A.; Duarte, P.; Varandas, C.; Lielausis, O.; Klyukin, A.; Platacis, E.; Tale, I.; Alekseyv, A.

    2009-01-01

    Liquid metals have been pointed out as a suitable solution to solve problems related to the use of solid walls submitted to high power loads allowing, simultaneously, an efficient heat exhaustion process from fusion devices. The most promising candidate materials are lithium and gallium. However, lithium has a short liquid state temperature range when compared with gallium. To explore further this property, ISTTOK tokamak is being used to test the interaction of a free flying liquid gallium jet with the plasma. ISTTOK has been successfully operated with this jet without noticeable discharge degradation and no severe effect on the main plasma parameters or a significant plasma contamination by liquid metal. Additionally the response of an infrared sensor, intended to measure the jet surface temperature increase during its interaction with the plasma, has been studied. The jet power extraction capability is extrapolated from the heat flux profiles measured in ISTTOK plasmas.

  10. Modification of the surface properties of glass-ceramic materials at low-pressure RF plasma stream

    Science.gov (United States)

    Tovstopyat, Alexander; Gafarov, Ildar; Galeev, Vadim; Azarova, Valentina; Golyaeva, Anastasia

    2018-05-01

    The surface roughness has a huge effect on the mechanical, optical, and electronic properties of materials. In modern optical systems, the specifications for the surface accuracy and smoothness of substrates are becoming even more stringent. Commercially available pre-polished glass-ceramic substrates were treated with the radio frequency (RF) inductively coupled (13.56 MHz) low-pressure plasma to clean the surface of the samples and decrease the roughness. Optical emission spectroscopy was used to investigate the plasma stream parameters and phase-shifted interferometry to investigate the surface of the specimen. In this work, the dependence of RF inductively coupled plasma on macroscopic parameters was investigated with the focus on improving the surfaces. The ion energy, sputtering rate, and homogeneity were investigated. The improvements of the glass-ceramic surfaces from 2.6 to 2.2 Å root mean square by removing the "waste" after the previous operations had been achieved.

  11. Application of powerful quasi-steady-state plasma accelerators for simulation of ITER transient heat loads on divertor surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tereshin, V I [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Bandura, A N [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Byrka, O V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Chebotarev, V V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Garkusha, I E [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Landman, I [Forschungszentrum Karlsruhe, IHM, Karlsruhe 76021 (Germany); Makhlaj, V A [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Neklyudov, I M [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Solyakov, D G [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Tsarenko, A V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine)

    2007-05-15

    The paper presents the investigations of high power plasma interaction with material surfaces under conditions simulating the ITER disruptions and type I ELMs. Different materials were exposed to plasma with repetitive pulses of 250 {mu}s duration, the ion energy of up to 0.6 keV, and the heat loads varying in the 0.5-25 MJ m{sup -2} range. The plasma energy transfer to the material surface versus impact load has been analysed. The fraction of plasma energy that is absorbed by the target surface is rapidly decreased with the achievement of the evaporation onset for exposed targets. The distributions of evaporated material in front of the target surface and the thickness of the shielding layer are found to be strongly dependent on the target atomic mass. The surface analysis of tungsten targets exposed to quasi-steady-state plasma accelerators plasma streams is presented together with measurements of the melting onset load and evaporation threshold, and also of erosion patterns with increasing heat load and the number of plasma pulses.

  12. Target Surface Area Effects on Hot Electron Dynamics from High Intensity Laser-Plasma Interactions

    Science.gov (United States)

    2016-08-19

    Science, University ofMichigan, AnnArbor,MI 48109-2099, USA E-mail: czulick@umich.edu Keywords: laser- plasma ,mass-limited, fast electrons , sheath...New J. Phys. 18 (2016) 063020 doi:10.1088/1367-2630/18/6/063020 PAPER Target surface area effects on hot electron dynamics from high intensity laser... plasma interactions CZulick, ARaymond,AMcKelvey, VChvykov, AMaksimchuk, AGRThomas, LWillingale, VYanovsky andKKrushelnick Center forUltrafast Optical

  13. Nanoscale control of energy and matter in plasma-surface interactions: towards energy-efficient nanotech

    Science.gov (United States)

    Ostrikov, Kostya

    2010-11-01

    This presentation focuses on the plasma issues related to the solution of the grand challenge of directing energy and matter at nanoscales. This ability is critical for the renewable energy and energy-efficient technologies for sustainable future development. It will be discussed how to use environmentally and human health benign non-equilibrium plasma-solid systems and control the elementary processes of plasma-surface interactions to direct the fluxes of energy and matter at multiple temporal and spatial scales. In turn, this makes it possible to achieve the deterministic synthesis of self- organised arrays of metastable nanostructures in the size range beyond the reach of the present-day nanofabrication. Such structures have tantalising prospects to enhance performance of nanomaterials in virtually any area of human activity yet remain almost inaccessible because the Nature's energy minimisation rules allow only a small number of stable equilibrium states. By using precisely controlled and kinetically fast nanoscale transfer of energy and matter under non-equilibrium conditions and harnessing numerous plasma- specific controls of species creation, delivery to the surface, nucleation and large-scale self-organisation of nuclei and nanostructures, the arrays of metastable nanostructures can be created, arranged, stabilised, and further processed to meet the specific requirements of the envisaged applications. These approaches will eventually lead to faster, unprecedentedly- clean, human-health-friendly, and energy-efficient nanoscale synthesis and processing technologies for the next-generation renewable energy and light sources, biomedical devices, information and communication systems, as well as advanced functional materials for applications ranging from basic food, water, health and clean environment needs to national security and space missions.

  14. Large-aperture Tunable Plasma Meta-material to Interact with Electromagnetic Waves

    Science.gov (United States)

    Corke, Thomas; Matlis, Eric

    2016-11-01

    The formation of spatially periodic arrangements of glow discharge plasma resulting from charge instabilities were investigated as a tuneable plasma meta-material. The plasma was formed between two 2-D parallel dielectric covered electrodes: one consisting of an Indium-Tin-Oxide coated glass sheet, and the other consisting of a glass-covered circular electrode. The dielectric covered electrodes were separated by a gap that formed a 2-D channel. The gap spacing was adjustable. The electrodes were powered by a variable amplitude AC generator. The parallel electrode arrangement was placed in a variable pressure vacuum chamber. Various combinations of gap spacing, pressure and voltage resulted in the formation of spatially periodic arrangements (lattice) of glow discharge plasma. The lattice spacing perfectly followed 2-D packing theory, and was fully adjustable through the three governing parameters. Lattice arrangements were designed to interact with electromagnetic (EM) waves in the frequency range between 10GHz-80GHz. Its feasibility was investigate through an EM wave simulation that we adapted to allow for plasma permittivity. The results showed a clear suppression of the EM wave amplitude through the plasma gratings. Supported by AFOSR.

  15. Atomic and plasma-material interaction data for fusion. Vol. 13

    International Nuclear Information System (INIS)

    Clark, R.E.H.

    2007-01-01

    of the A+M Subcommittee of the International Fusion Research Council in May 2000 to initiate a Coordinated Research Project (CRP) to address data needs in this area. The Atomic and Molecular Data of the International Atomic Energy Agency initiated the CRP on 'Atomic and Molecular Data for Fusion Plasma Diagnostics' in 2001. Results from this CRP will be incorporated into the A+M Data Unit databases and will be available through the worldwide-web for global access by researchers. These data will be of interest not only for direct fusion applications, but in other research plasma studies used in accelerators, environmental research and other fields. The work of the CRP was completed in 2005. During the course of the Coordinated Research Project, new data were generated for a variety of processes impacting on a number of diagnostic procedures for fusion plasmas: spectral observations near the strike zone and divertor (where alpha particles interact with molecules) require a variety of cross-section data that have been measured and calculated during the current work programme; helium beam diagnostics from fast to thermal require cross-section data for both electron and proton impact, that have been generated during the current work programme; data have been produced for use in the determination of species from light elements such as helium, boron and hydrocarbons, as well as heavy elements such as tungsten; large amounts of data on spectral properties were generated to assist in the spectral analysis of plasma emissions; X-ray emissions from impact on surfaces have been studied and quantified; data have been generated for use in hydrogen charge exchange spectroscopy for the determination of the flow and temperature of impurities in the divertor region. The CRP on 'Atomic and molecular data for plasma diagnosis' has been very successful in meeting all of the objectives established at the beginning of the project. The present volume of Atomic and Plasma-Material

  16. The Effect of Plasma Surface Treatment on a Porous Green Ceramic Film with Polymeric Binder Materials

    International Nuclear Information System (INIS)

    Yun Jeong Woo

    2013-01-01

    To reduce time and energy during thermal binder removal in the ceramic process, plasma surface treatment was applied before the lamination process. The adhesion strength in the lamination films was enhanced by oxidative plasma treatment of the porous green ceramic film with polymeric binding materials. The oxygen plasma characteristics were investigated through experimental parameters and weight loss analysis. The experimental results revealed the need for parameter analysis, including gas material, process time, flow rate, and discharge power, and supported a mechanism consisting of competing ablation and deposition processes. The weight loss analysis was conducted for cyclic plasma treatment rather than continuous plasma treatment for the purpose of improving the film's permeability by suppressing deposition of the ablated species. The cyclic plasma treatment improved the permeability compared to the continuous plasma treatment.

  17. Report of the study meeting on the interaction between plasma and the first wall of a fusion reactor

    International Nuclear Information System (INIS)

    Miyahara, Akira; Akaishi, Kenya; Kawamura, Takaichi; Kabetani, Zenzaburo; Sagara, Akio.

    1978-12-01

    The study meeting on the interaction between plasma and the first wall of a fusion reactor was held from July 24 to July 27, 1978. At this meeting, discussions were made on the interaction between plasma and wall and the effect of impurities. Reports on the ISS observation concerning the Mo surface as a limiter, on the measurement of sputter rate by a microbalance, on the surface roughness of the materials for the first wall at the atomic order, on the selective sputtering of binary alloys, and on the physical and chemical sputtering on the material surface of C and SiC were also presented. The research projects of the Institute of Plasma Physics and Hokkaido University were introduced. Collaboration of two groups was considered. (Kato, T.)

  18. Sum frequency generation for studying plasma-wall interactions

    International Nuclear Information System (INIS)

    Roke, Sylvie

    2010-01-01

    Interaction of a plasma with a surface results in chemical and physical restructuring of the surface as well as the plasma in the vicinity of the surface. Studying such a reorganization of the atoms and molecules in the surface layer requires optical tools that can penetrate the plasma environment. At the same time, surface specificity is required. Sum Frequency Generation (SFG) is an optical method that fulfills these requirements. SFG has been developed into a surface specific probe during the eighties and nineties. Nowadays SFG is routinely applied in the research of complex interfaces. In such experiments, liquid/gas, solid/gas, solid/liquid, or liquid/liquid interfaces are probed, and the chemical surface composition, orientational distribution, order and chirality can be retrieved. An application to investigate plasma-wall interactions is feasible too.

  19. Nonlinear theory of surface-wave--particle interactions in a cylindrical plasma

    International Nuclear Information System (INIS)

    Dengra, A.; Palop, J.I.F.

    1994-01-01

    This work is an application of the specular reflection hypothesis to the study of the nonlinear surface-wave--particle interactions in a cylindrical plasma. The model is based on nonlinear resolution of the Vlasov equation by the method of characteristics. The expression obtained for the rate of increase of kinetic energy per electron has permitted us to investigate the temporal behavior of nonlinear collisionless damping for different situations as a function of the critical parameters

  20. Chlorine-rich plasma polymer coating for the prevention of attachment of pathogenic fungal cells onto materials surfaces

    International Nuclear Information System (INIS)

    Lamont-Friedrich, Stephanie J; Michl, Thomas D; Giles, Carla; Griesser, Hans J; Coad, Bryan R

    2016-01-01

    The attachment of pathogenic fungal cells onto materials surfaces, which is often followed by biofilm formation, causes adverse consequences in a wide range of areas. Here we have investigated the ability of thin film coatings from chlorinated molecules to deter fungal colonization of solid materials by contact killing of fungal cells reaching the surface of the coating. Coatings were deposited onto various substrate materials via plasma polymerization, which is a substrate-independent process widely used for industrial coating applications, using 1,1,2-trichloroethane as the process vapour. XPS surface analysis showed that the coatings were characterized by a highly chlorinated hydrocarbon polymer nature, with only a very small amount of oxygen incorporated. The activity of these coatings against human fungal pathogens was quantified using a recently developed, modified yeast assay and excellent antifungal activity was observed against Candida albicans and Candida glabrata . Plasma polymer surface coatings derived from chlorinated hydrocarbon molecules may therefore offer a promising solution to preventing yeast and mould biofilm formation on materials surfaces, for applications such as air conditioners, biomedical devices, food processing equipment, and others. (paper)

  1. The role of energetic ions from plasma in the creation of nanostructured materials and stable polymer surface treatments

    International Nuclear Information System (INIS)

    Bilek, M.M.M.; Newton-McGee, K.; McKenzie, D.R.; McCulloch, D.G.

    2006-01-01

    Plasma processes for the synthesis of new materials as thin films have enabled the production of a wide variety of new materials. These include meta-stable phases, which are not readily found in nature, and more recently, materials with structure on the nanoscale. Study of plasma synthesis processes at the fundamental level has revealed that ion energy, depositing flux and growth surface temperature are the critical parameters affecting the microstructure and the properties of the thin film materials formed. In this paper, we focus on the role of ion flux and impact energy in the creation of thin films with nanoscale structure in the form of multilayers. We describe three synthesis strategies, based on the extraction of ions from plasma sources and involving modulation of ion flux and ion energy. The microstructure, intrinsic stress and physical properties of the multilayered samples synthesized are studied and related back to the conditions at the growth surface during deposition. When energetic ions of a non-condensing species are used, it is possible to place active groups on the surfaces of materials such as polymers. These active groups can then be used as bonding sites in subsequent chemical attachment of proteins or other macromolecules. If the energy of the non-condensing ions is increased to a few keV then modified layers buried under the surface can be produced. Here we describe a method by which the aging effect, which is often observed in plasma surface modifications on polymers, can be reduced and even eliminated using high energy ion bombardment

  2. Report on the joint meeting of the Division of Development and Technology Plasma/Wall Interaction and High Heat Flux Materials and Components Task Groups

    International Nuclear Information System (INIS)

    Wilson, K.L.

    1985-10-01

    This report of the Joint Meeting of the Division of Development and Technology Plasma/Wall Interaction and High Heat Flux Materials and Components Task Groups contains contributing papers in the following areas: Plasma/Materials Interaction Program and Technical Assessment, High Heat Flux Materials and Components Program and Technical Assessment, Pumped Limiters, Ignition Devices, Program Planning Activities, Compact High Power Density Reactor Requirements, Steady State Tokamaks, and Tritium Plasma Experiments. All these areas involve the consideration of High Heat Flux on Materials and the Interaction of the Plasma with the First Wall. Many of the Test Facilities are described as well

  3. Plasma–Surface Interactions Under High Heat and Particle Fluxes

    Directory of Open Access Journals (Sweden)

    Gregory De Temmerman

    2013-01-01

    Full Text Available The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface interactions studies under those very harsh conditions. While the ion energies on the divertor surfaces of a fusion device are comparable to those used in various plasma-assited deposition and etching techniques, the ion (and energy fluxes are up to four orders of magnitude higher. This large upscale in particle flux maintains the surface under highly non-equilibrium conditions and bring new effects to light, some of which will be described in this paper.

  4. X-Ray photoelectron spectroscopy analysis of plasma-polymer interactions for development of low-damage plasma processing of soft materials

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    Plasma-polymer interactions have been investigated using atomic force microscopy (AFM) and x-ray photoelectron spectroscopy (XPS) of polyethyleneterephthalate (PET) films, which have been exposed to argon plasmas driven by low-inductance antenna modules as a parameter of ion energy. The AFM images indicated that the argon plasma exposure exhibited a significant change in surface roughness. The XPS analyses suggested that the degradation of chemical bonding structure and/or bond scission of PET could be effectively suppressed in the plasma exposures with ion energies below 6 eV. However, significant degradations of O = C-O bond, C-O bond and phenyl group were observed with increasing ion energy above 6 eV.

  5. Contributions to the 7th International Conference on plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    1986-01-01

    The report contains three papers presented in the 7th International Conference on plasma surface interactions in controlled fusion devices held in Princeton (USA) 5-9 May 1986, all referred to the FT Tokamak

  6. Magnetic fusion energy plasma interactive and high heat flux components. Volume III. Strategy for international collaborations in the areas of plasma materials interactions and high heat flux materials and components development

    International Nuclear Information System (INIS)

    Gauster, W.B.; Bauer, W.; Roberto, J.B.; Post, D.E.

    1984-01-01

    The purpose of this summary is to assess opportunities for such collaborations in the specific areas of Plasma Materials Interaction and High Heat Flux Materials and Components Development, and to aid in developing a strategy to take advantage of them. After some general discussion of international collaborations, we summarize key technical issues and the US programs to address them. Then follows a summary of present collaborations and potential opportunities in foreign laboratories

  7. Interactions of Deuterium Plasma with Lithiated and Boronized Surfaces in NSTX-U

    Science.gov (United States)

    Krstic, Predrag

    2015-09-01

    The main research goal of the presented research has been to understand the changes in surface composition and chemistry at the nanoscopic temporal and spatial scales for long pulse Plasma Facing Components (PFCs) and link these to the overall machine performance of the National Spherical Torus Experiment Upgrade (NSTX-U). A study is presented of the lithium surface science, with atomic spatial and temporal resolutions. The dynamic surface responds and evolves in a mixed material environments (D, Li, C, B, O, Mo, W) with impingement of plasma particles in the energy range below 100 eV. The results, obtained by quantum-classical molecular dynamics, include microstructure changes, erosion, surface chemistry, deuterium implantation and permeation. Main objectives of the research are i) a comparison of Li and B deposition on carbon, ii) the role of oxygen and other impurities e.g. boron, carbon in the lithium performance, and iii) how this performance will change when lithium is applied to a high-Z refractory metal substrate (Mo, W). In addition to predicting and understanding the phenomenology of the processes, we will show plasma induced erosion of PFCs, including chemical and physical sputtering yields at various temperatures (300-700 K) as well as deuterium uptake/recycling. This work is supported by the U.S. Department of Energy Office of Science, Office of Fusion Energy Science, Award Number DE-SC0013752.

  8. Ion-materials interactions and their application

    International Nuclear Information System (INIS)

    Whitlow, H.J.

    1998-01-01

    The interaction of energetic ions and other charged particles with solid matter leads to a wealth of physical processes. This thesis comprises a collection of papers and an introductory commentary, which explore some aspects of how these interactions may be used for: (i) Characterisation of thin surface layers of material, (ii) characterisation of energetic charged particles, and (iii) modification of materials by ion bombardment. In (i) Elastic Recoil Detection using a detector system for measurement of Time of Flight and kinetic energy of recoiling target atoms has been developed as a quantitative method for elemental depth profiling of thin (0.5-1 μm) surface layers. This method has been applied to the study of reactions of metal/III-V structures, which are of importance for the semiconductor industry. (ii) MeV-ion - materials interactions have been used as the basis for developing Si p-i-n detectors for the CHICSi programme which will undertake experimental studies of heavy ion collisions at intermediate energies. This involved development and testing of extremely thin (10-12 μm) Si ΔE detectors for characterising light- and intermediate mass charged particles as well as calibration of Si p-i-n detectors and their susceptibility to radiation damage. (iii) Nuclear Reaction Analysis (NRA) with resonant nuclear reactions has been used to study modification of material with ion beams. In the first study, the accumulation of fluorine in BF 2 + ion implanted WSi 2 solid diffusion sources was investigated. The second study investigated if there was a correlation between photoluminescence and segregation of hydrogen to buried heterojunctions in plasma-etched III-V quantum-well structures. The ion bombardment in this case was during etching in an Ar+CH 4 plasma using an Electron Cyclotron Resonance (ECR) source. (author)

  9. Lifetime evaluation of plasma-facing materials during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1995-09-01

    Erosion losses of plasma-facing materials in a tokamak reactor during major disruptions, giant ELMS, and large power excursions are serious concerns that influence component survivability and overall lifetime. Two different mechanisms lead to material erosion during these events: surface vaporization and loss of the melt layer. Hydrodynamics and radiation transport in the rapidly developed vapor-cloud region above the exposed area are found to control and determine the net erosion thickness from surface vaporization. A comprehensive self-consistent kinetic model has been developed in which the time-dependent optical properties and the radiation field of the vapor cloud are calculated in order to correctly estimate the radiation flux at the divertor surface. The developed melt layer of metallic divertor materials will, however, be free to move and can be eroded away due to various forces. , Physical mechanisms that affect surface vaporization and cause melt layer erosion are integrated in a comprehensive model. It is found that for metallic components such as beryllium and tungsten, lifetime due to these abnormal events will be controlled and dominated by the evolution and hydrodynamics of the melt layer during the disruption. The dependence of divertor plate lifetime on various aspects of plasma/material interaction physics is discussed

  10. Dust generation at interaction of plasma jet with surfaces

    Science.gov (United States)

    Ticos, Catalin; Toader, Dorina; Banu, Nicoleta; Scurtu, Adrian; Oane, Mihai

    2013-10-01

    Coatings of W and C with widths of a few microns will be exposed to plasma jet for studying the erosion of the surface and detachment of micron size dust particles. A coaxial plasma gun has been built inside a vacuum chamber for producing supersonic plasma jets. Its design is based on a 50 kJ coaxial plasma gun which has been successfully used for accelerating hypervelocity dust. Initial shots were carried out for a capacitor bank with C = 12 μF and charged up to 2 kV. Currents of tens of amps were measured with a Rogowsky coil and plasma flow speeds of 4 km/s were inferred from high-speed images of jet propagation. An upgrade consisting in adding capacitors in parallel will be performed in order to increase the energy up to 2 kJ. A coil will be installed at the gun muzzle to compress the plasma flow and increase the energy density of the jet on the sample surface. A CCD camera with a maximum recording speed of 100 k fps and a maximum resolution of 1024 × 1024 pixels was set for image acquisition of the plasma and dust. A laser system used to illuminate the ejected dust from the surface includes a laser diode emitting at 650 nm with a beam power of 25 mW. The authors acknowledge support from EURATOM WP13-IPH-A03-P2-02-BS22.

  11. Interaction of multiple plasma plumes in an atmospheric pressure plasma jet array

    International Nuclear Information System (INIS)

    Ghasemi, M; Olszewski, P; Bradley, J W; Walsh, J L

    2013-01-01

    Plasma jet arrays are considered a viable means to enhance the scale of a downstream surface treatment beyond that possible using a single plasma jet. Of paramount importance in many processing applications is the uniformity of the plasma exposure on the substrate, which can be compromised when multiple plasma jets are arranged in close proximity due to their interaction. This contribution explores a dielectric barrier plasma jet array consisting of multiple individually ballasted jets. It is shown that capacitive ballasting is a promising technique to allow simultaneous operation of the plasma plumes without the losses associated with resistive ballasting. The interaction between adjacent plasma plumes and the background gas is investigated with Schlieren imaging; it is shown that the strong repulsive force between each plasma plume causes a divergence in propagation trajectory and a reduction in the laminar flow length with significant ramifications for any downstream surface treatment.

  12. Multi-purpose mid-plane manipulator for plasma surface interaction research in KSTAR

    Energy Technology Data Exchange (ETDEWEB)

    Son, S.H., E-mail: ssh0609@nfri.re.kr [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Hong, S.-H. [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Department of Electrical Engineering, HanYang University, Seoul 133-791 (Korea, Republic of); Department of Accelerator and Nuclear Fusion Physics and Engineering, Korea University of Science and Technology, Daejeon 305-333 (Korea, Republic of); Kim, Junghee [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Kim, Jun Young [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Department of Accelerator and Nuclear Fusion Physics and Engineering, Korea University of Science and Technology, Daejeon 305-333 (Korea, Republic of); Kim, H.S. [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Ding, F.; Luo, G.-N. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 1126 (China); Németh, J.; Zoletnik, S. [Institute for Particle and Nuclear Physics (RMI), Wigner RCP, Hungarian Academy of Sciences, Budapest (Hungary); Fenyvesi, A. [Institute for Nuclear Physis (MTA Atomki), Hungarian Academy of Sciences, Devrecent (Hungary); Pitts, R. [ITER Organization, Route de Vinon-surVerdon, 13115 Saint Paul-lez-Durance (France)

    2016-11-01

    Highlights: • A multi-purpose mid-plane manipulator system has been developed and installed at the mid-plane of the KSTAR vacuum vessel. • It presents mechanial structure and function for multi-purpose manipulator system. • The changeable head part allows change the samples and probe during inter-shot/day without breaking vacuum system in KSTAR which gives flexibility for various PSI studies in a campaign. - Abstract: A multi-purpose mid-plane manipulator system has been developed and installed at the mid-plane of the KSTAR vacuum vessel. The system serves as user facility which allows to cover various topics of researches in plasma-surface interaction (PSI) including material sample test and PSI diagnostic. The multi-purpose mid-plane manipulator system has a 4 m long cantilever structure with a 3 m long moving shaft. The system is equipped with a differential pumping system for the independent installation and removal of samples and diagnostic without vacuum break of KSTAR. The sample mounting head at the end of the shaft can reach the position of the outer boundary of ∼10 cm away from the last closed flux surface (LCFS). In this paper, selected PSI related experiments by using the manipulator are introduced.

  13. Multi-purpose mid-plane manipulator for plasma surface interaction research in KSTAR

    International Nuclear Information System (INIS)

    Son, S.H.; Hong, S.-H.; Kim, Junghee; Kim, Jun Young; Kim, H.S.; Ding, F.; Luo, G.-N.; Németh, J.; Zoletnik, S.; Fenyvesi, A.; Pitts, R.

    2016-01-01

    Highlights: • A multi-purpose mid-plane manipulator system has been developed and installed at the mid-plane of the KSTAR vacuum vessel. • It presents mechanial structure and function for multi-purpose manipulator system. • The changeable head part allows change the samples and probe during inter-shot/day without breaking vacuum system in KSTAR which gives flexibility for various PSI studies in a campaign. - Abstract: A multi-purpose mid-plane manipulator system has been developed and installed at the mid-plane of the KSTAR vacuum vessel. The system serves as user facility which allows to cover various topics of researches in plasma-surface interaction (PSI) including material sample test and PSI diagnostic. The multi-purpose mid-plane manipulator system has a 4 m long cantilever structure with a 3 m long moving shaft. The system is equipped with a differential pumping system for the independent installation and removal of samples and diagnostic without vacuum break of KSTAR. The sample mounting head at the end of the shaft can reach the position of the outer boundary of ∼10 cm away from the last closed flux surface (LCFS). In this paper, selected PSI related experiments by using the manipulator are introduced.

  14. Modification of structural materials by pulsed plasma flows

    International Nuclear Information System (INIS)

    Bandura, A.N.; Garkusha, I.E.; Byrka, O.V.; Makhlaj, V.A.

    2011-01-01

    Features of surface modification and materials alloying from gas and metallic plasma as a result of the plasma ions mixing with the steel substrate in liquid phase are investigated in this paper.The experiments have been carried out with pulsed plasma gun, which generates plasma streams with ion energy up to 2 keV, plasma density 2x10 14 cm -3 , average specific power of 10 MW/cm 2 and plasma energy density in the range of (5-40) J/cm 2 . The nitrogen, helium, other gases and their mixtures can be used as working gases. The regime of plasma treatment was chosen with variation of both the discharge voltage and the distance of the material surface from the gun output. Modification of thin (0.5-2 µm) PVD coatings of MoN, C+W, TiN, TiC, Cr, Cr+CrN and others by the pulsed plasma streams are analyzed also. It is shown that pulsed plasma treatment results in essential improvement of physical and mechanical properties of exposed materials. For example, microhardness of samples with Cr coating, after plasma treatment, increased in 2,5 times. Mechanisms of surface modification of a different alloys and coating irradiated with pulsed plasma streams of different ions are discussed. (authors)

  15. Impurity Control Test Facility (ICTF) for the study of fusion reactor plasma/edge materials interactions

    International Nuclear Information System (INIS)

    Brooks, J.N.; Mattas, R.F.; Ehst, D.A.; Boley, C.D.; Hershkowitz, N.

    1984-05-01

    A test facility for investigating many of the impurity control issues associated with the interactions of materials with the plasma edge is outlined. Analysis indicates that the plasma edge conditions expected in TFCX, INTOR, etc. can be readily produced at the end cells of an rf stabilized mirror, similar in some respects to the Phaedrus device at the University of Wisconsin. A steady-state, Impurity Control Test Facility (ICTF) based on such a mirror device is expected to produce a plasma with typical parameters of n/sub e/ approx. 3 x 10 18 m -3 , T/sub e/ = 50 eV, and T/sub i/ = 100 eV at each end cell. A heat load of approx. 2 MW/m 2 over areas of approx. 1600 cm 2 could be produced at each end with 800 kW of ICRH power. These conditions would provide a unique capability for examining issues such as erosion/redeposition behavior, properties of redeposited materials, high recycling regimes, plasma edge operating limits for high-Z materials, and particle pumping efficiencies for limiter and divertor designs

  16. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  17. Cathode material and pulsed plasma treatment influence on the microstructure and microhardness of high-chromium cast iron surface

    Directory of Open Access Journals (Sweden)

    Юлія Геннадіївна Чабак

    2016-11-01

    Full Text Available The article presents an analysis of the cathode material and the pulse plasma treatment mode influence on the surface microstructure and microhardness of high chrome (15% Cr cast iron. The methods of metallographic analysis and microhardness measurements were used. It has been shown that pulsed plasma treatment at 4 kV voltage with the use of the electro-axial thermal accelerator results in surface modification with high microhardness 950-1050 HV50, and in the formation of the coating due to the transfer of the electrodes material. The specific features of using different cathode materials have been systematized. It has been found that graphite electrodes are not recommended to be used due to their low strength and fracture under plasma pulses. In case of using tungsten cathode a coating of small thickness (20-30 microns and having cracks has been formed on the specimen surface. The most expedient is to apply the electrodes with low melting point (such as killed St.3, which provides a high-quality state of treated surface and formation the protective crack-free coating of 80-100 microns thick. It has been found that as a result of the plasma pulsed treatment the enrichment of coating with carbon is likely to occur that results in microhardness increase. The prospects of this technology as well as its shortcomings have been described

  18. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Effect of magnetic field on nonlinear interactions of electromagnetic and surface waves in a plasma layer

    International Nuclear Information System (INIS)

    Khalil, Sh.M.; El-Sherif, N.; El-Siragy, N.M.; Tanta Univ.; El-Naggar, I.A.; Alexandria Univ.

    1985-01-01

    Investigation is made for nonlinear interaction between incident radiation and a surface wave in a magnetized plasma layer. Both interacting waves are of P polarization. The generated currents and fields at combination frequencies are obtained analytically. Unlike the S-polarized interacting waves, the magnetic field affects the fundamental waves and leads to an amplification of generated waves when their frequencies approach the cyclotron frequency. (author)

  20. Metal surface nitriding by laser induced plasma

    Science.gov (United States)

    Thomann, A. L.; Boulmer-Leborgne, C.; Andreazza-Vignolle, C.; Andreazza, P.; Hermann, J.; Blondiaux, G.

    1996-10-01

    We study a nitriding technique of metals by means of laser induced plasma. The synthesized layers are composed of a nitrogen concentration gradient over several μm depth, and are expected to be useful for tribological applications with no adhesion problem. The nitriding method is tested on the synthesis of titanium nitride which is a well-known compound, obtained at present by many deposition and diffusion techniques. In the method of interest, a laser beam is focused on a titanium target in a nitrogen atmosphere, leading to the creation of a plasma over the metal surface. In order to understand the layer formation, it is necessary to characterize the plasma as well as the surface that it has been in contact with. Progressive nitrogen incorporation in the titanium lattice and TiN synthesis are studied by characterizing samples prepared with increasing laser shot number (100-4000). The role of the laser wavelength is also inspected by comparing layers obtained with two kinds of pulsed lasers: a transversal-excited-atmospheric-pressure-CO2 laser (λ=10.6 μm) and a XeCl excimer laser (λ=308 nm). Simulations of the target temperature rise under laser irradiation are performed, which evidence differences in the initial laser/material interaction (material heated thickness, heating time duration, etc.) depending on the laser features (wavelength and pulse time duration). Results from plasma characterization also point out that the plasma composition and propagation mode depend on the laser wavelength. Correlation of these results with those obtained from layer analyses shows at first the important role played by the plasma in the nitrogen incorporation. Its presence is necessary and allows N2 dissociation and a better energy coupling with the target. Second, it appears that the nitrogen diffusion governs the nitriding process. The study of the metal nitriding efficiency, depending on the laser used, allows us to explain the differences observed in the layer features

  1. Report of the 1991 workshop on particle-material interactions for fusion research

    International Nuclear Information System (INIS)

    1992-11-01

    The Annual Workshop on Particle-Material Interactions in the Working Group of the Research Committee on A and M Data was held at the head-quarters of JAERI, Tokyo, on December 12-13, 1991. The purpose of the Workshop was to obtain future prospects for the activities of the Working Group, by discussing current states and problems in the research on particle-material interactions relevant to the thermocontrolled fusion. The present report contains 16 papers presented at the Workshop, which are mainly concerned with plasma-facing materials in ITER, radiation damage in carbon materials, trapping, emission and permeation of hydrogen in metals, and heavy ion-solid surface interactions. (author)

  2. Analysis the complex interaction among flexible nanoparticles and materials surface in the mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Han Xuesong, E-mail: hanxuesongphd@yahoo.com.cn [School of Mechanical Engineering, Tianjin University, 300072 (China); Gan, Yong X. [Department of Mechanical, Industrial and Manufacturing Engineering, University of Toledo, OH 43606 (United States)

    2011-02-01

    Mechanical polishing (MP), being the important technique of realizing the surface planarization, has already been widely applied in the area of microelectronic manufacturing and computer manufacturing technology. The surface planarization in the MP is mainly realized by mechanical process which depended on the microdynamic behavior of nanoparticle. The complex multibody interaction among nanoparticles and materials surface is different from interaction in the macroscopic multibody system which makes the traditional classical materials machining theory cannot accurately uncover the mystery of the surface generation in the MP. Large-scale classical molecular dynamic (MD) simulation of interaction among nanoparticles and solid surface has been carried out to investigate the physical essence of surface planarization. The particles with small impact angle can generate more uniform global planarization surface but the materials removal rate is lower. The shear interaction between particle and substrate may induce large friction torque and lead to the rotation of particle. The translation plus rotation makes the nanoparticle behaved like micro-milling tool. The results show that the nanoparticles may aggregrate together and form larger cluster thus deteriorate surface the quality. This MD simulation results illuminate that the f inal planarized surface can only be acquired by synergic behavior of all particles using various means such as cutting, impacting, scratching, indentation and so on.

  3. 5. Laser plasma interaction

    International Nuclear Information System (INIS)

    Labaune, C.; Fuchs, J.; Bandulet, H.

    2002-01-01

    Imprint elimination, smoothing and preheat control are considerable problems in inertial fusion and their possible solution can be achieved by using low-density porous materials as a buffer in target design. The articles gathered in this document present various aspects of the laser-plasma interaction, among which we have noticed: -) numerical algorithmic improvements of the Vlasov solver toward the simulation of the laser-plasma interaction are proposed, -) the dependence of radiation temperatures and X-ray conversion efficiencies of hohlraum on the target structures and laser irradiation conditions are investigated, -) a study of laser interaction with ultra low-density (0,5 - 20 mg/cm 3 ) porous media analyzing backscattered light at incident laser frequency ω 0 and its harmonics 3*ω 0 /2 and 2*ω 0 is presented, -) investigations of laser interaction with solid targets and crater formation are carried out with the objective to determine the ablation loading efficiency, -) a self organization in an intense laser-driven plasma and the measure of the relative degree of order of the states in an open system based on the S-theorem are investigated, and -) the existence and stability of electromagnetic solitons generated in a relativistic interaction of an intense laser light with uniform under-dense cold plasma are studied

  4. Plasma interaction with emmissive surface with Debye-scale grooves

    Science.gov (United States)

    Schweigert, Irina; Burton, Thomas S.; Thompson, Gregory B.; Langendorf, Samuel; Walker, Mitchell L. R.; Keidar, Michael

    2018-04-01

    The sheath development over emissive grooved surface in dc discharge plasma controlled by an electron beam is studied in the experiment and in 2D kinetic simulations. Grooved hexagonal boron nitride surfaces with different aspect ratios, designed to mimic the erosion channels, were exposed to an argon plasma. The characteristic size of the grooves (1 mm and 5 mm) is about of the Debye length. The secondary electrons emission from the grooved surfaces is provided by the bombardment with energetic electrons originated from the heated powered cathode. The transition between a developed and a collapsed sheaths near emissive surface takes place with an increase of the beam electron energy. For grooved emissive surfaces, the sheath transition happens at essentially higher voltage compared to the planar one. This phenomenon is analyzed in the terms of the electron energy distribution function.

  5. Critical plasma-materials issues for fusion reactor designs

    International Nuclear Information System (INIS)

    Wilson, K.L.; Bauer, W.

    1983-01-01

    Plasma-materials interactions are a dominant driving force in the design of fusion power reactors. This paper presents a summary of plasma-materials interactions research. Emphasis is placed on critical aspects related to reactor design. Particular issues to be addressed are plasma edge characterization, hydrogen recycle, impurity introduction, and coating development. Typical wall fluxes in operating magnetically confined devices are summarized. Recent calculations of tritium inventory and first wall permeation, based on laboratory measurements of hydrogen recycling, are given for various reactor operating scenarios. Impurity introduction/wall erosion mechanisms considered include sputtering, chemical erosion, and evaporation (melting). Finally, the advanced material development for in-vessel components is discussed. (author)

  6. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  7. Experimental studies of lithium-based surface chemistry for fusion plasma-facing materials applications

    International Nuclear Information System (INIS)

    Allain, J.P.; Rokusek, D.L.; Harilal, S.S.; Nieto-Perez, M.; Skinner, C.H.; Kugel, H.W.; Heim, B.; Kaita, R.; Majeski, R.

    2009-01-01

    Lithium has enhanced the operational performance of fusion devices such as: TFTR, CDX-U, FTU, T-11 M, and NSTX. Lithium in the solid and liquid state has been studied extensively in laboratory experiments including its erosion and hydrogen-retaining properties. Reductions in physical sputtering up to 40-60% have been measured for deuterated solid and liquid lithium surfaces. Computational modeling indicates that up to a 1:1 deuterium volumetric retention in lithium is possible. This paper presents the results of systematic in situ laboratory experimental studies on the surface chemistry evolution of ATJ graphite under lithium deposition. Results are compared to post-mortem analysis of similar lithium surface coatings on graphite exposed to deuterium discharge plasmas in NSTX. Lithium coatings on plasma-facing components in NSTX have shown substantial reduction of hydrogenic recycling. Questions remain on the role lithium surface chemistry on a graphite substrate has on particle sputtering (physical and chemical) as well as hydrogen isotope recycling. This is particularly due to the lack of in situ measurements of plasma-surface interactions in tokamaks such as NSTX. Results suggest that the lithium bonding state on ATJ graphite is lithium peroxide and with sufficient exposure to ambient air conditions, lithium carbonate is generated. Correlation between both results is used to assess the role of lithium chemistry on the state of lithium bonding and implications on hydrogen pumping and lithium sputtering. In addition, reduction of factors between 10 and 30 reduction in physical sputtering from lithiated graphite compared to pure lithium or carbon is also measured.

  8. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  9. Opportunities of influence of plasma streams formed in IKA with continuos nor king gas filling en the surface of materials

    International Nuclear Information System (INIS)

    Useinov, B.M.; Useinova, A.M.; Amrenova, A.U.; Pusankov, S.A.; Sartin, S.A.; Virko, P.G.

    2001-01-01

    The results of the investigation of influence of plasma stream formed in IKA with continuous working gas filling on the surface of stainless steel 12X18H10T and aluminum are given in this article. It is shown here that the effect of influence of plasma stream on the surface of materials depends on the way of working gas filling. There is the comparison of influence of plasma stream formed in plasma accelerator with impulse and continuous working gas filling

  10. Simulation of simultaneous erosion-redeposition processes on material surfaces used in nuclear fusion reactors

    International Nuclear Information System (INIS)

    Fuentes, Nestor O.; Gavarini, Hebe O.

    1999-01-01

    Simultaneous erosion and redeposition of sputtered plasma-facing material has been studied using a 3-D computational model. The equations that govern the processes are reduced to a set of nonlinear particle-diffusion equations in which different particle interactions may be taken into account in the corresponding source terms. The effects of a magnetic field with arbitrary direction and of electrostatic potential are also included. The model is based on a combined diffusion limited aggregation and deaggregation code. Hydrogen and deuterium plasmas have been used to simulate erosion-redeposition of low-Z materials such as C, Be and B in the range of sample temperatures where chemical erosion is suppressed and the net erosion yield is due to physical sputtering only. The dependence of net erosion yield on surface temperature, plasma-particles densities and temperatures, and magnetic field intensity and direction is investigated. Computational results emphasize the importance of a magnetic field with appropriate direction and intensity in order to reduce the sputtering effects on surfaces exposed to plasma interactions. (author)

  11. Surfaces in the interaction of intense long wavelength laser light with plasmas

    International Nuclear Information System (INIS)

    Jones, R.D.

    1985-01-01

    The role of surface in the interaction of intense CO 2 laser light with plasmas is reviewed. The collisionless absorption of long wavelength light is discussed. Specific comments on the role of ponderomotive forces and profile steepening on resonant absorption are made. It is shown that at intensities above 10 15 W/cm 2 the absorption is determined by ion acoustic-like surface modes. It is demonstrated experimentally that harmonics up to the forty-sixth can be generated in steep density profiles. Computer simulations and theoretical mechanisms for this phenomena are presented. The self generation of magnetic fields on surfaces is discussed. The role these fields play in the lateral transport of energy, the insulation of the target from hot electrons, and the acceleration of fast ions is discussed

  12. Towards a Revised Monte Carlo Neutral Particle Surface Interaction Model

    International Nuclear Information System (INIS)

    Stotler, D.P.

    2005-01-01

    The components of the neutral- and plasma-surface interaction model used in the Monte Carlo neutral transport code DEGAS 2 are reviewed. The idealized surfaces and processes handled by that model are inadequate for accurately simulating neutral transport behavior in present day and future fusion devices. We identify some of the physical processes missing from the model, such as mixed materials and implanted hydrogen, and make some suggestions for improving the model

  13. Development of barrier coatings for cellulosic-based materials by cold plasma methods

    Science.gov (United States)

    Denes, Agnes Reka

    Cellulose-based materials are ideal candidates for future industries that need to be based on environmentally safe technologies and renewable resources. Wood represents an important raw material and its application as construction material is well established. Cellophane is one of the most important cellulosic material and it is widely used as packaging material in the food industry. Outdoor exposure of wood causes a combination of physical and chemical degradation processes due to the combined effects of sunlight, moisture, fungi, and bacteria. Cold-plasma-induced surface modifications are an attractive way for tailoring the characteristics of lignocellulosic substrates to prevent weathering degradation. Plasma-polymerized hexamethyldisiloxane (PPHMDSO) was deposited onto wood surfaces to create water repellent characteristics. The presence of a crosslinked macromolecular structure was detected. The plasma coated samples exhibited very high water contact angle values indicating the existence of hydrophobic surfaces. Reflective and electromagnetic radiation-absorbent substances were incorporated with a high-molecular-weight polydimethylsiloxane polymer in liquid phase and deposited as thin layers on wood surfaces. The macromolecular films, containing the dispersed materials, were then converted into a three dimensional solid state network by exposure to a oxygen-plasma. It was demonstrated that both UV-absorbent and reflectant components incorporated into the plasma-generated PDMSO matrix protected the wood from weathering degradation. Reduced oxidation and less degradation was observed after simulated weathering. High water contact angle values indicated a strong hydrophobic character of the oxygen plasma-treated PDMSO-coated samples. Plasma-enhanced surface modifications and coatings were employed to create water-vapor barrier layers on cellophane substrate surfaces. HMDSO was selected as a plasma gas and oxygen was used to ablate amorphous regions. Oxygen plasma

  14. Boron carbide-coated carbon material, manufacturing method therefor and plasma facing material

    International Nuclear Information System (INIS)

    Suzuki, Takayuki; Kikuchi, Yoshihiro; Hyakki, Yasuo.

    1997-01-01

    The present invention concerns a plasma facing material suitable to a thermonuclear device. The material comprises a carbon material formed by converting the surface of a carbon fiber-reinforced carbon material comprising a carbon matrix and carbon fibers to a boron carbide, the material has a surface comprising vertically or substantially vertically oriented carbon fibers, and the thickness of the surface converted to boron carbide is reduced in the carbon fiber portion than in the carbon matrix portion. Alternatively, a carbon fiber-reinforced carbon material containing carbon fibers having a higher graphitizing degree than the carbon matrix is converted to boron carbide on the surface where the carbon fibers are oriented vertically or substantially vertically. The carbon fiber-reinforced material is used as a base material, and a resin material impregnated into a shaped carbon fiber product is carbonized or thermally decomposed carbon is filled as a matrix. The material of the present invention has high heat conduction and excellent in heat resistance thereby being suitable to a plasma facing material for a thermonuclear device. Electric specific resistivity of the entire coating layer can be lowered, occurrence of arc discharge is prevented and melting can be prevented. (N.H.)

  15. On interaction of large dust grains with fusion plasma

    International Nuclear Information System (INIS)

    Krasheninnikov, S. I.; Smirnov, R. D.

    2009-01-01

    So far the models used to study dust grain-plasma interactions in fusion plasmas neglect the effects of dust material vapor, which is always present around dust in rather hot and dense edge plasma environment in fusion devices. However, when the vapor density and/or the amount of ionized vapor atoms become large enough, they can alter the grain-plasma interactions. Somewhat similar processes occur during pellet injection in fusion plasma. In this brief communication the applicability limits of the models ignoring vapor effects in grain-plasma interactions are obtained.

  16. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    International Nuclear Information System (INIS)

    NYGREN, RICHARD E.; STAVROS, DIANA T.

    2000-01-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed

  17. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  18. Liquid Metals as Plasma-facing Materials for Fusion Energy Systems: From Atoms to Tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Stone, Howard A. [Princeton Univ., NJ (United States); Koel, Bruce E. [Princeton Univ., NJ (United States); Bernasek, Steven L. [Princeton Univ., NJ (United States); Carter, Emily A. [Princeton Univ., NJ (United States); Debenedetti, Pablo G. [Princeton Univ., NJ (United States); Panagiotopoulos, Athanassios Z. [Princeton Univ., NJ (United States)

    2017-06-23

    The objective of our studies was to advance our fundamental understanding of liquid metals as plasma-facing materials for fusion energy systems, with a broad scope: from atoms to tokamaks. The flow of liquid metals offers solutions to significant problems of the plasma-facing materials for fusion energy systems. Candidate metals include lithium, tin, gallium, and their eutectic combinations. However, such liquid metal solutions can only be designed efficiently if a range of scientific and engineering issues are resolved that require advances in fundamental fluid dynamics, materials science and surface science. In our research we investigated a range of significant and timely problems relevant to current and proposed engineering designs for fusion reactors, including high-heat flux configurations that are being considered by leading fusion energy groups world-wide. Using experimental and theoretical tools spanning atomistic to continuum descriptions of liquid metals, and bridging surface chemistry, wetting/dewetting and flow, our research has advanced the science and engineering of fusion energy materials and systems. Specifically, we developed a combined experimental and theoretical program to investigate flows of liquid metals in fusion-relevant geometries, including equilibrium and stability of thin-film flows, e.g. wetting and dewetting, effects of electromagnetic and thermocapillary fields on liquid metal thin-film flows, and how chemical interactions and the properties of the surface are influenced by impurities and in turn affect the surface wetting characteristics, the surface tension, and its gradients. Because high-heat flux configurations produce evaporation and sputtering, which forces rearrangement of the liquid, and any dewetting exposes the substrate to damage from the plasma, our studies addressed such evaporatively driven liquid flows and measured and simulated properties of the different bulk phases and material interfaces. The range of our studies

  19. Material migration in tokamak plasmas with a three-dimensional boundary

    International Nuclear Information System (INIS)

    Laengner, Ruth

    2013-01-01

    In this work, the influence of a 3D boundary induced by resonant magnetic perturbations (RMPs) on the material migration, i.e. the erosion from wall material due to the plasma surface interaction and the transport of these impurities is investigated for the first time. With applied RMPs two new domains occur in the magnetic field structure. Three dimensional SOL flux tubes with predominantly transport parallel to short magnetic field lines and a region of longer stochastic field lines with diffusive gradient driven radial transport. The plasma wall interaction and the material transport in these domains were investigated. A globally higher radial electric field E r as well as local changes in the magnetic field structure such as pressure driven sonic flows or locally higher E r fields can potentially influence the material transport with applied RMPs. The experiments were performed at the tokamak TEXTOR, the RMPs were induced by the dynamic ergodic divertor (DED). The plasma discharges and DED application was chosen to have a spatially separated 3D structure to be able to investigate the underlying physics. Two spherical carbon test limiters were positioned in different poloidal and toroidal positions which allowed to analyse the material migration in a 3D SOL flux tube and a stochastic region at the same time. Methane doped with 13 C was injected through the test limiters during three different plasma scenarios, without RMPs, with static RMPs and an RMP sweep. The test limiters and the injected methane were monitored in situ with different cameras and spectrometers. The deposition of the injected particles was measured post mortem by colourimetry, nuclear reaction analysis and secondary ion mass spectrometry. The most profound change from no RMP to the RMP cases is a 90 re-direction of the low ionised carbon C + and C 2+ into the E r x B-drift direction. From a comparison of the experiments and numerical field line tracing it was found that this is a global effect

  20. Challenges and opportunities of modeling plasma–surface interactions in tungsten using high-performance computing

    Energy Technology Data Exchange (ETDEWEB)

    Wirth, Brian D., E-mail: bdwirth@utk.edu [Department of Nuclear Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Nuclear Science and Engineering Directorate, Oak Ridge National Laboratory, Oak Ridge, TN (United States); Hammond, K.D. [Department of Nuclear Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Krasheninnikov, S.I. [University of California, San Diego, La Jolla, CA (United States); Maroudas, D. [University of Massachusetts, Amherst, Amherst, MA 01003 (United States)

    2015-08-15

    The performance of plasma facing components (PFCs) is critical for ITER and future magnetic fusion reactors. The ITER divertor will be tungsten, which is the primary candidate material for future reactors. Recent experiments involving tungsten exposure to low-energy helium plasmas reveal significant surface modification, including the growth of nanometer-scale tendrils of “fuzz” and formation of nanometer-sized bubbles in the near-surface region. The large span of spatial and temporal scales governing plasma surface interactions are among the challenges to modeling divertor performance. Fortunately, recent innovations in computational modeling, increasingly powerful high-performance computers, and improved experimental characterization tools provide a path toward self-consistent, experimentally validated models of PFC and divertor performance. Recent advances in understanding tungsten–helium interactions are reviewed, including such processes as helium clustering, which serve as nuclei for gas bubbles; and trap mutation, dislocation loop punching and bubble bursting; which together initiate surface morphological modification.

  1. Challenges and opportunities of modeling plasma–surface interactions in tungsten using high-performance computing

    International Nuclear Information System (INIS)

    Wirth, Brian D.; Hammond, K.D.; Krasheninnikov, S.I.; Maroudas, D.

    2015-01-01

    The performance of plasma facing components (PFCs) is critical for ITER and future magnetic fusion reactors. The ITER divertor will be tungsten, which is the primary candidate material for future reactors. Recent experiments involving tungsten exposure to low-energy helium plasmas reveal significant surface modification, including the growth of nanometer-scale tendrils of “fuzz” and formation of nanometer-sized bubbles in the near-surface region. The large span of spatial and temporal scales governing plasma surface interactions are among the challenges to modeling divertor performance. Fortunately, recent innovations in computational modeling, increasingly powerful high-performance computers, and improved experimental characterization tools provide a path toward self-consistent, experimentally validated models of PFC and divertor performance. Recent advances in understanding tungsten–helium interactions are reviewed, including such processes as helium clustering, which serve as nuclei for gas bubbles; and trap mutation, dislocation loop punching and bubble bursting; which together initiate surface morphological modification

  2. Plasma-wall interaction studies within the EUROfusion consortium: progress on plasma-facing components development and qualification

    Science.gov (United States)

    Brezinsek, S.; Coenen, J. W.; Schwarz-Selinger, T.; Schmid, K.; Kirschner, A.; Hakola, A.; Tabares, F. L.; van der Meiden, H. J.; Mayoral, M.-L.; Reinhart, M.; Tsitrone, E.; Ahlgren, T.; Aints, M.; Airila, M.; Almaviva, S.; Alves, E.; Angot, T.; Anita, V.; Arredondo Parra, R.; Aumayr, F.; Balden, M.; Bauer, J.; Ben Yaala, M.; Berger, B. M.; Bisson, R.; Björkas, C.; Bogdanovic Radovic, I.; Borodin, D.; Bucalossi, J.; Butikova, J.; Butoi, B.; Čadež, I.; Caniello, R.; Caneve, L.; Cartry, G.; Catarino, N.; Čekada, M.; Ciraolo, G.; Ciupinski, L.; Colao, F.; Corre, Y.; Costin, C.; Craciunescu, T.; Cremona, A.; De Angeli, M.; de Castro, A.; Dejarnac, R.; Dellasega, D.; Dinca, P.; Dittmar, T.; Dobrea, C.; Hansen, P.; Drenik, A.; Eich, T.; Elgeti, S.; Falie, D.; Fedorczak, N.; Ferro, Y.; Fornal, T.; Fortuna-Zalesna, E.; Gao, L.; Gasior, P.; Gherendi, M.; Ghezzi, F.; Gosar, Ž.; Greuner, H.; Grigore, E.; Grisolia, C.; Groth, M.; Gruca, M.; Grzonka, J.; Gunn, J. P.; Hassouni, K.; Heinola, K.; Höschen, T.; Huber, S.; Jacob, W.; Jepu, I.; Jiang, X.; Jogi, I.; Kaiser, A.; Karhunen, J.; Kelemen, M.; Köppen, M.; Koslowski, H. R.; Kreter, A.; Kubkowska, M.; Laan, M.; Laguardia, L.; Lahtinen, A.; Lasa, A.; Lazic, V.; Lemahieu, N.; Likonen, J.; Linke, J.; Litnovsky, A.; Linsmeier, Ch.; Loewenhoff, T.; Lungu, C.; Lungu, M.; Maddaluno, G.; Maier, H.; Makkonen, T.; Manhard, A.; Marandet, Y.; Markelj, S.; Marot, L.; Martin, C.; Martin-Rojo, A. B.; Martynova, Y.; Mateus, R.; Matveev, D.; Mayer, M.; Meisl, G.; Mellet, N.; Michau, A.; Miettunen, J.; Möller, S.; Morgan, T. W.; Mougenot, J.; Mozetič, M.; Nemanič, V.; Neu, R.; Nordlund, K.; Oberkofler, M.; Oyarzabal, E.; Panjan, M.; Pardanaud, C.; Paris, P.; Passoni, M.; Pegourie, B.; Pelicon, P.; Petersson, P.; Piip, K.; Pintsuk, G.; Pompilian, G. O.; Popa, G.; Porosnicu, C.; Primc, G.; Probst, M.; Räisänen, J.; Rasinski, M.; Ratynskaia, S.; Reiser, D.; Ricci, D.; Richou, M.; Riesch, J.; Riva, G.; Rosinski, M.; Roubin, P.; Rubel, M.; Ruset, C.; Safi, E.; Sergienko, G.; Siketic, Z.; Sima, A.; Spilker, B.; Stadlmayr, R.; Steudel, I.; Ström, P.; Tadic, T.; Tafalla, D.; Tale, I.; Terentyev, D.; Terra, A.; Tiron, V.; Tiseanu, I.; Tolias, P.; Tskhakaya, D.; Uccello, A.; Unterberg, B.; Uytdenhoven, I.; Vassallo, E.; Vavpetič, P.; Veis, P.; Velicu, I. L.; Vernimmen, J. W. M.; Voitkans, A.; von Toussaint, U.; Weckmann, A.; Wirtz, M.; Založnik, A.; Zaplotnik, R.; PFC contributors, WP

    2017-11-01

    The provision of a particle and power exhaust solution which is compatible with first-wall components and edge-plasma conditions is a key area of present-day fusion research and mandatory for a successful operation of ITER and DEMO. The work package plasma-facing components (WP PFC) within the European fusion programme complements with laboratory experiments, i.e. in linear plasma devices, electron and ion beam loading facilities, the studies performed in toroidally confined magnetic devices, such as JET, ASDEX Upgrade, WEST etc. The connection of both groups is done via common physics and engineering studies, including the qualification and specification of plasma-facing components, and by modelling codes that simulate edge-plasma conditions and the plasma-material interaction as well as the study of fundamental processes. WP PFC addresses these critical points in order to ensure reliable and efficient use of conventional, solid PFCs in ITER (Be and W) and DEMO (W and steel) with respect to heat-load capabilities (transient and steady-state heat and particle loads), lifetime estimates (erosion, material mixing and surface morphology), and safety aspects (fuel retention, fuel removal, material migration and dust formation) particularly for quasi-steady-state conditions. Alternative scenarios and concepts (liquid Sn or Li as PFCs) for DEMO are developed and tested in the event that the conventional solution turns out to not be functional. Here, we present an overview of the activities with an emphasis on a few key results: (i) the observed synergistic effects in particle and heat loading of ITER-grade W with the available set of exposition devices on material properties such as roughness, ductility and microstructure; (ii) the progress in understanding of fuel retention, diffusion and outgassing in different W-based materials, including the impact of damage and impurities like N; and (iii), the preferential sputtering of Fe in EUROFER steel providing an in situ W

  3. Atmospheric pressure plasma jets : properties of plasma bullets and the dynamics of the interaction with dielectric surfaces

    NARCIS (Netherlands)

    Sobota, A.; Slikboer, E.; Guaitella, O.Y.N.

    2015-01-01

    Cold atmospheric pressure plasma jets, although mostly researched for applications in surface treatment, are rarely investigated in the presence of a surface. This paper presents the properties of plasma bullets formed in the capillary as well as the dynamics of the propagation of the plasma on

  4. High Heat Flux Interactions and Tritium Removal from Plasma Facing Components by a Scanning Laser

    International Nuclear Information System (INIS)

    Skinner, C.H.; Gentile, C.A.; Hassanein, A.

    2002-01-01

    A new technique for studying high heat flux interactions with plasma facing components is presented. The beam from a continuous wave 300 W neodymium laser was focused to 80 W/mm2 and scanned at high speed over the surface of carbon tiles. These tiles were previously used in the TFTR [Tokamak Fusion Test Reactor] inner limiter and have a surface layer of amorphous hydrogenated carbon that was codeposited during plasma operations. Laser scanning released up to 84% of the codeposited tritium. The temperature rise of the codeposit on the tiles was significantly higher than that of the manufactured material. In one experiment, the codeposit surface temperature rose to 1,770 C while for the same conditions, the manufactured surface increased to only 1,080 C. The peak temperature did not follow the usual square-root dependence on heat pulse duration. Durations of order 100 ms resulted in brittle destruction and material loss from the surface, while a duration of approximately 10 ms showed minimal change. A digital microscope imaged the codeposit before, during, and after the interaction with the laser and revealed hot spots on a 100-micron scale. These results will be compared to analytic modeling and are relevant to the response of plasma facing components to disruptions and vertical displacement events (VDEs) in next-step magnetic fusion devices

  5. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  6. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  7. Surface modification of polyethylene by plasma

    International Nuclear Information System (INIS)

    Colin O, E.

    2003-01-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  8. Plasma protein corona modulates the vascular wall interaction of drug carriers in a material and donor specific manner.

    Directory of Open Access Journals (Sweden)

    Daniel J Sobczynski

    Full Text Available The nanoscale plasma protein interaction with intravenously injected particulate carrier systems is known to modulate their organ distribution and clearance from the bloodstream. However, the role of this plasma protein interaction in prescribing the adhesion of carriers to the vascular wall remains relatively unknown. Here, we show that the adhesion of vascular-targeted poly(lactide-co-glycolic-acid (PLGA spheres to endothelial cells is significantly inhibited in human blood flow, with up to 90% reduction in adhesion observed relative to adhesion in simple buffer flow, depending on the particle size and the magnitude and pattern of blood flow. This reduced PLGA adhesion in blood flow is linked to the adsorption of certain high molecular weight plasma proteins on PLGA and is donor specific, where large reductions in particle adhesion in blood flow (>80% relative to buffer is seen with ∼60% of unique donor bloods while others exhibit moderate to no reductions. The depletion of high molecular weight immunoglobulins from plasma is shown to successfully restore PLGA vascular wall adhesion. The observed plasma protein effect on PLGA is likely due to material characteristics since the effect is not replicated with polystyrene or silica spheres. These particles effectively adhere to the endothelium at a higher level in blood over buffer flow. Overall, understanding how distinct plasma proteins modulate the vascular wall interaction of vascular-targeted carriers of different material characteristics would allow for the design of highly functional delivery vehicles for the treatment of many serious human diseases.

  9. Plasma treatment of heat-resistant materials

    International Nuclear Information System (INIS)

    Vlasov, V A; Kosmachev, P V; Skripnikova, N K; Bezukhov, K A

    2015-01-01

    Refractory lining of thermal generating units is exposed to chemical, thermal, and mechanical attacks. The degree of fracture of heat-resistant materials depends on the chemical medium composition, the process temperature and the material porosity. As is known, a shortterm exposure of the surface to low-temperature plasma (LTP) makes possible to create specific coatings that can improve the properties of workpieces. The aim of this work is to produce the protective coating on heat-resistant chamotte products using the LTP technique. Experiments have shown that plasma treatment of chamotte products modifies the surface, and a glass-ceramic coating enriched in mullite is formed providing the improvement of heat resistance. For increasing heat resistance of chamotte refractories, pastes comprising mixtures of Bacor, alumina oxide, and chamot were applied to their surfaces in different ratios. It is proved that the appropriate coating cannot be created if only one of heat-resistant components is used. The required coatings that can be used and recommended for practical applications are obtained only with the introduction of powder chamot. The paste composition of 50% chamot, 25% Bacor, and 25% alumina oxide exposed to plasma treatment, has demonstrated the most uniform surface fusion. (paper)

  10. Plasma disruption modeling and simulation

    International Nuclear Information System (INIS)

    Hassanein, A.

    1994-01-01

    Disruptions in tokamak reactors are considered a limiting factor to successful operation and reliable design. The behavior of plasma-facing components during a disruption is critical to the overall integrity of the reactor. Erosion of plasma facing-material (PFM) surfaces due to thermal energy dump during the disruption can severely limit the lifetime of these components and thus diminish the economic feasibility of the reactor. A comprehensive understanding of the interplay of various physical processes during a disruption is essential for determining component lifetime and potentially improving the performance of such components. There are three principal stages in modeling the behavior of PFM during a disruption. Initially, the incident plasma particles will deposit their energy directly on the PFM surface, heating it to a very high temperature where ablation occurs. Models for plasma-material interactions have been developed and used to predict material thermal evolution during the disruption. Within a few microseconds after the start of the disruption, enough material is vaporized to intercept most of the incoming plasma particles. Models for plasma-vapor interactions are necessary to predict vapor cloud expansion and hydrodynamics. Continuous heating of the vapor cloud above the material surface by the incident plasma particles will excite, ionize, and cause vapor atoms to emit thermal radiation. Accurate models for radiation transport in the vapor are essential for calculating the net radiated flux to the material surface which determines the final erosion thickness and consequently component lifetime. A comprehensive model that takes into account various stages of plasma-material interaction has been developed and used to predict erosion rates during reactor disruption, as well during induced disruption in laboratory experiments

  11. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  12. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    Energy Technology Data Exchange (ETDEWEB)

    NYGREN,RICHARD E.; STAVROS,DIANA T.

    2000-06-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed.

  13. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  14. Use of Atmospheric-Pressure Plasma Jet for Polymer Surface Modification: An Overview

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-16

    Atmospheric-pressure plasma jets (APPJs) are playing an increasingly important role in materials processing procedures. Plasma treatment is a useful tool to modify surface properties of materials, especially polymers. Plasma reacts with polymer surfaces in numerous ways thus the type of process gas and plasma conditions must be explored for chosen substrates and materials to maximize desired properties. This report discusses plasma treatments and looks further into atmospheric-pressure plasma jets and the effects of gases and plasma conditions. Following the short literature review, a general overview of the future work and research at Los Alamos National Laboratory (LANL) is discussed.

  15. Work function modifications of graphite surface via oxygen plasma treatment

    Science.gov (United States)

    Duch, J.; Kubisiak, P.; Adolfsson, K. H.; Hakkarainen, M.; Golda-Cepa, M.; Kotarba, A.

    2017-10-01

    The surface modification of graphite by oxygen plasma was investigated experimentally (X-ray diffraction, nanoparticle tracking analysis, laser desorption ionization mass spectrometry, thermogravimetry, water contact angle) and by molecular modelling (Density Functional Theory). Generation of surface functional groups (mainly sbnd OHsurf) leads to substantial changes in electrodonor properties and wettability gauged by work function and water contact angle, respectively. The invoked modifications were analyzed in terms of Helmholtz model taking into account the theoretically determined surface dipole moment of graphite-OHsurf system (μ = 2.71 D) and experimentally measured work function increase (from 0.75 to 1.02 eV) to determine the sbnd OH surface coverage (from 0.70 to 1.03 × 1014 groups cm-2). Since the plasma treatment was confined to the surface, the high thermal stability of the graphite material was preserved as revealed by the thermogravimetric analysis. The obtained results provide a suitable quantitative background for tuning the key operating parameters of carbon electrodes: electronic properties, interaction with water and thermal stability.

  16. Formation of hydrophobic coating on glass surface using atmospheric pressure non-thermal plasma in ambient air

    International Nuclear Information System (INIS)

    Fang, Z; Qiu, Y; Kuffel, E

    2004-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in material surface processing because of their convenience, effectiveness and low cost. In this paper, the treatment of a glass surface for improving hydrophobicity using a non-thermal plasma generated by a dielectric barrier corona discharge (DBCD) with a needle array-to-plane electrode arrangement in atmospheric air is conducted, and the surface properties of the glass before and after the DBCD treatment are studied using contact angle measurement, surface resistance measurement and the wet flashover voltage test. The effects of the plasma dose (the product of average discharge power and treatment time) of DBCD on the surface modification are studied, and the mechanism of interaction between the plasma and glass surface is discussed. It is found that a layer of hydrophobic coating is formed on the glass surface through DBCD treatment, and the improvement of hydrophobicity depends on the plasma dose of the DBCD. It seems that there is an optimum plasma dose for the surface treatment. The test results of thermal ageing and chemical ageing show that the hydrophobic layer has quite stable characteristics

  17. Influence of plasma parameters in pulsed plasma gun on modification processes in exposed structural materials

    International Nuclear Information System (INIS)

    Byrka, O.V.; Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Garkusha, V.V.; Makhai, V.A.; Tereshin, V.I.

    2011-01-01

    This paper is focused on investigation of helium, nitrogen and krypton plasma streams generated by pulsed plasma gun (PPA). The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. Features of materials alloying from gas and metallic plasma as a result of the plasma ions mixing with the steel substrate in liquid phase are discussed also.

  18. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  19. Application of plasma technology for the modification of polymer and textile materials

    Directory of Open Access Journals (Sweden)

    Radetić Maja M.

    2004-01-01

    Full Text Available Plasma treatment is based on the physico-chemical changes of the material surface and as an ecologically and economically acceptable process it can be an attractive alternative to conventional modifications. The possibilities of plasma technology application to the modification of polymer and textile materials are discussed. Different specific properties of the material can be achieved by plasma cleaning, etching, functionalization or polymerization. The final effects are strongly influenced by the treatment parameters (treatment time, pressure, power, gas flow, the applied gas and nature of the material. The plasma treatment of polymers is predominantly focused on cleaning and activation of the surfaces to increase adhesion, binding, wettability, dye ability and printability. Current studies deal more with plasma polymerization where an ultra thin film of plasma polymer is deposited on the material surface and, depending on the applied monomer, different specific properties can be obtained (i.e. chemical and thermal resistance, abrasion resistance, antireflexion, water repellence, etc.. Plasma application to textiles is mostly oriented toward wool and synthetic fibres, though some studies also consider cotton, hemp, flax and silk. The main goal of plasma treatment is to impart a more hydrophilic fibre surface and accordingly increase wettability, dye ability, printability and particularly, shrink resistance in the case of wool. Recent studies have favored technical textiles, where plasma polymerization can offer a wide range of opportunities.

  20. Atomic and plasma-material interaction data for fusion. V. 7, part B. Particle induced erosion of Be, C and W in fusion plasmas. Part B: Physical sputtering and radiation-enhanced sublimation

    International Nuclear Information System (INIS)

    Eckstein, W.; Stephens, J.A.; Clark, R.E.H.; Davis, J.W.; Haasz, A.A.; Vietzke, E.; Hirooka, Y.

    2001-01-01

    The present volume of Atomic and Plasma-Material Interaction Data for Fusion is devoted to a critical review of the physical sputtering and radiation enhanced sublimation (RES) behaviour of fusion plasma-facing materials, in particular carbon, beryllium and tungsten. The present volume is intended to provide fusion reactor designers a detailed survey and parameterization of existing, critically assessed data for the chemical erosion of plasma-facing materials by particle impact. The survey and data compilation is presented for a variety of materials containing the elements C, Be and W (including dopants in carbon materials) and impacting plasma species. The dependencies of physical sputtering and RES yields on the material temperature, incident projectile energy, and incident flux are considered. The main data compilation is presented as separate data sheets indicating the material, impacting plasma species, experimental conditions, and parameterizations in terms of analytic functions

  1. Pre-conceptual design activities for the materials plasma exposure experiment

    International Nuclear Information System (INIS)

    Lumsdaine, Arnold; Rapp, Juergen; Varma, Venugopal; Bjorholm, Thomas; Bradley, Craig; Caughman, John; Duckworth, Robert; Goulding, Richard; Graves, Van; Giuliano, Dominic; Lessard, Timothy; McGinnis, Dean; Meitner, Steven

    2016-01-01

    Highlights: • The development of long-pulse nuclear fusion devices requires testing plasma facing components at reactor relevant conditions. • The pre-conceptual design of a proposed linear plasma facility is presented. • Engineering considerations for multiple systems—plasma source and heating, magnet, vacuum, water cooling, and target, are presented. - Abstract: The development of next step fusion facilities such as DEMO or a Fusion Nuclear Science Facility (FNSF) requires first closing technology gaps in some critical areas. Understanding the material-plasma interface is necessary to enable the development of divertors for long-pulse plasma facilities. A pre-conceptual design for a proposed steady-state linear plasma device, the Materials Plasma Exposure Experiment (MPEX), is underway. A helicon plasma source along with ion cyclotron and electron Bernstein wave heating systems will produce ITER divertor relevant plasma conditions with steady-state parallel heat fluxes of up to 40 MW/m"2 with ion fluxes up to 10"2"4/m"2 s on target. Current plans are for the device to use superconducting magnets to produce 1–2 T fields. As a steady-state device, active cooling will be required for components that interact with the plasma (targets, limiters, etc.), as well as for other plasma facing components (transport regions, vacuum tanks, diagnostic ports). Design concepts for the vacuum system, the cooling system, and the plasma heating systems have been completed. The device will include the capability for handling samples that have been neutron irradiated in order to consider the multivariate effects of neutrons, plasma, and high heat-flux on the microstructure of divertor candidate materials. A vacuum cask, which can be disconnected from the high field environment in order to perform in-vacuo diagnosis of the surface evolution is also planned for the facility.

  2. Pre-conceptual design activities for the materials plasma exposure experiment

    Energy Technology Data Exchange (ETDEWEB)

    Lumsdaine, Arnold, E-mail: lumsdainea@ornl.gov; Rapp, Juergen; Varma, Venugopal; Bjorholm, Thomas; Bradley, Craig; Caughman, John; Duckworth, Robert; Goulding, Richard; Graves, Van; Giuliano, Dominic; Lessard, Timothy; McGinnis, Dean; Meitner, Steven

    2016-11-01

    Highlights: • The development of long-pulse nuclear fusion devices requires testing plasma facing components at reactor relevant conditions. • The pre-conceptual design of a proposed linear plasma facility is presented. • Engineering considerations for multiple systems—plasma source and heating, magnet, vacuum, water cooling, and target, are presented. - Abstract: The development of next step fusion facilities such as DEMO or a Fusion Nuclear Science Facility (FNSF) requires first closing technology gaps in some critical areas. Understanding the material-plasma interface is necessary to enable the development of divertors for long-pulse plasma facilities. A pre-conceptual design for a proposed steady-state linear plasma device, the Materials Plasma Exposure Experiment (MPEX), is underway. A helicon plasma source along with ion cyclotron and electron Bernstein wave heating systems will produce ITER divertor relevant plasma conditions with steady-state parallel heat fluxes of up to 40 MW/m{sup 2} with ion fluxes up to 10{sup 24}/m{sup 2} s on target. Current plans are for the device to use superconducting magnets to produce 1–2 T fields. As a steady-state device, active cooling will be required for components that interact with the plasma (targets, limiters, etc.), as well as for other plasma facing components (transport regions, vacuum tanks, diagnostic ports). Design concepts for the vacuum system, the cooling system, and the plasma heating systems have been completed. The device will include the capability for handling samples that have been neutron irradiated in order to consider the multivariate effects of neutrons, plasma, and high heat-flux on the microstructure of divertor candidate materials. A vacuum cask, which can be disconnected from the high field environment in order to perform in-vacuo diagnosis of the surface evolution is also planned for the facility.

  3. Plasma-surface interactions with ICRF antennas and lower hybrid grills in Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Harris, J.H. [Oak Ridge National Lab., TN (United States); Hutter, T. [Association Euratom-CEA, Centre d`Etudes de Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee; Hogan, J.T. [Oak Ridge National Lab., TN (United States)] [and others

    1996-10-01

    The edge plasma interactions of the actively cooled radio-frequency heating launchers in Tore Supra- ion-cyclotron range-of-frequencies (ICRF) antennas and lower-hybrid (LH) grills-are studied using infrared video imaging. On the two-strap ICRF antennas, operated in fast-wave electron heating or current drive mode, hot spots with temperatures of 500-900{degrees} C are observed by the end of 2-s power pulses of 2 MW per antenna. The distribution and maximum values of temperature are determined principally by the relative phase of the two antenna straps: dipole (heating) phasing results in significantly less antenna heating than does 90` (current drive) phasing. Transient heat fluxes of 1-20 MW/m{sup 2} are measured on the lateral protection bumpers at ICRF turn-on; these fluxes are primarily a function of plasma and radio frequency (rf) control, and are not simply correlated with the strap phasing or the final surface temperature distributions. The remarkable feature of the lower hybrid edge interaction is the production of beams of heat flux in front of the grills; these beams propagate along the helical magnetic field lines and can deliver fluxes of 5-10 MW/m{sup 2} over areas of several cm{sup 2} to plasma-facing components such as the grill or antenna lateral bumpers. Both the ICRF and LH phenomena appear to result from the acceleration of particles by the near fields of the launchers. Modeling of the heat flux deposition on components and its relation to sputtering processes is presented, and possibilities for controlling these interactions are discussed.

  4. Plasma surface interactions at the JET X-point tiles

    International Nuclear Information System (INIS)

    Martinelli, A.P.; Behrisch, R.; Coad, J.P.; Kock, L. de

    1989-01-01

    Operation with a magnetic divertor, which leads to a zero poloidal field inside the volume of the discharge vessel (the X-point) has led to substantial improvements in confinement time in JET. In this mode the diverted plasma is conducted to a large number of graphite tiles (X-point tiles) near the top of the vessel. The power handling capability of these tiles limits the maximum additional heating power to the discharge. The study of the surface modifications of the X-point tiles of JET is therefore of interest both to correlate the magnetic configuration and plasma particle and energy fluxes with the surface modifications, and also to get information about the erosion and deposition at these wall areas. (author) 5 refs., 4 figs

  5. Interaction of Electromagnetic Waves with Two-Dimensional Metal Covered with Radar Absorbing Material and Plasma

    International Nuclear Information System (INIS)

    Lan Chaohui; Hu Xiwei; Jiang Zhonghe

    2008-01-01

    A two-dimensional metal model is established to investigate the stealth mechanisms of radar absorbing material (RAM) and plasma when they cover the model together. Using the finite-difference time-domain (FDTD) method, the interaction of electromagnetic (EM) waves with the model can be studied. In this paper, three covering cases are considered: a. RAM or plasma covering the metal solely; b. RAM and plasma covering the metal, while plasma is placed outside; c. RAM and plasma covering the metal, while RAM is placed outside. The calculated results show that the covering order has a great influence on the absorption of EM waves. Compared to case a, case b has an advantage in the absorption of relatively high-frequency EM waves (HFWs), whereas case c has an advantage in the absorption of relatively low-frequency EM waves (LFWs). Through the optimization of the parameters of both plasma and RAM, it is hopeful to obtain a broad absorption band by RAM and plasma covering. Near-field attenuation rate and far-field radar cross section (RCS) are employed to compare the different cases. (low temperature plasma)

  6. The influence of fusion-relevant D2-0.1He plasma on Be-W mixed-materials

    International Nuclear Information System (INIS)

    Jepu, I.; Baldwin, M.J.; Nishijima, D.; Doerner, R.P.; Porosnicu, C.; Lungu, C.P.; Dinca, P.; Marin, A.

    2017-01-01

    Compositionally homogeneous mixed-material layers of Be and W, ∼2 μm thick, and of various W contents (spanning 0–100 at.% W), are produced by thermionic vacuum arc deposition. The layers are exposed to low energy (∼50 eV), high ion flux (6–8 × 10 22 m −2 s −1 ) D 2 -0.1He (10% He) mixed species plasma at 473 K and 1073 K to simulate ITER-like first wall and divertor plasma-material interaction. Surface morphological and compositional analysis is conducted prior and subsequent to plasma exposure. The action of the plasma produces almost complete depletion of Be from the near surface, and this is found regardless of the exposure temperature or initial composition. In the exposure case of 473 K, thermal desorption spectrometry reveals decreased D retention in W rich compositions compared to similar exposure in D 2 plasma without He, but no discernable difference is noted in Be rich compositions. At 1073 K, surface enrichment in W, by depletion of the Be, results in He induced W “fuzz” structures for all Be-W compositions explored.

  7. Studies of plasma interactions with tungsten targets in PF-1000U facility

    Directory of Open Access Journals (Sweden)

    Ladygina Maryna S.

    2016-06-01

    Full Text Available This paper presents results of experimental studies of tungsten samples of 99.95% purity, which were irradiated by intense plasma-ion streams. The behaviour of tungsten, and particularly its structural change induced by high plasma loads, is of great importance for fusion technology. The reported measurements were performed within a modified PF-1000U plasma-focus facility operated at the IFPiLM in Warsaw, Poland. The working gas was pure deuterium. In order to determine the main plasma parameters and to study the behaviour of impurities at different instants of the plasma discharge, the optical emission spectroscopy was used. The dependence of plasma parameters on the initial charging voltage (16, 19 and 21 kV was studied. Detailed optical measurements were performed during interactions of a plasma stream with the tungsten samples placed at the z-axis of the facility, at a distance of 6 cm from the electrode outlets. The recorded spectra showed distinct WI and WII spectral lines. Investigation of a target surface morphology, after its irradiation by intense plasma streams, was performed by means of an optical microscope. The observations revealed that some amounts of the electrodes material (mainly copper were deposited upon the irradiated sample surface. In all the cases, melted zones were observed upon the irradiated target surface, and in experiments performed at the highest charging voltage there were formed some cracks.

  8. INTERACTION STUDIES OF CERAMIC VACUUM PLASMA SPRAYING FOR THE MELTING CRUCIBLE MATERIALS

    Directory of Open Access Journals (Sweden)

    JONG HWAN KIM

    2013-10-01

    Full Text Available Candidate coating materials for re-usable metallic nuclear fuel crucibles, TaC, TiC, ZrC, ZrO2, and Y2O3, were plasma-sprayed onto a niobium substrate. The microstructure of the plasma-sprayed coatings and thermal cycling behavior were characterized, and U-Zr melt interaction studies were carried out. The TaC and Y2O3 coating layers had a uniform thickness, and high density with only a few small closed pores showing good consolidation, while the ZrC, TiC, and ZrO2 coatings were not well consolidated with a considerable amount of porosity. Thermal cycling tests showed that the adhesion of the TiC, ZrC, and ZrO2 coating layers with niobium was relatively weak compared to the TaC and Y2O3 coatings. The TaC and Y2O3 coatings had better cycling characteristics with no interconnected cracks. In the interaction studies, ZrC and ZrO2 coated rods showed significant degradations after exposure to U-10 wt.% Zr melt at 1600°C for 15 min., but TaC, TiC, and Y2O3 coatings showed good compatibility with U-Zr melt.

  9. Report of a technical evaluation panel on the use of beryllium for ITER plasma facing material and blanket breeder material

    Energy Technology Data Exchange (ETDEWEB)

    Ulrickson, M.A. [ed.] [Sandia National Labs., Albuquerque, NM (United States); Manly, W.D. [Oak Ridge National Lab., TN (United States); Dombrowski, D.E. [Brush Wellman, Inc., Cleveland, OH (United States)] [and others

    1995-08-01

    Beryllium because of its low atomic number and high thermal conductivity, is a candidate for both ITER first wall and divertor surfaces. This study addresses the following: why beryllium; design requirements for the ITER divertor; beryllium supply and unirradiated physical/mechanical property database; effects of irradiation on beryllium properties; tritium issues; beryllium health and safety; beryllium-coolant interactions and safety; thermal and mechanical tests; plasma erosion of beryllium; recommended beryllium grades for ITER plasma facing components; proposed manufacturing methods to produce beryllium parts for ITER; emerging beryllium materials; proposed inspection and maintenance techniques for beryllium components and coatings; time table and costs; and the importance of integrating materials and manufacturing personnel with designers.

  10. Report of a technical evaluation panel on the use of beryllium for ITER plasma facing material and blanket breeder material

    International Nuclear Information System (INIS)

    Ulrickson, M.A.; Manly, W.D.; Dombrowski, D.E.

    1995-08-01

    Beryllium because of its low atomic number and high thermal conductivity, is a candidate for both ITER first wall and divertor surfaces. This study addresses the following: why beryllium; design requirements for the ITER divertor; beryllium supply and unirradiated physical/mechanical property database; effects of irradiation on beryllium properties; tritium issues; beryllium health and safety; beryllium-coolant interactions and safety; thermal and mechanical tests; plasma erosion of beryllium; recommended beryllium grades for ITER plasma facing components; proposed manufacturing methods to produce beryllium parts for ITER; emerging beryllium materials; proposed inspection and maintenance techniques for beryllium components and coatings; time table and costs; and the importance of integrating materials and manufacturing personnel with designers

  11. Report on the joint meeting of the Division of Development and Technology Plasma Wall Interaction and High Heat Flux Materials and Components task groups

    International Nuclear Information System (INIS)

    Nygren, R.E.

    1992-04-01

    The Plasma/Wall Interaction and High Heat Flux Materials and Components Task Groups typically hold a joint meeting each year to provide a forum for discussion of technical issues of current interest as well as an opportunity for program reviews by the Department of Energy (DOE). At the meeting in September 1990, reported here, research programs in support of the International Thermonuclear Experimental Reactor (ITER) were highlighted. The first part of the meeting was devoted to research and development (R ampersand D) for ITER on plasma facing components plus introductory presentations on some current projects and design studies. The balance of the meeting was devoted to program reviews, which included presentations by most of the participants in the Small Business Innovative Research (SBIR) Programs with activities related to plasma wall interactions. The Task Groups on Plasma/Wall Interaction and on High Heat Flux Materials and Components were chartered as continuing working groups by the Division of Development and Technology in DOE's Magnetic Fusion Program. This report is an addition to the series of ''blue cover'' reports on the Joint Meetings of the Plasma/Wall Interaction and High Heat Flux Materials and Components Task Groups. Among several preceding meetings were those in October 1989 and January 1988

  12. Surface characterization of polyethylene terephthalate films treated by ammonia low-temperature plasma

    International Nuclear Information System (INIS)

    Zheng Zhiwen; Ren Li; Feng Wenjiang; Zhai Zhichen; Wang Yingjun

    2012-01-01

    In order to study the surface characterization and protein adhesion behavior of polyethylene terephthalate film, low temperature ammonia plasma was used to modify the film. Effects of plasma conditions of the surface structures and properties were investigated. Results indicated that surface hydrophilicity of polyethylene terephthalate was significantly improved by ammonia plasma treatment. Ammonia plasma played the role more important than air treatment in the process of modification. Furthermore, by Fourier Transform Infrared spectra some new bonds such as -N=O and N-H which could result in the improvement of the surface hydrophilicity were successfully grafted on the film surface. Atom force microscope experiments indicated that more protein adsorbed on hydrophobic surfaces than hydrophilic ones, and the blobs arranged in a straight line at etching surface by plasma. Modified membrane after ammonia plasma treatment had a good cell affinity and could be effective in promoting the adhesion and growth of cells on the material surface. Timeliness experiments showed that the plasma treatment gave the material a certain performance only in a short period of time and the hydrophobicity recovered after 12 days.

  13. Surface modification of polyethylene by plasma; Modificacion superficial de polietileno por plasma

    Energy Technology Data Exchange (ETDEWEB)

    Colin O, E

    2003-07-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  14. Material Challenges For Plasma Facing Components in Future Fusion Reactors

    International Nuclear Information System (INIS)

    Linke, J; Pintsuk, G.; Rödig, M.

    2013-01-01

    . Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone; the time scale of these events is typically in the order of 1 ms. As a consequence, thermal shock induced crack formation, vaporization, surface melting and droplet ejection as well as particle emission induced by brittle destruction processes will limit the lifetime of the components. This is also valid for instabilities in the plasma positioning (vertical displacement events) which cause irreversible damage to plasma facing components, particularly to the metallic wall armour. Moreover, dust particles (neutron activated or toxic metals or tritium enriched carbon) are a serious concern form a safety point of view. In order to investigate the thermally induced plasma wall interaction under fusion specific thermal loads, high heat flux simulation tests are performed routinely in electron or ion beam test facilities as well as in quasi stationary plasma devices. These experiments cover thermal fatigue loads and/or thermal shock tests with relevant operational loading conditions. Furthermore, the wall bombardment with 14 MeV neutrons in D-T-burning plasma devices and the resulting material damage are another critical issue, both, from a safety point of view, but also under the aspect of the component lifetime. While the integrated neutron fluence in ITER will be only in the order of 1 dpa (displacements per atom), future devices such as DEMO or commercial fusion reactors will experience integrated neutron wall loads of 80 to 150 dpa. Therefore the development of new radiation resistant materials and their testing under realistic conditions is required. Due to the lack of an intense 14 MeV neutron source, complex neutron irradiation experiments are performed in material test reactors to quantify the neutron-induced material damage. These tests provide a valuable data base on the degradation of thermal and mechanical parameters. (author)

  15. First results on plasma-surface interactions in the Tokamak de Varennes

    Energy Technology Data Exchange (ETDEWEB)

    Terreault, B; Boucher, C; Paynter, R W; Ross, G G; Theriault, D; Abel, G; Boivin, R; Chevalier, G; Dimoff, K; Gregory, B C

    1989-04-01

    Results of plasma-surface interaction studies made during the early phases of operation of the Tokamak de Varennes are summarized. It was found that the desorption of molecules from the internal walls by UV radiation can be used to reduce the base pressure. Auger depth profiling of stainless steel (SS) samples exposed to hydrogen discharge cleaning has been performed. Glow discharges at about 0.1 mbar etch the surface carbon and oxide at a rate of 0.5 nm/h. RF-glow discharges at 10/sup -3/ mbar result in a rapid reduction of the oxide and its replacement by a carbide layer (the graphite limiters being the source of the carbon). Long-term wall samples of SS and Si have been profiled by Auger and nuclear analysis. The SS sample has a similar composition to that exposed to the RF-glow conditioning. The Si sample is covered by a 3 nm deposit of metals, C and O, and contains 10/sup 16/ H/cm/sup 2/ within 30 nm of the surface; this dose and width are consistent with the history of the sample. (orig.).

  16. Behavior of liquid Li-Sn alloy as plasma facing material on ISTTOK

    Energy Technology Data Exchange (ETDEWEB)

    Loureiro, J.P.S., E-mail: jpsloureiro@ipfn.tecnico.ulisboa.pt [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, 1049-001 Lisboa (Portugal); Tabarés, F.L. [Laboratorio Nacional de Fusion, Ciemat, Avenida Complutense 22, E-28040 Madrid (Spain); Fernandes, H.; Silva, C.; Gomes, R.; Alves, E.; Mateus, R.; Pereira, T.; Alves, H.; Figueiredo, H. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, 1049-001 Lisboa (Portugal)

    2017-04-15

    The high power loads impinging on the first wall and particularly the divertor of fusion reactors is a decisive factor to the success of nuclear fusion. An alternative to solid plasma facing components is the use of liquid metals such as lithium or tin due to the regenerative properties of the liquid surface. Another suitable candidate is the eutectic lithium tin alloy (30 at.% Li) which is suggested to display beneficial properties of both its constituent elements. The application of these materials as liquid metal plasma facing components depends on several factors such as their affinity to retain hydrogenic isotopes and the discharge performance degradation induced by the enhanced impurity contamination, among others. An experimental setup has been developed to produce and expose samples to ISTTOK plasmas on both liquid and solid states. Samples of Li-Sn alloy were exposed at ISTTOK to deuterium plasmas. Post-mortem analysis of the samples was performed by means of ion beam diagnostics. To quantify the fuel retention on the samples the nuclear reaction analysis (NRA) technique was applied. Complementary, Rutherford backscattering spectrometry (RBS) was used for determination material composition, particularly of impurities, on the samples. Regardless of the high sensitivity of these techniques no deuterium was detected in the samples. Emission of the Li-I 670.7 nm line indicates that there was interaction of the plasma with the samples. Alternative reasons for the low retention of this material are discussed. Lithium segregation to the surface of the sample was observed.

  17. Surface resistivity measurement of plasma treated polymers

    International Nuclear Information System (INIS)

    Simon, D.; Pigram, P.J.; Liesegang, J.

    2000-01-01

    Full text: Resistivity of insulators is an important property of materials used within the integrated circuit and packaging industries. The measurement of electrical resistivity of insulator materials in the surface region in this work is interpreted through observations of surface charge decay. A self-field driven and diffusion charge transport theory is used to model the process and resistivity values obtained computationally. Data for the charge decay of surface charged samples are collected by suspending them inside a coaxial cylinder connected to an electrometer. Samples used have been low density polyethylene LDPE sheet, both pristine and surface treated. Some samples have been treated by air plasma at low vacuum pressures for different periods of time; others have been washed in ethyl acetate and then plasma treated before the resistivity measurement. The sets of resistivity measurements form the various treatments are compared below. X-ray photoelectron spectroscopy (XPS) has also been used to investigate and account for the observed variations in surface resistivity

  18. The influence of fusion-relevant D{sub 2}-0.1He plasma on Be-W mixed-materials

    Energy Technology Data Exchange (ETDEWEB)

    Jepu, I., E-mail: ionut.jepu@inflpr.ro [National Institute for Lasers, Plasma and Radiation Physics, NILPRP, Magurele (Romania); Baldwin, M.J.; Nishijima, D.; Doerner, R.P. [Center for Energy Research, University of California at San Diego, La Jolla, CA (United States); Porosnicu, C.; Lungu, C.P. [National Institute for Lasers, Plasma and Radiation Physics, NILPRP, Magurele (Romania); Dinca, P. [National Institute for Lasers, Plasma and Radiation Physics, NILPRP, Magurele (Romania); University of Bucharest, Faculty of Physics, Magurele, Bucharest (Romania); Marin, A. [Institute of Physical Chemistry, “Ilie Murgulescu”, Bucharest (Romania); Institute for Nuclear Research, Mioveni, Arges (Romania)

    2017-02-15

    Compositionally homogeneous mixed-material layers of Be and W, ∼2 μm thick, and of various W contents (spanning 0–100 at.% W), are produced by thermionic vacuum arc deposition. The layers are exposed to low energy (∼50 eV), high ion flux (6–8 × 10{sup 22} m{sup −2} s{sup −1}) D{sub 2}-0.1He (10% He) mixed species plasma at 473 K and 1073 K to simulate ITER-like first wall and divertor plasma-material interaction. Surface morphological and compositional analysis is conducted prior and subsequent to plasma exposure. The action of the plasma produces almost complete depletion of Be from the near surface, and this is found regardless of the exposure temperature or initial composition. In the exposure case of 473 K, thermal desorption spectrometry reveals decreased D retention in W rich compositions compared to similar exposure in D{sub 2} plasma without He, but no discernable difference is noted in Be rich compositions. At 1073 K, surface enrichment in W, by depletion of the Be, results in He induced W “fuzz” structures for all Be-W compositions explored.

  19. The Fracture of Plasma-Treated Polyurethane Surface under Fatigue Loading

    Directory of Open Access Journals (Sweden)

    Ilya A. Morozov

    2018-02-01

    Full Text Available Plasma treatment of soft polymers is a promising technique to improve biomedical properties of the materials. The response to the deformation of such materials is not yet clear. Soft elastic polyurethane treated with plasma immersion ion implantation is subjected to fatigue uniaxial loading. The influence of the strain amplitude and the plasma treatment regime on damage character is discussed. Surface defects are studied in unloaded and stretched states of the material. As a result of fatigue loading, transverse cracks (with closed overlapping edges as well as with open edges deeply propagating into the polymer and longitudinal folds which are break and bend inward, appear on the surface. Hard edges of cracks cut the soft polymer which is squeezed from the bulk to the surface. The observed damages are related to the high stiffness of the modified surface and its transition to the polymer substrate.

  20. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  1. Surface physics of materials materials science and technology

    CERN Document Server

    Blakely, J M

    2013-01-01

    Surface Physics of Materials presents accounts of the physical properties of solid surfaces. The book contains selected articles that deal with research emphasizing surface properties rather than experimental techniques in the field of surface physics. Topics discussed include transport of matter at surfaces; interaction of atoms and molecules with surfaces; chemical analysis of surfaces; and adhesion and friction. Research workers, teachers and graduate students in surface physics, and materials scientist will find the book highly useful.

  2. Modelling vacuum arcs : from plasma initiation to surface interactions

    International Nuclear Information System (INIS)

    Timko, H.

    2011-01-01

    A better understanding of vacuum arcs is desirable in many of today's 'big science' projects including linear colliders, fusion devices, and satellite systems. For the Compact Linear Collider (CLIC) design, radio-frequency (RF) breakdowns occurring in accelerating cavities influence efficiency optimisation and cost reduction issues. Studying vacuum arcs both theoretically as well as experimentally under well-defined and reproducible direct-current (DC) conditions is the first step towards exploring RF breakdowns. In this thesis, we have studied Cu DC vacuum arcs with a combination of experiments, a particle-in-cell (PIC) model of the arc plasma, and molecular dynamics (MD) simulations of the subsequent surface damaging mechanism. We have also developed the 2D Arc-PIC code and the physics model incorporated in it, especially for the purpose of modelling the plasma initiation in vacuum arcs. Assuming the presence of a field emitter at the cathode initially, we have identified the conditions for plasma formation and have studied the transitions from field emission stage to a fully developed arc. The 'footing' of the plasma is the cathode spot that supplies the arc continuously with particles; the high-density core of the plasma is located above this cathode spot. Our results have shown that once an arc plasma is initiated, and as long as energy is available, the arc is self-maintaining due to the plasma sheath that ensures enhanced field emission and sputtering.The plasma model can already give an estimate on how the time-to-breakdown changes with the neutral evaporation rate, which is yet to be determined by atomistic simulations. Due to the non-linearity of the problem, we have also performed a code-to-code comparison. The reproducibility of plasma behaviour and time-to-breakdown with independent codes increased confidence in the results presented here. Our MD simulations identified high-flux, high-energy ion bombardment as a possible mechanism forming the early

  3. Report of second meeting on the interaction of plasma and the first wall of a fusion reactor

    International Nuclear Information System (INIS)

    Yamashina, Toshiro; Watanabe, Kuniaki; Mori, Mamoru; Tominaga, Goro; Kinbara, Akira.

    1979-10-01

    This report presents various problems on the interaction between plasma and materials. The first half of this report is the reports of international meetings. First topical meeting on fusion reactor materials, IEA-Textor workshop on surface measurements, and sixth international vacuum metallurgy conference on special melting and metallurgical coatings are summarized. The other half of the report is described on the present and future plans of the analysis of material surfaces which are carried out at the laboratories in Japan. The last part of the report introduces the TEXTOR international cooperative study project. (Kato, T.)

  4. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  5. Laser-material interactions: A study of laser energy coupling with solids

    Energy Technology Data Exchange (ETDEWEB)

    Shannon, Mark Alan [Univ. of California, Berkeley, CA (United States)

    1993-11-01

    This study of laser-light interactions with solid materials ranges from low-temperature heating to explosive, plasma-forming reactions. Contained are four works concerning laser-energy coupling: laser (i) heating and (ii) melting monitored using a mirage effect technique, (iii) the mechanical stress-power generated during high-powered laser ablation, and (iv) plasma-shielding. First, a photothermal deflection (PTD) technique is presented for monitoring heat transfer during modulated laser heating of opaque solids that have not undergone phase-change. Of main interest is the physical significance of the shape, magnitude, and phase for the temporal profile of the deflection signal. Considered are the effects that thermophysical properties, boundary conditions, and geometry of the target and optical probe-beam have on the deflection response. PTD is shown to monitor spatial and temporal changes in heat flux leaving the surface due to changes in laser energy coupling. The PTD technique is then extended to detect phase-change at the surface of a solid target. Experimental data shows the onset of melt for indium and tin targets. The conditions for which melt can be detected by PTD is analyzed in terms of geometry, incident power and pulse length, and thermophysical properties of the target and surroundings. Next, monitoring high-powered laser ablation of materials with stress-power is introduced. The motivation for considering stress-power is given, followed by a theoretical discussion of stress-power and how it is determined experimentally. Experiments are presented for the ablation of aluminum targets as a function of energy and intensity. The stress-power response is analyzed for its physical significance. Lastly, the influence of plasma-shielding during high-powered pulsed laser-material interactions is considered. Crater size, emission, and stress-power are measured to determine the role that the gas medium and laser pulse length have on plasma shielding.

  6. Laser-material interactions: A study of laser energy coupling with solids

    International Nuclear Information System (INIS)

    Shannon, M.A.; California Univ., Berkeley, CA

    1993-11-01

    This study of laser-light interactions with solid materials ranges from low-temperature heating to explosive, plasma-forming reactions. Contained are four works concerning laser-energy coupling: laser (i) heating and (ii) melting monitored using a mirage effect technique, (iii) the mechanical stress-power generated during high-powered laser ablation, and (iv) plasma-shielding. First, a photothermal deflection (PTD) technique is presented for monitoring heat transfer during modulated laser heating of opaque solids that have not undergone phase-change. Of main interest is the physical significance of the shape, magnitude, and phase for the temporal profile of the deflection signal. Considered are the effects that thermophysical properties, boundary conditions, and geometry of the target and optical probe-beam have on the deflection response. PTD is shown to monitor spatial and temporal changes in heat flux leaving the surface due to changes in laser energy coupling. The PTD technique is then extended to detect phase-change at the surface of a solid target. Experimental data shows the onset of melt for indium and tin targets. The conditions for which melt can be detected by PTD is analyzed in terms of geometry, incident power and pulse length, and thermophysical properties of the target and surroundings. Next, monitoring high-powered laser ablation of materials with stress-power is introduced. The motivation for considering stress-power is given, followed by a theoretical discussion of stress-power and how it is determined experimentally. Experiments are presented for the ablation of aluminum targets as a function of energy and intensity. The stress-power response is analyzed for its physical significance. Lastly, the influence of plasma-shielding during high-powered pulsed laser-material interactions is considered. Crater size, emission, and stress-power are measured to determine the role that the gas medium and laser pulse length have on plasma shielding

  7. The involvement of proteoglycans in the human plasma prekallikrein interaction with the cell surface.

    Directory of Open Access Journals (Sweden)

    Camila Lopes Veronez

    Full Text Available INTRODUCTION: The aim of this work was to evaluate the role of human plasma prekallikrein assembly and processing in cells and to determine whether proteoglycans, along with high molecular weight kininogen (H-kininogen, influence this interaction. METHODS: We used the endothelial cell line ECV304 and the epithelial cell lines CHO-K1 (wild type and CHO-745 (deficient in proteoglycans. Prekallikrein endocytosis was studied using confocal microscopy, and prekallikrein cleavage/activation was determined by immunoblotting using an antibody directed to the prekallikrein sequence C364TTKTSTR371 and an antibody directed to the entire H-kininogen molecule. RESULTS: At 37°C, prekallikrein endocytosis was assessed in the absence and presence of exogenously applied H-kininogen and found to be 1,418.4±0.010 and 1,070.3±0.001 pixels/cell, respectively, for ECV304 and 1,319.1±0.003 and 631.3±0.001 pixels/cell, respectively, for CHO-K1. No prekallikrein internalization was observed in CHO-745 in either condition. Prekallikrein colocalized with LysoTracker in the absence and presence of exogenous H-kininogen at levels of 76.0% and 88.5%, respectively, for ECV304 and at levels of 40.7% and 57.0%, respectively, for CHO-K1. After assembly on the cell surface, a plasma kallikrein fragment of 53 kDa was predominant in the incubation buffer of all the cell lines studied, indicating specific proteolysis; plasma kallikrein fragments of 48-44 kDa and 34-32 kDa were also detected in the incubation buffer, indicating non-specific cleavage. Bradykinin free H-kininogen internalization was not detected in CHO-K1 or CHO-745 cells at 37°C. CONCLUSION: The prekallikrein interaction with the cell surface is temperature-dependent and independent of exogenously applied H-kininogen, which results in prekallikrein endocytosis promoted by proteoglycans. Prekallikrein proteolysis/activation is influenced by H-kininogen/glycosaminoglycans assembly and controls plasma kallikrein

  8. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    International Nuclear Information System (INIS)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Ikehara, Yuzuru; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki

    2016-01-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O 2 /He or N 2 /He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation. (paper)

  9. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    Science.gov (United States)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki; Ikehara, Yuzuru

    2016-10-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O2/He or N2/He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation.

  10. Development of advanced high heat flux and plasma-facing materials

    Science.gov (United States)

    Linsmeier, Ch.; Rieth, M.; Aktaa, J.; Chikada, T.; Hoffmann, A.; Hoffmann, J.; Houben, A.; Kurishita, H.; Jin, X.; Li, M.; Litnovsky, A.; Matsuo, S.; von Müller, A.; Nikolic, V.; Palacios, T.; Pippan, R.; Qu, D.; Reiser, J.; Riesch, J.; Shikama, T.; Stieglitz, R.; Weber, T.; Wurster, S.; You, J.-H.; Zhou, Z.

    2017-09-01

    Plasma-facing materials and components in a fusion reactor are the interface between the plasma and the material part. The operational conditions in this environment are probably the most challenging parameters for any material: high power loads and large particle and neutron fluxes are simultaneously impinging at their surfaces. To realize fusion in a tokamak or stellarator reactor, given the proven geometries and technological solutions, requires an improvement of the thermo-mechanical capabilities of currently available materials. In its first part this article describes the requirements and needs for new, advanced materials for the plasma-facing components. Starting points are capabilities and limitations of tungsten-based alloys and structurally stabilized materials. Furthermore, material requirements from the fusion-specific loading scenarios of a divertor in a water-cooled configuration are described, defining directions for the material development. Finally, safety requirements for a fusion reactor with its specific accident scenarios and their potential environmental impact lead to the definition of inherently passive materials, avoiding release of radioactive material through intrinsic material properties. The second part of this article demonstrates current material development lines answering the fusion-specific requirements for high heat flux materials. New composite materials, in particular fiber-reinforced and laminated structures, as well as mechanically alloyed tungsten materials, allow the extension of the thermo-mechanical operation space towards regions of extreme steady-state and transient loads. Self-passivating tungsten alloys, demonstrating favorable tungsten-like plasma-wall interaction behavior under normal operation conditions, are an intrinsic solution to otherwise catastrophic consequences of loss-of-coolant and air ingress events in a fusion reactor. Permeation barrier layers avoid the escape of tritium into structural and cooling

  11. Hydrogen Pellet-Rotating Plasma Interaction

    DEFF Research Database (Denmark)

    Jørgensen, L. W.; Sillesen, Alfred Hegaard; Øster, Flemming

    1977-01-01

    Spectroscopic measurements on the interaction between solid hydrogen pellets and rotating plasmas are reported. It was found that the light emitted is specific to the pellet material, and that the velocity of the ablated H-atoms is of the order of l0^4 m/s. The investigation was carried out...

  12. Plasma transferred arc surface modification of atmospheric plasma sprayed ceramic coatings

    Energy Technology Data Exchange (ETDEWEB)

    Ulutan, Mustafa; Kilicay, Koray; Kaya, Esad; Bayar, Ismail [Dept. of Mechanical Engineering, Eskisehir Osmangazi University, Eskisehir (Turkmenistan)

    2016-08-15

    In this study, a 90MnCrV8 steel surface was coated with aluminum oxide and chromium oxide powders through the Atmospheric plasma spray (APS) and Plasma transferred arc (PTA) methods. The effects of PTA surface melting on the microstructure, hardness, and wear behavior were investigated. The microstructures of plasma-sprayed and modified layers were characterized by Optical microscopy (OM), Scanning electron microscopy (SEM) and Energy dispersive X-ray spectroscopy (EDS). The dry-sliding wear properties of the samples were determined through the ball-on-disk wear test method. Voids, cracks, and nonhomogeneous regions were observed in the microstructure of the APS ceramic-coated surface. These microstructure defects were eliminated by the PTA welding process. The microhardness of the samples was increased. Significant reductions in wear rate were observed after the PTA surface modification. The wear resistance of ceramic coatings increased 7 to 12 times compared to that of the substrate material.

  13. Correlation between Fe–V–C alloys surface hardness and plasma temperature via LIBS technique

    Energy Technology Data Exchange (ETDEWEB)

    Messaoud Aberkane, S., E-mail: smessaoud@cdta.dz [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Bendib, A. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Yahiaoui, K.; Boudjemai, S.; Abdelli-Messaci, S.; Kerdja, T. [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Amara, S.E. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Harith, M.A. [National Institute of Laser Enhanced Science, Cairo University (Egypt)

    2014-05-01

    Highlights: • New application of LIBS in industry. • Hardness of metallic alloys estimation using LIBS calibration curves. • Linear correlation between the plasma temperature and the hardness of metallic alloys. • The shock wave is fast when the material is hard. - Abstract: Surface hardness is a very important characteristic of metals. Its monitoring plays a key role in industry. In the present paper, using laser induced breakdown spectroscopy (LIBS), Fe–V{sub 18%}–C{sub 1%} alloys with different heat treatments have been used for making the correlation between surface hardness and laser-induced plasma temperatures. All investigated samples were characterized by the same ferrite phase with different Vickers surface hardnesses. The differences in hardness values were attributed to the crystallite size changes. A linear relationship has been obtained between the Vickers surface hardness and the laser induced plasma temperature. For comparison the relation between surface hardness and the ratio of the vanadium ionic to atomic spectral lines intensities (VII/VI) provided good linear results too. However, adopting the proposed approach of using the plasma temperature, instead, is more reliable in view of the difficulties that could be encountered in choosing the proper ionic and atomic spectral lines. To validate this approach we have investigated the shock wave speed induced by laser interaction with the used samples. It was found that harder is the material faster is the shock wave. The determination of the surface hardness via measuring T{sub e} shows the feasibility of using LIBS as an easy and reliable method for in situ industrial application for production control.

  14. Installation of a Plasmatron at the Belgian Nuclear Research Centre and its Use for Plasma-Wall Interaction Studies

    International Nuclear Information System (INIS)

    Uytdenhouwen, I.; Schuurmans, J.; Decreton, M.; Massaut, V.; Oost, G. van

    2008-01-01

    In JET and ITER, the first wall will be covered by beryllium and a full or partial W divertor will be common. In DEMO, only high-Z, low erosion material such as tungsten will be present as a plasma facing material. In present day tokamaks, the very high fluence/low temperature plasma cannot be obtained. Important key issues to be resolved according to plasma wall interaction studies are the tritium retention, dust production, resilience to large steady-state fluences, transient loads, surface erosion, material redeposition and neutron damage. Some linear plasma simulators come close to the very high fluences expected in ITER and DEMO such as PSI -2; PISCES-B; NAGDIS-II and pilot-PSI. In future the larger device MAGNUM-PSI will have even higher fluences and lower temperatures for large scale components. The plasmatron facility VISION I to be installed in Mol, will have the capability to investigate mixed materials (with beryllium/tritium contaminations) and in the long term neutron activated samples. The ETHEL plasmatron VISION I from JRC-Ispra was transferred to SCKCEN (Mol, Belgium) recently. The equipment is meant to study plasma-wall interaction, in particular the interaction with hydrogen isotopes. The facility is capable to produce relatively cold self-sustained volumetric plasmas with a high plasma flux density at the target of about 10 20 -10 21 ions/m 2 .s. The plasmatron has a volume of 18 litres, a target diameter of ∼25 cm and modular ion energies in the range of 20-500 eV

  15. Erosion of pyrolytic carbon under high surface energy deposition from a pulsed hydrogen plasma

    International Nuclear Information System (INIS)

    Bolt, H.

    1992-01-01

    Carbon materials are widely applied as plasma facing materials in nuclear fusion devices and are also the prime candidate materials for the next generation of experimental fusion reactors. During operation these materials are frequently subjected to high energy deposition from plasma disruptions. The erosion of carbon materials is regarded as the main issue governing the operational lifetime of plasma facing components. Laboratory experiments have been performed to study the thermal erosion behaviour of carbon in a plasma environment. In the experiments the surface of pyrolytic carbon specimens was exposed to pulsed energy deposition of up to 3.8 MJ m -2 from a hydrogen plasma. The behaviour of the eroded carbon species in the plasma was measured by time-resolved and space-resolved spectroscopy. Intense line radiation of ionic carbon has been measured in the plasma in front of the carbon surface. The results show that the eroded carbon is immediately ionised in the vicinity of the material surface, with a fraction of it being ionised to the double-charged state. (Author)

  16. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  17. PREFACE: 2nd International Meeting for Researchers in Materials and Plasma Technology

    Science.gov (United States)

    Niño, Ely Dannier V.

    2013-11-01

    These proceedings present the written contributions of the participants of the 2nd International Meeting for Researchers in Materials and Plasma Technology, 2nd IMRMPT, which was held from February 27 to March 2, 2013 at the Pontificia Bolivariana Bucaramanga-UPB and Santander and Industrial - UIS Universities, Bucaramanga, Colombia, organized by research groups from GINTEP-UPB, FITEK-UIS. The IMRMPT, was the second version of biennial meetings that began in 2011. The three-day scientific program of the 2nd IMRMPT consisted in 14 Magisterial Conferences, 42 Oral Presentations and 48 Poster Presentations, with the participation of undergraduate and graduate students, professors, researchers and entrepreneurs from Colombia, Russia, France, Venezuela, Brazil, Uruguay, Argentina, Peru, Mexico, United States, among others. Moreover, the objective of IMRMPT was to bring together national and international researchers in order to establish scientific cooperation in the field of materials science and plasma technology; introduce new techniques of surface treatment of materials to improve properties of metals in terms of the deterioration due to corrosion, hydrogen embrittlement, abrasion, hardness, among others; and establish cooperation agreements between universities and industry. The topics covered in the 2nd IMRMPT include New Materials, Surface Physics, Laser and Hybrid Processes, Characterization of Materials, Thin Films and Nanomaterials, Surface Hardening Processes, Wear and Corrosion / Oxidation, Modeling, Simulation and Diagnostics, Plasma Applications and Technologies, Biomedical Coatings and Surface Treatments, Non Destructive Evaluation and Online Process Control, Surface Modification (Ion Implantation, Ion Nitriding, PVD, CVD). The editors hope that those interested in the are of materials science and plasma technology, enjoy the reading that reflect a wide range of topics. It is a pleasure to thank the sponsors and all the participants and contributors for

  18. Theory and models of material erosion and lifetime during plasma instabilities in a tokamak environment

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1999-01-01

    Surface and structural damage to plasma-facing components (PFCs) due to the frequent loss of plasma confinement remains a serious problem for the tokamak reactor concept. The deposited plasma energy causes significant surface erosion, possible structural failure, and frequent plasma contamination. Surface damage consists of vaporization, spallation, and liquid splatter of metallic materials. Structural damage includes large temperature increases in structural materials and at the interfaces between surface coatings and structural members. To evaluate the lifetimes of plasma-facing materials and nearby components and to predict the various forms of damage that they experience, comprehensive models (contained in the HEIGHTS computer simulation package) are developed, integrated self-consistently, and enhanced. Splashing mechanisms such as bubble boiling and various liquid magnetohydrodynamic instabilities and brittle destruction mechanisms of nonmelting materials are being examined. The design requirements and implications of plasma-facing and nearby components are discussed, along with recommendations to mitigate and reduce the effects of plasma instabilities on reactor components

  19. Surface modification of hydrophobic polymers for improvement of endothelial cell-surface interactions

    NARCIS (Netherlands)

    Dekker, A.; Dekker, A.; Reitsma, K.; Beugeling, T.; Beugeling, T.; Bantjes, A.; Bantjes, A.; Feijen, Jan; Kirkpatrick, C.J.; van Aken, W.G.

    1992-01-01

    The aim of this study is to improve the interaction of endothelial cells with polymers used in vascular prostheses. Polytetrafluoroethylene (PTFE; Teflon) films were treated by means of nitrogen and oxygen plasmas. Depending on the plasma exposure time, modified PTFE surfaces showed water-contact

  20. Plasma-wall interactions data compendium-1. ''Hydrogen retention property, diffusion and recombination coefficients database for selected plasma-facing materials''

    Energy Technology Data Exchange (ETDEWEB)

    Iwakiri, Hirotomo [Kyushu Univ., Fukuoka (Japan). Research Inst. for Applied Mechanics; Matsuhiro, Kenjirou [Osaka Univ., Osaka (Japan); Hirooka, Yoshi [National Inst. for Fusion Science, Toki, Gifu (Japan); Yamamura, Yasunori [Okayama Univ. of Scinece, Okayama (Japan)

    2002-05-01

    A summary on the recent activities of the plasma-wall interactions database task group at the National Institute for Fusion Science is presented in this report. These activities are focused on the compilation of literature data on the key parameters related to wall recycling characteristics that affect dynamic particle balance during plasma discharges and also on-site tritium inventory. More specifically, in this task group a universal fitting formula has been proposed and successfully applied to help compile hydrogen implantation-induced retention data. Also, presented here are the data on hydrogen diffusion and surface recombination coefficients, both critical in modeling dynamic wall recycling behavior. Data compilation has been conducted on beryllium, carbon, tungsten and molybdenum, all currently used for plasma-facing components in magnetic fusion experiments. (author)

  1. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  3. Nanoscale control of energy and matter in plasma-surface interactions: Toward energy- and matter-efficient nanotech

    International Nuclear Information System (INIS)

    Ostrikov, K.

    2011-01-01

    The approach to control the elementary processes of plasma-surface interactions to direct the fluxes of energy and matter at nano- and subnanometer scales is introduced. This ability is related to the solution of the grand challenge of directing energy and matter at nanoscales and is critical for the renewable energy and energy-efficient technologies for a sustainable future development. The examples of deterministic synthesis of self-organized arrays of metastable nanostructures in the size range beyond the reach of the present-day nanofabrication are considered to illustrate this possibility. By using precisely controlled and kinetically fast nanoscale transfer of energy and matter under nonequilibrium conditions and harnessing numerous plasma-specific controls of species creation, delivery to the surface, nucleation, and large-scale self-organization of nuclei and nanostructures, the arrays of metastable nanostructures can be created, arranged, stabilized, and further processed to meet the specific requirements of the envisaged applications.

  4. Plasma hot machining for difficult-to-cut materials, 1

    International Nuclear Information System (INIS)

    Kitagawa, Takeaki; Maekawa, Katsuhiro; Kubo, Akihiko

    1987-01-01

    Machinability of difficult-to-cut materials has been a great concern to manufacturing engineers since demands for new materials in the aerospace and nuclear industries are more and more increasing. The purpose of this study is to develop a hot machining to improve machinability of high hardness materials. A plasma arc is used for heating materials cut. The surface just after being heated is removed as a chip by tungsten carbide tools. The turning experiments of high hardness steels with aid of plasma arc heating show not only the decrease in cutting forces but also the following effectiveness: (1) The application of the plasma hot machining to the condition, under which a built-up edge (BUE) appears in turning 0.46%C steel, makes the BUE disappeared, bringing less flank wear. (2) In the case of 18%Mn steel cutting, deep groove wear on the end-cutting edge diminishes, and roughness of the machined surface is improved by the prevention from chatter. (3) Although the chilled cast iron has high hardness of above HB = 350, the plasma hot machining makes it possible to cut it with tungsten carbide tools having less chipping and flank wear. (author)

  5. Radiation in plasma target interaction events typical for ITER tokamak disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Bazylev, B.; Landman, I.; Safronov, V.

    1996-01-01

    Plasma wall interactions under conditions simulating ITER hard disruptions and ELMs are studied at the plasma gun facilities 2MK-200 CUSP and MK-200 UG at Troitsk. The experimental data for carbon plasma shields are used for validation of the theoretical modeling of the plasma wall interaction. The important features of the non-LTE plasma shield such as temperature and density distribution, its evolution and the conversion efficiency of the energy of the plasma stream into total and soft x-ray radiation from highly ionized evaporated target material and the energy balance are reproduced quite well. Thus a realistic modelling of ITER disruptive plasma wall interaction using the validated models is now possible. 8 refs., 6 figs

  6. The cathode material for a plasma-arc heater

    Science.gov (United States)

    Yelyutin, A. V.; Berlin, I. K.; Averyanov, V. V.; Kadyshevskii, V. S.; Savchenko, A. A.; Putintseva, R. G.

    1983-11-01

    The cathode of a plasma arc heater experiences a large thermal load. The temperature of its working surface, which is in contact with the plasma, reaches high values, as a result of which the electrode material is subject to erosion. Refractory metals are usually employed for the cathode material, but because of the severe erosion do not usually have a long working life. The most important electrophysical characteristic of the electrode is the electron work function. The use of materials with a low electron work function allows a decrease in the heat flow to the cathode, and this leads to an increase in its erosion resistance and working life. The electroerosion of certain materials employed for the cathode in an electric arc plasma generator in the process of reduction smelting of refractory metals was studied.

  7. Two dimensional simulation of high power laser-surface interaction

    International Nuclear Information System (INIS)

    Goldman, S.R.; Wilke, M.D.; Green, R.E.L.; Johnson, R.P.; Busch, G.E.

    1998-01-01

    For laser intensities in the range of 10 8 --10 9 W/cm 2 , and pulse lengths of order 10 microsec or longer, the authors have modified the inertial confinement fusion code Lasnex to simulate gaseous and some dense material aspects of the laser-matter interaction. The unique aspect of their treatment consists of an ablation model which defines a dense material-vapor interface and then calculates the mass flow across this interface. The model treats the dense material as a rigid two-dimensional mass and heat reservoir suppressing all hydrodynamic motion in the dense material. The computer simulations and additional post-processors provide predictions for measurements including impulse given to the target, pressures at the target interface, electron temperatures and densities in the vapor-plasma plume region, and emission of radiation from the target. The authors will present an analysis of some relatively well diagnosed experiments which have been useful in developing their modeling. The simulations match experimentally obtained target impulses, pressures at the target surface inside the laser spot, and radiation emission from the target to within about 20%. Hence their simulational technique appears to form a useful basis for further investigation of laser-surface interaction in this intensity, pulse-width range. This work is useful in many technical areas such as materials processing

  8. Application of pulsed plasma streams for materials alloying and coatings modification

    International Nuclear Information System (INIS)

    Byrka, O.V.; Bandura, A.N.; Chebotarev, V.V.; Sadowski, M.J.; Langner, J.

    2002-01-01

    Results of pulsed plasma streams processing of material surfaces with previously deposited FeB and TiAlN coatings are presented. Under the plasma treatment intensive mixing the materials of coating with the material of substrate was achieved.In the first case this provided boronizing of the modified layer with aim of corrosion properties improvement,in the second case-formation of intermediate mixed layer for subsequent deposition of the hard alloyed coatings. Materials alloying with pulsed metal-gas plasma is discussed also

  9. Final IAEA research coordination meeting on plasma-interaction induced erosion of fusion reactor materials. October 9-11, 1995, Vienna, Austria. Summary report

    International Nuclear Information System (INIS)

    Langley, R.A.

    1995-12-01

    The proceedings and results of the Final IAEA Research Coordination Meeting on ''Plasma-interaction Induced Erosion of Fusion Reactor Materials'' held on October 9, 10 and 11, 1995 at the IAEA Headquarters in Vienna are briefly described. This report includes a summary of presentations made by the meeting participants, the results of a data survey and needs assessment for the erosion of plasma facing components and in-vessel materials, and recommendations regarding future work. (author). Refs, figs, tabs

  10. Sensitivity of the Boundary Plasma to the Plasma-Material Interface

    International Nuclear Information System (INIS)

    Canik, John M.; Tang, X.-Z.

    2017-01-01

    While the sensitivity of the scrape-off layer and divertor plasma to the highly uncertain cross-field transport assumptions is widely recognized, the plasma is also sensitive to the details of the plasma-material interface (PMI) models used as part of comprehensive predictive simulations. Here in this paper, these PMI sensitivities are studied by varying the relevant sub-models within the SOLPS plasma transport code. Two aspects are explored: the sheath model used as a boundary condition in SOLPS, and fast particle reflection rates for ions impinging on a material surface. Both of these have been the study of recent high-fidelity simulation efforts aimed at improving the understanding and prediction of these phenomena. It is found that in both cases quantitative changes to the plasma solution result from modification of the PMI model, with a larger impact in the case of the reflection coefficient variation. Finally, this indicates the necessity to better quantify the uncertainties within the PMI models themselves, and perform thorough sensitivity analysis to propagate these throughout the boundary model; this is especially important for validation against experiment, where the error in the simulation is a critical and less-studied piece of the code-experiment comparison.

  11. Stem cell responses to plasma surface modified electrospun polyurethane scaffolds.

    Science.gov (United States)

    Zandén, Carl; Hellström Erkenstam, Nina; Padel, Thomas; Wittgenstein, Julia; Liu, Johan; Kuhn, H Georg

    2014-07-01

    The topographical effects from functional materials on stem cell behavior are currently of interest in tissue engineering and regenerative medicine. Here we investigate the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell (hESC) and rat postnatal neural stem cell (NSC) responses. The plasma gases were found to induce three combinations of fiber surface functionalities and roughness textures. On randomly oriented fibers, plasma treatments lead to substantially increased hESC attachment and proliferation as compared to native fibers. Argon plasma was found to induce the most optimal combination of surface functionality and roughness for cell expansion. Contact guided migration of cells and alignment of cell processes were observed on aligned fibers. Neuronal differentiation around 5% was found for all samples and was not significantly affected by the induced variations of surface functional group distribution or individual fiber topography. In this study the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell and rat postnatal neural stem cell (NSC) responses is studied with the goal of clarifying the potential effects of functional materials on stem cell behavior, a topic of substantial interest in tissue engineering and regenerative medicine. Copyright © 2014 Elsevier Inc. All rights reserved.

  12. Interaction of dense nitrogen plasma with SS304 surface using APF plasma focus device

    Science.gov (United States)

    Afrashteh, M.; Habibi, M.; Heydari, E.

    2012-04-01

    The nitridation of SS304 surfaces is obtained by irradiating nitrogen ions from Amirkabir plasma focus device, which use multiple focus deposition shots at optimum distance 10 cm from the anode. The Vickers Micro-Hardness values are improved more than twice for the nitrided samples comparing to the nonnitrided ones. The X-ray diffraction (XRD) analysis is carried out in order to explore the phase changes in the near surface structure of the metals. The results of Scanning Electron Microscopy (SEM) indicate changes in surface morphology which are the emergence of smooth and uniform film on the surface of the nitrided metals.

  13. Interactions of planetary magnetospheres with icy satellite surfaces

    International Nuclear Information System (INIS)

    Cheng, A.F.; Haff, P.K.; Johnson, R.E.; Lanzerotti, L.J.

    1986-01-01

    When natural satellites and ring particles are embedded within magnetospheric plasmas, the charged particles interact with the surfaces of these solid bodies. These interactions have important implications for the surface, the atmosphere of the parent body, and the magnetosphere as a whole. Significant erosion of the surface by sputtering, as well as redeposition of sputter ejecta, can occur over geologic time. The surface can also be chemically modified. Sputter ejecta can make important contributions to the atmosphere; sputtering provides a lower limit to the atmospheric column density even for arbitrarily cold satellite surfaces. Sputter ejecta escaping from the parent body can form extensive neutral clouds within the magnetosphere. Ionization and dissociation within these neutral clouds can be dominant sources of low-energy plasma. The importance of these processes is discussed for the satellites and magnetospheres of Jupiter, Saturn and Uranus

  14. Bacteria-surface interactions.

    Science.gov (United States)

    Tuson, Hannah H; Weibel, Douglas B

    2013-05-14

    The interaction of bacteria with surfaces has important implications in a range of areas, including bioenergy, biofouling, biofilm formation, and the infection of plants and animals. Many of the interactions of bacteria with surfaces produce changes in the expression of genes that influence cell morphology and behavior, including genes essential for motility and surface attachment. Despite the attention that these phenotypes have garnered, the bacterial systems used for sensing and responding to surfaces are still not well understood. An understanding of these mechanisms will guide the development of new classes of materials that inhibit and promote cell growth, and complement studies of the physiology of bacteria in contact with surfaces. Recent studies from a range of fields in science and engineering are poised to guide future investigations in this area. This review summarizes recent studies on bacteria-surface interactions, discusses mechanisms of surface sensing and consequences of cell attachment, provides an overview of surfaces that have been used in bacterial studies, and highlights unanswered questions in this field.

  15. An experiment on the dynamics of ion implantation and sputtering of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B. [Plasma Science and Fusion Center, MIT, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States)

    2014-02-15

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  16. An experiment on the dynamics of ion implantation and sputtering of surfaces

    International Nuclear Information System (INIS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-01-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface

  17. Division of Development and Technology Plasma/Materials Interaction and High Heat Flux Materials and Components Task Groups: Report on the joint meeting, July 9, 1986

    International Nuclear Information System (INIS)

    Watson, R.D.

    1986-09-01

    This paper contains a collection of viewgraphs from a joint meeting of the Division of Development and Technology Plasma/Materials Interaction and High Heat Flux Materials and Components Task Groups. A list of contributing topics is: PPPL update, ATF update, Los Alamos RFP program update, status of DIII-D, PMI graphite studies at ORNL, PMI studies for low atomic number materials, high heat flux materials issues, high heat flux testing program, particle confinement in tokamaks, helium self pumping, self-regenerating coatings technical planning activity and international collaboration update

  18. Study of plasma-wall interactions in Tore-supra; Etude des phenomenes d'interaction plasma/paroi dans Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Ruggieri, R

    2000-01-01

    In tokamaks the interaction between wall and plasma generates impurities that affect the thermonuclear fusion. This thesis is divided into 2 parts. The first part describes the physico-chemical processes that are involved in chemical erosion, the second part deals with the study of the wear of Tore-supra's walls due to chemical erosion. Chapter 1 presents the wall-plasma interaction and reviews the different processes between plasma and carbon that occur in Tore-supra. Chapter 2 considers the various crystallographic and electronic structures of the carbon that interferes with Tore-supra plasma, the evolution of these structures during irradiation and their temperature dependence are studied. Chapter 3 presents a crystallo-chemical study of graphite samples that have undergone different surface treatments: ionic bombardment, annealing and air exposure. This experimental study has been performed by using energy-loss spectroscopy. It is shown that air exposure modifies the crystallo-chemical structure of surfaces, so it is necessary to prevent air from contaminating wall samples from Tore-supra. Chapter 4 presents a parametric study of chemical erosion rate of plasma facing components (LPM) of Tore-supra. A relation such as Y{sub cd4}{alpha}{gamma}{sup -0.1} gives a good agreement for chemical erosion rate between measurements and the numerical values of the simulation. (A.C.)

  19. Interaction of SF6 and O2 plasma with porous poly phenyl methyl silsesquioxane low-κ films

    International Nuclear Information System (INIS)

    Cherunilam, J F; Rajani, K V; Daniels, S; Byrne, C; Heise, A; McNally, P J

    2015-01-01

    A reduction in the κ-value of dielectric materials is of great interest today as it leads to the reduction of resistance–capacitance delays and parasitic capacitances within integrated circuits, thereby improving device performance. We have recently reported our studies on the great potential of the Poly phenyl methyl silsesquioxane (PMSQ) low-κ films (κ = 2.7  ±  0.2) for interlayer dielectric applications. Here we report on the deposition and characterisation of porous PMSQ thin films using Heptakis (2,3,6-tri-O-methyl)-β-cyclodextrin as the porogen. A reduction in the κ-value of the films was achieved as a function of the increase in porogen loading in the film. The removal of the thermally liable porogen material from the hybrid films was studied using thermogravimetric analysis (TGA) and Fourier transform infrared spectroscopy (FTIR). The change in density as a function of the porosity was studied using x-ray reflectivity techniques. The interaction of the films with pure SF 6 and O 2 plasmas was studied and the surface modification that occurs in the films as a result of the interaction was studied using FTIR and x-ray photoelectron spectroscopy. A change in the κ-value of the films was observed after plasma treatment which is attributed to the chemical modification of the film surface due to plasma interaction. (paper)

  20. Plasma-wall interaction data needs critical to a Burning Core Experiment (BCX)

    International Nuclear Information System (INIS)

    1985-11-01

    The Division of Development and Technology has sponsored a four day US-Japan workshop ''Plasma-Wall Interaction Data Needs Critical to a Burning Core Experiment (BCX)'', held at Sandia National Laboratories, Livermore, California on June 24 to 27, 1985. The workshop, which brought together fifty scientists and engineers from the United States, Japan, Germany, and Canada, considered the plasma-material interaction and high heat flux (PMI/HHF) issues for the next generation of magnetic fusion energy devices, the Burning Core Experiment (BCX). Materials options were ranked, and a strategy for future PMI/HHF research was formulated. The foundation for international collaboration and coordination of this research was also established. This volume contains the first two of the five technical sessions. The first one being the BCX overview, the second on the BCX candidate materials. The remaining three sessions in volume two are on the plasma materials interaction issues, research facilities and small working group meeting on graphite, beryllium, advanced materials and future collaborations

  1. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  2. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    International Nuclear Information System (INIS)

    Hicks, Robert F.; Herrmann, Hans W.

    2003-01-01

    The objective of this work is to demonstrate a practical, atmospheric pressure plasma tool for the surface decontamination of radioactive waste. Decontamination of radioactive materials that have accumulated on the surfaces of equipment and structures is a challenging and costly undertaking for the US Department of Energy. Our technology shows great potential for accelerating this clean up effort

  3. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  4. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  5. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  6. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  7. Development and evaluation of plasma facing materials for future thermonuclear fusion reactors

    International Nuclear Information System (INIS)

    Linke, J.; Pintsuk, G.; Roedig, M.; Schmidt, A.; Thomser, C.

    2010-01-01

    sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. To test and to demonstrate the acceptability of plasma facing materials and components special high heat flux test facilities based on intense ion or electron beams are being used routinely to demonstrate the heat removal efficiency and the lifetime under fusion specific loading conditions. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm -2 are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions. Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone; the time scale of these events is typically in the order of 1 ms. As a consequence, thermal shock induced crack formation, vaporization, surface melting and droplet ejection as well as particle emission induced by brittle destruction processes will limit the lifetime of the components. This is also valid for instabilities in the plasma positioning (vertical displacement events) which cause irreversible damage to plasma facing components, particularly to the metallic wall armour. Moreover, dust particles (neutron activated or toxic metals or tritium enriched carbon) are a serious concern from a safety point of view. In order to investigate the thermally induced plasma wall interaction under fusion specific thermal loads, high heat flux simulation tests are performed in electron or ion beam test facilities as well as in quasi stationary plasma devices. These experiments cover thermal fatigue loads and/or thermal shock tests with relevant operational loading conditions. Furthermore, the wall bombardment

  8. Development and evaluation of plasma facing materials for future thermonuclear fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J.; Pintsuk, G.; Roedig, M.; Schmidt, A.; Thomser, C. [Forschungszentrum Juelich GmbH, EURATOM Association, Juelich (Germany)

    2010-07-01

    and heat sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. To test and to demonstrate the acceptability of plasma facing materials and components special high heat flux test facilities based on intense ion or electron beams are being used routinely to demonstrate the heat removal efficiency and the lifetime under fusion specific loading conditions. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm{sup -2} are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions. Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone; the time scale of these events is typically in the order of 1 ms. As a consequence, thermal shock induced crack formation, vaporization, surface melting and droplet ejection as well as particle emission induced by brittle destruction processes will limit the lifetime of the components. This is also valid for instabilities in the plasma positioning (vertical displacement events) which cause irreversible damage to plasma facing components, particularly to the metallic wall armour. Moreover, dust particles (neutron activated or toxic metals or tritium enriched carbon) are a serious concern from a safety point of view. In order to investigate the thermally induced plasma wall interaction under fusion specific thermal loads, high heat flux simulation tests are performed in electron or ion beam test facilities as well as in quasi stationary plasma devices. These experiments cover thermal fatigue loads and/or thermal shock tests with relevant operational loading conditions. Furthermore, the

  9. Dust-Plasma Interactions

    International Nuclear Information System (INIS)

    Rosenberg, Marelene

    2005-01-01

    Our theoretical research on dust-plasma interactions has concentrated on three main areas: (a)studies of grain charging and applications; (b) waves and instabilities in weakly correlated dusty plasma with applications to space and laboratory plasmas; (c) waves in strongly coupled dusty plasmas.

  10. Ground Simulations of Near-Surface Plasma Field and Charging at the Lunar Terminator

    Science.gov (United States)

    Polansky, J.; Ding, N.; Wang, J.; Craven, P.; Schneider, T.; Vaughn, J.

    2012-12-01

    Charging in the lunar terminator region is the most complex and is still not well understood. In this region, the surface potential is sensitively influenced by both solar illumination and plasma flow. The combined effects from localized shadow generated by low sun elevation angles and localized wake generated by plasma flow over the rugged terrain can generate strongly differentially charged surfaces. Few models currently exist that can accurately resolve the combined effects of plasma flow and solar illumination over realistic lunar terminator topographies. This paper presents an experimental investigation of lunar surface charging at the terminator region in simulated plasma environments in a vacuum chamber. The solar wind plasma flow is simulated using an electron bombardment gridded Argon ion source. An electrostatic Langmuir probe, nude Faraday probes, a floating emissive probe, and retarding potential analyzer are used to quantify the plasma flow field. Surface potentials of both conducting and dielectric materials immersed in the plasma flow are measured with a Trek surface potential probe. The conducting material surface potential will simultaneously be measured with a high impedance voltmeter to calibrate the Trek probe. Measurement results will be presented for flat surfaces and objects-on-surface for various angles of attack of the plasma flow. The implications on the generation of localized plasma wake and surface charging at the lunar terminator will be discussed. (This research is supported by the NASA Lunar Advanced Science and Exploration Research program.)

  11. Application of electrochemical plasma techniques in surface engineering of iron based structural materials

    International Nuclear Information System (INIS)

    Coaca, E.; Rusu, O.; Mihalache, M.; Minca, M.; Tacica, M.; Florea, S.; Oncioiu, G.; Andrei, V.

    2013-01-01

    The surface of austenitic stainless steels 304 L and 316 L was modified by various complex surface treatments: - plasma electrolytic carbo-nitriding by means of Plasma electrolytic saturation (PES); the saturation of cathodic surfaces with C, N was performed using suitable electrolytes (aqueous solutions of inorganic acids, appropriate salts containing the desired elements and certain organic compounds); -electrodeposition of Al from ChCl based Ionic Liquid. The coatings obtained in various experimental conditions have been investigated by means of electron spectroscopy, scanning electron microscopy, energy dispersion x-ray spectrometry, electrochemical techniques, and the properties of the thin films have been correlated with the microstructure and the composition of the surface layers which are strongly dependents of the different regimes of diffusion treatments. The preliminary results on Electrochemical Plasma Technology (EPT) treatments demonstrate that we can select the processing parameters for essential improvement of corrosion behaviour in some aggressive medium and high values of microhardness. (authors)

  12. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  13. Integrated Predictive Models for ICRF-Edge Plasma Interactions

    International Nuclear Information System (INIS)

    Daniel A. D'Ippolito

    2005-01-01

    The coupling of radiofrequency waves to the edge plasma of a fusion device produces strong nonlinear interactions with the plasma and surrounding material walls which must be controlled in order to protect the antenna and to obtain efficient heating of the core plasma. The goal of the STTR project was to develop the first quantitative numerical simulation of this problem. This report describes the results of the Phase I work by Lodestar and ORNL on this project

  14. Surface-wave endash particle interactions in a cylindrical plasma submitted to a static magnetic field

    International Nuclear Information System (INIS)

    Dengra, A.

    1997-01-01

    A new theoretical model for the study of the surface-wave endash particle interactions in a plasma column in the presence of a constant external magnetic field has been developed. The model is based on the linear resolution of the Vlasov equation by the method of characteristics, with the specular reflection hypothesis at the wall. The expression obtained for the rate of increase of kinetic energy per electron permits the analysis of the influence of the critical parameters in this transference process. copyright 1997 American Institute of Physics

  15. Runaway-electron-materials interaction studies

    International Nuclear Information System (INIS)

    Bolt, H.; Miyahara, A.

    1990-03-01

    During the operation of magnetic fusion devices it has been frequently observed that runaway electrons can cause severe damage to plasma facing components. The energy of the runaway electrons could possibly reach several 100 MeV in a next generation device with an energy content in the plasma in the order of 100 MJ. In this study effects of high energy electron - materials interaction were determined by laboratory experiments using particle beam facilities, i.e. the Electron Linear Accelerator of the Institute of Scientific and Industrial Research of Osaka University and the 10 MW Neutral Beam Injection Test Stand of the National Institute for Fusion Science. The experiments and further analyses lead to a first assessment of the damage thresholds of plasma facing materials and components under runaway electron impact. It was found that metals (stainless steel, molybdenum, tungsten) showed grain growth, crack formation and/or melting already below the threshold for crack initiation on graphite (14-33 MJ/m 2 ). Strong erosion of carbon materials would occur above 100 MJ/m 2 . Damage to metal coolant channels can occur already below an energy deposition of 100 MJ/m 2 . The energy deposited in the metal coolant channels depends on the thickness of the plasma facing carbon material D, with the shielding efficiency S of carbon approximately as S∼D 1.15 . (author) 304 refs. 12 tabs. 59 figs

  16. Plasma-wall interaction data needs critical to a Burning Core Experiment (BCX)

    International Nuclear Information System (INIS)

    1985-11-01

    The Division of Development and Technology has sponsored a four day US-Japan workshop ''Plasma-Wall Interaction Data Needs Critical to a Burning Core Experiment (BCX)'', held at Sandia National Laboratories, Livermore, California on June 24 to 27, 1985. The workshop, which brought together fifty scientists and engineers from the United States, Japan, Germany, and Canada, considered the plasma-material interaction and high heat flux (PMI/HHF) issues for the next generation of magnetic fusion energy devices, the Burning Core Experiment (BCX). Materials options were ranked, and a strategy for future PMI/HHF research was formulated. The foundation for international collaboration and coordination of this research was also established. This volume contains the last three of the five technical sessions. The first of the three is on plasma materials interaction issues, the second is on research facilities and the third is from smaller working group meetings on graphite, beryllium, advanced materials and future collaborations

  17. Plasma-wall interaction data needs critical to a Burning Core Experiment (BCX)

    Energy Technology Data Exchange (ETDEWEB)

    1985-11-01

    The Division of Development and Technology has sponsored a four day US-Japan workshop ''Plasma-Wall Interaction Data Needs Critical to a Burning Core Experiment (BCX)'', held at Sandia National Laboratories, Livermore, California on June 24 to 27, 1985. The workshop, which brought together fifty scientists and engineers from the United States, Japan, Germany, and Canada, considered the plasma-material interaction and high heat flux (PMI/HHF) issues for the next generation of magnetic fusion energy devices, the Burning Core Experiment (BCX). Materials options were ranked, and a strategy for future PMI/HHF research was formulated. The foundation for international collaboration and coordination of this research was also established. This volume contains the last three of the five technical sessions. The first of the three is on plasma materials interaction issues, the second is on research facilities and the third is from smaller working group meetings on graphite, beryllium, advanced materials and future collaborations.

  18. Plasma interaction with liquid lithium: Measurements of retention and erosion

    Energy Technology Data Exchange (ETDEWEB)

    Baldwin, M.J. E-mail: mbaldwin@ferp.ucsd.edu; Doerner, R.P.; Luckhardt, S.C.; Seraydarian, R.; Whyte, D.G.; Conn, R.W

    2002-11-01

    This paper reports on recent studies of high flux deuterium and helium plasma interaction with liquid lithium in the Pisces-B edge plasma simulator facility. Deuterium retention is explored as a function of plasma ion fluence in the range 6x10{sup 19}-4x10{sup 22} atoms cm{sup -2} and exposure temperatures of 523-673 K. The results are consistent with full uptake of the deuterium ions incident on the liquid metal surface, independent of the temperature of the liquid lithium. Full uptake continues until the sample is volumetrically converted to lithium deuteride. Helium retention is not observed for fluences up to 5x10{sup 21} He atoms cm{sup -2}. Measurements of the erosion of lithium are found to be consistent with physical sputtering for the lithium solid phase. However, a mechanism that provides an increased evaporative-like yield and is related to ion impact events on the surface, dominates during the liquid phase leading to an enhanced loss rate for liquid lithium that is greater than the expected loss rate due to evaporation at elevated temperatures. Further, the material loss rate is found to depend linearly on the incident ion flux, even at very high temperature.

  19. Observation of metallic sphere–complex plasma interactions in microgravity

    International Nuclear Information System (INIS)

    Schwabe, M; Zhdanov, S; Hagl, T; Huber, P; Rubin-Zuzic, M; Zaehringer, E; Thomas, H M; Lipaev, A M; Molotkov, V I; Naumkin, V N; Fortov, V E; Vinogradov, P V

    2017-01-01

    The PK-3 Plus laboratory on board the International Space Station is used to study the interaction between metallic spheres and a complex plasma. We show that the metallic spheres significantly affect both the local plasma environment and the microparticle dynamics. The spheres charge under the influence of the plasma and repel the microparticles, forming cavities surrounding the spheres. The size of the cavity around a sphere is used to study the force balance acting on microparticles at the cavity edge. We show that the ion drag force and pressure force from other microparticles balances with the electric force acting from the sphere to within 20%. At intermediate distances from the sphere surface, the interaction between the microparticles and the metallic spheres is attractive due to the drag force stemming from the ions which are moving towards the highly charged spheres. The spheres thus strongly affect the plasma fluxes. This modification of the plasma flux can lead to an effective surface tension acting on the microparticles, and to the excitation of dust-density waves near the spheres, as the local electric field crosses a threshold. (paper)

  20. ELM-Induced Plasma Wall Interactions in DIII-D

    International Nuclear Information System (INIS)

    Rudakov, D.L.; Boedo, J.A.; Yu, J.H.; Brooks, N.H.; Fenstermacher, M.E.; Groth, M.; Hollmann, E.M.; Lasnier, C.J.; McLean, A.G.; Moyer, R.A.; Stangeby, P.C.; Tynan, G.R.; Wampler, W.R.; Watkins, J.G.; West, W.P.; Wong, C.C.; Zeng, L.; Bastasz, R.J.; Buchenauer, D.; Whaley, J.

    2008-01-01

    Intense transient fluxes of particles and heat to the main chamber components induced by edge localized modes (ELMs) are of serious concern for ITER. In DIII-D, plasma interaction with the outboard chamber wall is studied using Langmuir probes and optical diagnostics including a fast framing camera. Camera data shows that ELMs feature helical filamentary structures localized at the low field side of the plasma and aligned with the local magnetic field. During the nonlinear phase of an ELM, multiple filaments are ejected from the plasma edge and propagate towards the outboard wall with velocities of 0.5-0.7 km/s. When reaching the wall, filaments result in 'hot spots'--regions of local intense plasma-material interaction (PMI) where the peak incident particle and heat fluxes are up to 2 orders of magnitude higher than those between ELMs. This interaction pattern has a complicated geometry and is neither toroidally nor poloidally symmetric. In low density/collisionality H-mode discharges, PMI at the outboard wall is almost entirely due to ELMs. In high density/collisionality discharges, contributions of ELMs and inter-ELM periods to PMI at the wall are comparable. A Midplane Material Evaluation Station (MiMES) has been recently installed in order to conduct in situ measurements of erosion/redeposition at the outboard chamber wall, including those caused by ELMs

  1. Plasma facing surface composition during NSTX Li experiments

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C.H., E-mail: cskinner@pppl.gov [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States); Sullenberger, R. [Department of Mechanical and Aerospace Engineering, Princeton University, NJ 08540 (United States); Koel, B.E. [Department of Chemical and Biological Engineering, Princeton University, NJ 08540 (United States); Jaworski, M.A.; Kugel, H.W. [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States)

    2013-07-15

    Lithium conditioned plasma facing surfaces have lowered recycling and enhanced plasma performance on many fusion devices. However, the nature of the plasma–lithium surface interaction has been obscured by the difficulty of in-tokamak surface analysis. We report laboratory studies of the chemical composition of lithium surfaces exposed to typical residual gases found in tokamaks. Solid lithium and a molybdenum alloy (TZM) coated with lithium have been examined using X-ray photoelectron spectroscopy, temperature programmed desorption, and Auger electron spectroscopy both in ultrahigh vacuum conditions and after exposure to trace gases. Lithium surfaces near room temperature were oxidized after exposure to 1–2 Langmuirs of oxygen or water vapor. The oxidation rate by carbon monoxide was four times less. Lithiated PFC surfaces in tokamaks will be oxidized in about 100 s depending on the tokamak vacuum conditions.

  2. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  3. The influence of plasma-surface interaction on the performance of tungsten at the ITER divertor vertical targets

    Science.gov (United States)

    De Temmerman, G.; Hirai, T.; Pitts, R. A.

    2018-04-01

    The tungsten (W) material in the high heat flux regions of the ITER divertor will be exposed to high fluxes of low-energy particles (e.g. H, D, T, He, Ne and/or N). Combined with long-pulse operations, this implies fluences well in excess of the highest values reached in today’s tokamak experiments. Shaping of the individual monoblock top surface and tilting of the vertical targets for leading-edge protection lead to an increased surface heat flux, and thus increased surface temperature and a reduced margin to remain below the temperature at which recrystallization and grain growth begin. Significant morphology changes are known to occur on W after exposure to high fluences of low-energy particles, be it H or He. An analysis of the formation conditions of these morphology changes is made in relation to the conditions expected at the vertical targets during different phases of operations. It is concluded that both H and He-related effects can occur in ITER. In particular, the case of He-induced nanostructure (also known as ‘fuzz’) is reviewed. Fuzz formation appears possible over a limited region of the outer vertical target, the inner target being generally a net Be deposition area. A simple analysis of the fuzz growth rate including the effect of edge-localized modes (ELMs) and the reduced thermal conductivity of fuzz shows that the fuzz thickness is likely to be limited by the occurrence of annealing during ELM-induced thermal excursions. Not only the morphology, but the material mechanical and thermal properties can be modified by plasma exposure. A review of the existing literature is made, but the existing data are insufficient to conclude quantitatively on the importance and extent of these effects for ITER. As a consequence of the high surface temperatures in ITER, W recrystallization is an important effect to consider, since it leads to a decrease in material strength. An approach is proposed here to develop an operational budget for the W material, i

  4. On plasma-neutral gas interaction

    International Nuclear Information System (INIS)

    Venkataramani, N.; Mattoo, S.K.

    1980-01-01

    The importance of plasma-neutral gas interaction layer has been emphasized by pointing out its application to a wide variety of physical phenomena. The interaction of a magnetised plasma stream penetrating a neutral gas cloud is discussed in the light of Alfven's critical velocity and Varma's threshold velocity on the ionising interaction. Interaction of a moving magnetised plasma with a stationary neutral gas has been studied and described. The device comprises of a plasma gun and an interaction region where neutral gas cloud is injected. The interaction region is provided with a transverse magnetic field of upto 1000 G. Several diagnostics deployed at the interaction region to make measurements on the macroscopic parameters of plasma and neutral gas are described. The parameters of discharge circuits are measured with high current and voltage probes. An interaction between a magnetised plasma stream and a neutral gas cloud is demonstrated. It is shown that this interaction does not have Varma's threshold on their relative velocity. The Alfven's critical velocity phenomenon is shown to depend on the integrated column neutral gas density that a plasma stream encounters while penetrating through it and not on the neutral gas density in the range of 10 17 -10 21 m -3 . (auth.)

  5. Electromagnetic interactions in an electron-hole plasma

    International Nuclear Information System (INIS)

    1977-01-01

    Certain problems electromagnetic interactions both of external SHF radiation with an electron-hole (eh) plasma and in the plasma itself are considered. The production and properties of a non-equilibrium eh plasma in semiconductors, pinch effect in a plasma of solids, strong electric fields in a plasma of inhomogeneous semiconductors and heat effects in a semiconductor plasma are discussed. The influence of a surface, kinetics of recombination processes in the semiconductor volume and the plasma statistics the spatial distribution of carriers, current characteristics and plasma recombination radiation under the conditions of pinch effect is described. The diagnostics methods of the phenomena are presented. The behaviour of diode structures with pn transitions in strong SHF fields is discussed. Special attention is paid to collective phenomena in the plasma of semiconductor devices and the variation of carrier density in strong fields. The appearance of electromotive force in inhomogeneous diode structures placed in strong SHF fields is considered

  6. Assessment of database for interaction of tritium with ITER plasma facing materials

    International Nuclear Information System (INIS)

    Dolan, T.J.; Anderl, R.A.

    1994-09-01

    The present work surveys recent literature on hydrogen isotope interactions with Be, SS and Inconels, Cu, C, and V, and alloys of Cu and V. The goals are (1) to provide input to the International Thermonuclear Experimental Reactor (ITER) team to help with tritium source term estimates for the Early Safety and Environmental Characterization Study and (2) to provide guidance for planning additional research that will be needed to fill gaps in the present materials database. Properties of diffusivity, solubility, permeability, chemical reactions, Soret effect, recombination coefficient, surface effects, trapping, porosity, layered structures, interfaces, and oxides are considered. Various materials data are tabulated, and a matrix display shows an assessment of the quality of the data available for each main property of each material. Recommendations are made for interim values of diffusivity and solubility to be used, pending further discussion by the ITER community

  7. Polymerization by plasma: surface treatment and plasma simulation

    International Nuclear Information System (INIS)

    Morales C, J.

    2001-01-01

    One of the general objectives that are developed by the group of polymers semiconductors in the laboratory of polymers of the UAM-Iztapalapa is to study the surface treatment for plasma of different materials. Framed in this general objective, in this work three lines of investigation have been developed, independent one of other that converge in the general objective. The first one tries about the modeling one and evaluation of the microscopic parameters of operation of the polymerization reactor. The second are continuation of the study of conductive polymers synthesized by plasma and the third are an application of the treatment for plasma on natural fibers. In the first one it lines it is carried out the characterization and simulation of the parameters of operation of the polymerization reactor for plasma. They are determined the microscopic parameters of operation of the reactor experimentally like they are the electronic temperature, the potential of the plasma and the density average of electrons using for it an electrostatic Langmuir probe. In the simulation, starting from the Boltzmann transport equation it thinks about the flowing pattern and the electronic temperature, the ions density is obtained and of electrons. The data are compared obtained experimentally with the results of the simulation. In second line a study is presented about the influence of the temperature on the electric conductivity of thin films doped with iodine, of poly aniline (P An/I) and poly pyrrole (P Py/I). The films underwent heating-cooling cycles. The conductivity of P An/I and P Py/I in function of the temperature it is discussed based on the Arrhenius model, showing that it dominates the model of homogeneous conductivity. It is also synthesized a polymer bi-layer of these two elements and a copolymer random poly aniline-poly pyrrole, of the first one it the behavior of its conductivity discusses with the temperature and of the second, the conductivity is discussed in

  8. Deposition of lithium on a plasma edge probe in TFTR -- Behavior of lithium-painted walls interacting with edge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Hirooka, Y. [Univ. of California, San Diego, La Jolla, CA (United States); Ashida, K. [Toyama Univ. (Japan); Kugel, H. [Princeton Univ., NJ (United States)] [and others

    1998-05-01

    Recent observations have indicated that lithium pellet injection wall conditioning plays an important role in achieving the enhanced supershot regime in TFTR. However, little is understood about the behavior of lithium-coated limiter walls, interacting with edge plasmas. In the final campaign of TFTR, a cylindrical carbon fiber composite probe was inserted into the boundary plasma region and exposed to ohmically-heated deuterium discharges with lithium pellet injection. The ion-drift side probe surface exhibits a sign of codeposition of lithium, carbon, oxygen, and deuterium, whereas the electron side essentially indicates high-temperature erosion. It is found that lithium is incorporated in these codeposits in the form of oxide at the concentration of a few percent. In the electron side, lithium has been found to penetrate deeply into the probe material, presumably via rapid diffusion through interplane spaces in the graphite crystalline. Though it is not conclusive, materials mixing in the carbon and lithium system appears to be a key process in successful lithium wall conditioning.

  9. Interaction of plasma-facing materials with air and steam

    International Nuclear Information System (INIS)

    Druyts, F.; Fays, J.; Wu, C.H.

    2002-01-01

    In the design of ITER-FEAT, several candidate materials are foreseen for plasma-facing components of the divertor (tungsten, carbon fibre-reinforced composites (CFC), molybdenum) and the first wall (beryllium). In the view of accidental scenarios such as a loss of coolant accident or a loss of vacuum accident the reaction between these materials and steam or air remains a safety concern. To provide kinetic data, describing the chemical reactivity of plasma-facing materials in air and steam, we used coupled thermogravimetry/quadrupole mass spectrometry. In this paper we present the results of a screening investigation that compares the oxidation rates of tungsten, molybdenum, CFC and beryllium in the temperature range 300-700 deg. C. From the thermogravimetry and mass spectrometry results we obtained the reaction rates as a function of temperature. For the metals tungsten, molybdenum and beryllium, a transition is observed between protective oxidation at lower temperatures and non-protective oxidation at higher temperatures. This transition temperature lies in the range 500-550 deg. C for tungsten and molybdenum, which is lower than for beryllium. At above temperatures 550 deg. C, the oxides formed on molybdenum and tungsten volatilise. This increases the oxidation rate dramatically and can lead to mobilisation of activation products in a fusion reactor. We also performed experiments on both undoped CFC and CFC doped with 8-10% silicon. The influence of silicon doping on the chemical reactivity of CFC's in air is discussed

  10. Interaction of counter-streaming plasma flows in dipole magnetic field

    OpenAIRE

    Shaikhislamov, I F; Posukh, V G; Melekhov, A V; Prokopov, P A; Boyarintsev, E L; Zakharov, Yu P; Ponomarenko, A G

    2017-01-01

    Transient interaction of counter-streaming super-sonic plasma flows in dipole magnetic dipole is studied in laboratory experiment. First quasi-stationary flow is produced by teta-pinch and forms a magnetosphere around the magnetic dipole while laser beams focused at the surface of the dipole cover launch second explosive plasma expanding from inner dipole region outward. Laser plasma is energetic enough to disrupt magnetic field and to sweep through the background plasma for large distances. ...

  11. Interaction of powerful hot plasma and fast ion streams with materials in dense plasma focus devices

    Czech Academy of Sciences Publication Activity Database

    Chernyshova, M.; Gribkov, V. A.; Kowalska-Strzeciwilk, E.; Kubkowska, M.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Zielinska, E.; Demina, E.V.; Pimenov, V. N.; Maslyaev, S. A.; Bondarenko, G.G.; Vilémová, Monika; Matějíček, Jiří

    2016-01-01

    Roč. 113, December (2016), s. 109-118 ISSN 0920-3796 R&D Projects: GA ČR(CZ) GA14-12837S Institutional support: RVO:61389021 Keywords : Radiation damageability * Materials tests * Plasma focus * Plasma streams * Ion beams * Laser interferometrya Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 1.319, year: 2016 http://www.sciencedirect.com/science/article/pii/S0920379616306858

  12. Carbon materials modified by plasma treatment as electrodes for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Lota, Grzegorz; Frackowiak, Elzbieta [Institute of Chemistry and Technical Electrochemistry, Poznan University of Technology, Piotrowo 3, 60-965 Poznan (Poland); Tyczkowski, Jacek; Kapica, Ryszard [Technical University of Lodz, Faculty of Process and Environmental Engineering, Division of Molecular Engineering, Wolczanska 213, 90-924 Lodz (Poland); Lota, Katarzyna [Institute of Non-Ferrous Metals Branch in Poznan, Central Laboratory of Batteries and Cells, Forteczna 12, 61-362 Poznan (Poland)

    2010-11-15

    The carbon material was modified by RF plasma with various reactive gases: O{sub 2}, Ar and CO{sub 2}. Physicochemical properties of the final carbon products were characterized using different techniques such as gas adsorption method and XPS. Plasma modified materials enriched in oxygen functionalities were investigated as electrodes for supercapacitors in acidic medium. The electrochemical measurements have been carried out using cyclic voltammetry, galvanostatic charge/discharge and impedance spectroscopy. The electrochemical measurements have confirmed that capacity characteristics are closely connected with a type of plasma exposition. Modification processes have an influence on the kind and amount of surface functional groups in the carbon matrix. The moderate increase of capacity of carbon materials modified by plasma has been observed using symmetric two-electrode systems. Whereas investigations made in three-electrode system proved that the suitable selection of plasma modification parameters allows to obtain promising negative and positive electrode materials for supercapacitor application. (author)

  13. Plasma dye coating as straightforward and widely applicable procedure for dye immobilization on polymeric materials.

    Science.gov (United States)

    De Smet, Lieselot; Vancoillie, Gertjan; Minshall, Peter; Lava, Kathleen; Steyaert, Iline; Schoolaert, Ella; Van De Walle, Elke; Dubruel, Peter; De Clerck, Karen; Hoogenboom, Richard

    2018-03-16

    Here, we introduce a novel concept for the fabrication of colored materials with significantly reduced dye leaching through covalent immobilization of the desired dye using plasma-generated surface radicals. This plasma dye coating (PDC) procedure immobilizes a pre-adsorbed layer of a dye functionalized with a radical sensitive group on the surface through radical addition caused by a short plasma treatment. The non-specific nature of the plasma-generated surface radicals allows for a wide variety of dyes including azobenzenes and sulfonphthaleins, functionalized with radical sensitive groups to avoid significant dye degradation, to be combined with various materials including PP, PE, PA6, cellulose, and PTFE. The wide applicability, low consumption of dye, relatively short procedure time, and the possibility of continuous PDC using an atmospheric plasma reactor make this procedure economically interesting for various applications ranging from simple coloring of a material to the fabrication of chromic sensor fabrics as demonstrated by preparing a range of halochromic materials.

  14. The materials irradiation experiment for testing plasma facing materials at fusion relevant conditions

    Energy Technology Data Exchange (ETDEWEB)

    Garrison, L. M., E-mail: garrisonlm@ornl.gov; Egle, B. J. [Oak Ridge National Laboratory, 1 Bethel Valley Road, Oak Ridge, Tennessee 37831 (United States); Fusion Technology Institute, University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Zenobia, S. J.; Kulcinski, G. L.; Santarius, J. F. [Fusion Technology Institute, University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States)

    2016-08-15

    The Materials Irradiation Experiment (MITE-E) was constructed at the University of Wisconsin-Madison Inertial Electrostatic Confinement Laboratory to test materials for potential use as plasma-facing materials (PFMs) in fusion reactors. PFMs in fusion reactors will be bombarded with x-rays, neutrons, and ions of hydrogen and helium. More needs to be understood about the interactions between the plasma and the materials to validate their use for fusion reactors. The MITE-E simulates some of the fusion reactor conditions by holding samples at temperatures up to 1000 °C while irradiating them with helium or deuterium ions with energies from 10 to 150 keV. The ion gun can irradiate the samples with ion currents of 20 μA–500 μA; the typical current used is 72 μA, which is an average flux of 9 × 10{sup 14} ions/(cm{sup 2} s). The ion gun uses electrostatic lenses to extract and shape the ion beam. A variable power (1-20 W), steady-state, Nd:YAG laser provides additional heating to maintain a constant sample temperature during irradiations. The ion beam current reaching the sample is directly measured and monitored in real-time during irradiations. The ion beam profile has been investigated using a copper sample sputtering experiment. The MITE-E has successfully been used to irradiate polycrystalline and single crystal tungsten samples with helium ions and will continue to be a source of important data for plasma interactions with materials.

  15. IAEA technical meeting on atomic and plasma-material interaction data for fusion science technology. Summary report

    International Nuclear Information System (INIS)

    Clark, R.E.H.

    2003-10-01

    The proceedings and conclusions of the Technical Meeting on 'Atomic and Plasma- Material Interaction Data for Fusion Science Technology' held in Juelich, Germany on October 28-31 are summarized. During the course of the meetings working groups were formed to review the status of specific areas of atomic, molecular and material physics of relevance to fusion and to make recommendations on data needs in fusion from these areas. The reports of those working groups are summarized and the complete reports included as appendices. This meeting brought together over fifty leading scientists in fusion related data. Results of research in a number of topics were presented and very useful discussions were held. The meeting was extremely successful. (author)

  16. Atomic and plasma-material interaction data for fusion. V.4

    International Nuclear Information System (INIS)

    1993-01-01

    The International Atomic Energy Agency, through its Atomic and Molecular Data Unit, coordinates a wide spectrum of programmes for the compilation, evaluation, and generation of atomic, molecular, and plasma-wall interaction data for fusion research. The present volume is exclusively devoted to cross sections for collisions of hydrogen atoms with electron, protons and multiply charged ions

  17. Studies of the influence of nonequilibrium plasma thermal exposure on the characteristics of the capillary-porous polymer material

    International Nuclear Information System (INIS)

    Makhotkina, L Yu; Khristoliubova, V I

    2017-01-01

    Capillary-porous materials, which include natural macromolecular tanning material, are exposed to a number of factors during the treatment by a nonequilibrium plasma. Plasma particles exchange the charge and energy with the atoms of the material during the interaction of the plasma with the surface. The results of treatment are desorption of atoms and molecules from the body surface, sputtering and evaporation of material’s particles, changes of the structure and phase state. In real terms during the modification of solids by nonequilibrium low-temperature plasma thermal effect influences the process. The energy supplied from the discharge during the process with low pressure, which is converted into heat, is significantly less than during the atmospheric pressure, but the thermal stability of high-molecular compounds used in the manufacture of materials and products of the tanning industry, is very limited and depends on the duration of the effect of temperature. Even short heating of hydrophilic polymers (proteins) (100-180 °C) causes a change in their properties. It decreases the collagen ability to absorb water vapor, to swell in water, acids, alkalis, and thus decreases their durability. Prolonged heating leads to a deterioration of the physical and mechanical properties. Higher heating temperatures it leads to the polymer degradation. The natural leather temperature during plasma exposure does not rise to a temperature of collagen degradation and does not result in changes of physical phase of the dermis. However, the thermal plasma exposure must be considered, since the high temperatures influence on physical and mechanical properties. (paper)

  18. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  19. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  20. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  1. Materials study for reacting plasma machine

    International Nuclear Information System (INIS)

    Kamada, Kohji; Hamada, Yasuji

    1982-01-01

    A new reacting plasma machine is designed, and will be constructed at the Institute of Plasma Physics, Nagoya University. It is important to avoid the activation of the materials for the machine, accordingly, aluminum alloy has been considered as the material since the induced activity of aluminum due to 14 MeV neutrons is small. The vacuum chamber of the new machine consists of four modules, and the remote control of each module is considered. However, the cost of the remote control of modules is expensive. To minimize the dependence on the remote control, the use of aluminum alloy is considered as the first step. The low electrical resistivity, over-ageing, weak mechanical strength and eddy current characteristics of aluminum alloy must be improved. The physical and electrical properties of various aluminum alloys have been investigated. Permeability of hydrogen through aluminum, the recycling characteristics and surface coating materials have been also studied. (Kato, T.)

  2. Hydrodynamic effects of eroded materials on response of plasma-facing component during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1999-01-01

    Loss of plasma confinement causes surface and structural damage to plasma-facing materials (PFMs) and remains a major obstacle for tokamak reactors. The deposited plasma energy results in surface erosion and structural failure. The surface erosion consists of vaporization, spallation, and liquid splatter of metallic materials, while the structural damage includes large temperature increases in structural materials and at the interfaces between surface coatings and structural members. Comprehensive models (contained in the HEIGHTS computer simulation package) are being used self-consistently to evaluate material damage. Splashing mechanisms occur as a result of volume bubble boiling and liquid hydrodynamic instabilities and brittle destruction mechanisms of nonmelting materials. The effect of macroscopic erosion on total mass losses and lifetime is evaluated. The macroscopic erosion products may further protect PFMs from severe erosion (via the droplet-shielding effect) in a manner similar to that of the vapor shielding concept

  3. Advanced diagnostics for laser plasma interaction studies and some recent experiments

    International Nuclear Information System (INIS)

    Chaurasia, S.; Munda, D.S.; Dhareshwar, L.J.

    2008-10-01

    The complete characterization of Laser plasma interaction studies related to inertial confinement fusion laser and Equation of state (EOS) studies needs many diagnostics to explain the several physical phenomena occurring simultaneously in the laser produced plasma. This involves many on ion emission are important to understand physical phenomena which are responsible for generation of laser plasma as well as its interaction with an intense laser. In this report we describe the development of various x-ray diagnostics which are used in determining temporal, spatial and spectral properties of x-rays radiated from laser produced plasma. Diagnostics which have been used in experiments for investigation of laser-produced plasma as a source of ions are also described. Techniques using an optical streak camera and VISAR which are being used in the Equation of States (EOS) studies of various materials, which are important for material science, astrophysics as well as ICF is described in details. (author)

  4. Shock-tube study of fusion plasma-wall interactions

    International Nuclear Information System (INIS)

    Gross, R.A.; Tien, J.K.; Jensen, B.; Panayotou, N.F.; Feinberg, B.

    1977-01-01

    Theoretical and experimental studies have been made of phenomena which occur when a hot (T 1 approximately equal to 6 x 10 6 0 K), dense (n approximately equal to 10 16 cm -3 ), deuterium plasma containing a transverse magnetic field is brought into sudden contact with a cold metal wall. These studies are motivated by the need to understand plasma and metallurgical conditions at the first-wall of a fusion reactor. Experiments were carried out in the Columbia high energy electromagnetic shock tube. Computational simulation was used to investigate the detailed physics of the fusion plasma boundary layer which develops at the wall. The rate of energy transfer from the plasma to the wall was calculated and conditions under which surface melting occurs are estimated. Experimental measurements of plasma-wall heat transfer rates up to 3 x 10 5 watts/cm 2 were obtained and agreement with computed values are good. Fusion reactor first-wall materials have been exposed to 6.0 x 10 21 eV cm -2 (1,000 shots) of deuterium plasma bombardment. Scanning electron micrograph photographs show preferential erosion at grain boundaries, formation of deuterium surface blisters, and evidence of local surface melting. Some cracking is observed along grain boundaries, and a decrease in tensile ductiity is measured

  5. Basic reactions of osteoblasts on structured material surfaces

    Directory of Open Access Journals (Sweden)

    U. Meyer

    2005-04-01

    Full Text Available In order to assess how bone substitute materials determine bone formation in vivo it is useful to understand the mechanisms of the material surface/tissue interaction on a cellular level. Artificial materials are used in two applications, as biomaterials alone or as a scaffold for osteoblasts in a tissue engineering approach. Recently, many efforts have been undertaken to improve bone regeneration by the use of structured material surfaces. In vitro studies of bone cell responses to artificial materials are the basic tool to determine these interactions. Surface properties of materials surfaces as well as biophysical constraints at the biomaterial surface are of major importance since these features will direct the cell responses. Studies on osteoblast-like cell reactivity towards materials will have to focus on the different steps of protein and cell reactions towards defined surface properties. The introduction of new techniques allows nowadays the fabrication of materials with ordered surface structures. This paper gives a review of present knowledge on the various stages of osteoblast reactions on material surfaces, focused on basic cell events under in vitro conditions. Special emphasis is given to cellular reactions towards ordered nano-sized topographies.

  6. Light-material interactions in laser material processing

    International Nuclear Information System (INIS)

    Chiang, S.; Albright, C.E.

    1989-01-01

    The authors discusses how light interactions with materials in laser material processing operations occur by a variety of mechanisms depending on the material being processed, the wavelength of the laser light, the gaseous environment, and the physical state of the material surface. The high reflectivity of metals limits the fraction of the beam power absorbed by the solid metal surface. For metals in the solid state, reflectivity increases as the wavelength of the laser light and the electrical conductivity of the metal increase. The reflectivity of metals is reduced upon heating to the melting point, and further reduced upon melting. At high power densities the liquid metal surface is heated so quickly that very rapid vaporization occurs. The recoil force produced by the evaporation causes a depression in the liquid/vapor interface. The keyhole resulting from this depression allows for multiple reflections and thus increases beam absorption in the liquid

  7. Overview on the Surface Functionalization Mechanism and Determination of Surface Functional Groups of Plasma Treated Carbon Nanotubes.

    Science.gov (United States)

    Saka, Cafer

    2018-01-02

    The use of carbon materials for many applications is due to the unique diversity of structures and properties ranging from chemical bonds between the carbon atoms of the materials to nanostructures, crystallite alignment, and microstructures. Carbon nanotubes and other nanoscale carbonaceous materials draw much attention due to their physical and chemical properties, such as high strength, high resistance to corrosion, electrical and thermal conductivity, stability and a qualified adsorbent. Carbon-based nanomaterials, which have a relatively large specific area and layered structure, can be used as an adsorbent for efficient removal of organic and inorganic contaminants. However, one of the biggest obstacles to the development of carbon-based nanomaterials adsorbents is insolubility and the lack of functional groups on the surface. There are several approaches to introduce functional groups on carbon nanotubes. One of these approaches, plasma applications, now has an important place in the creation of surface functional groups as a flexible, fast, and environmentally friendly method. This review focuses on recent information concerning the surface functionalization and modification of plasma treated carbon nanotube. This review considers the surface properties, advantages, and disadvantages of plasma-applied carbon nanotubes. It also examines the reaction mechanisms involved in the functional groups on the surface.

  8. Data for Erosion and Tritium Retention in Beryllium Plasma-Facing Materials. Summary Report of the First Research Coordination Meeting

    International Nuclear Information System (INIS)

    Braams, B.J.

    2013-04-01

    Nine experts in the field of plasma-wall interaction on beryllium surfaces together with IAEA staff met at IAEA Headquarters 26-28 September 2012 for the First Research Coordination Meeting of an IAEA Coordinated Research Project on data for erosion and tritium retention in beryllium plasma-facing materials. They described their on-going research, reviewed the main data needs and made plans for coordinated research during the remaining years of the project. The proceedings of the meeting are summarized in this report. (author)

  9. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  10. Study by optical spectroscopy of the interaction between a hydrogen multi-polar plasma and a gallium arsenide surface

    International Nuclear Information System (INIS)

    Ferdinand, Robin

    1990-01-01

    The objective of this research thesis has been to understand which are the involved species during the deoxidation-passivation stage of the processing of gallium arsenide platelets used in semiconductor industry. The author describes problems related to the presence of oxides, and highlights the benefit of using a hydrogen multi-polar plasma to softly remove surface oxides. The experimental set-up is notably characterised by the role of magnetic confinement and its influence on plasma. A theoretical model is then developed for a better understanding of chemical and physical-chemical reactions occurring in the hydrogen plasma. Based on the use of the Boltzmann equation, the model calculates the electron energy distribution function, and allows the follow-up of species present in the plasma with respect to available and accessible parameters (pressure, discharge current, discharge voltage). A spectroscopic study of the hydrogen plasma is then reported, and the numerical model is validated by interpreting line shapes of the hydrogen Balmer series. A second experimental approach, based on electrostatic probes, is implemented, and the Laframboise theory is applied to this technique and allows electronic and ionic densities, and electron temperature to be determined. Experimental and numerical results are compared. All this leads to the study of the interaction of plasma with a sample, with a first step of study of a mixture plasma containing 85 per cent of hydrogen and 15 per cent of arsine, in order to get a general knowledge of emissions related to the presence of AsH 3 . Finally, interaction studies are performed by using laser-induced fluorescence and conventional space-resolved optical spectroscopy

  11. Plasma-wall interactions

    International Nuclear Information System (INIS)

    Behrisch, Rainer

    1978-01-01

    The plasma wall interactions for two extreme cases, the 'vacuum model' and the 'cold gas blanket' are outlined. As a first step for understanding the plasma wall interactions the elementary interaction processes at the first wall are identified. These are energetic ion and neutral particle trapping and release, ion and neutral backscattering, ion sputtering, desorption by ions, photons and electrons and evaporation. These processes have only recently been started to be investigated in the parameter range of interest for fusion research. The few measured data and their extrapolation into regions not yet investigated are reviewed

  12. Impulse Plasma In Surface Engineering - a review

    Science.gov (United States)

    Zdunek, K.; Nowakowska-Langier, K.; Chodun, R.; Okrasa, S.; Rabinski, M.; Dora, J.; Domanowski, P.; Halarowicz, J.

    2014-11-01

    The article describes the view of the plasma surface engineering, assuming the role of non-thermal energy effects in the synthesis of materials and coatings deposition. In the following study it was underlined that the vapor excitation through the application of an electric field during coatings deposition gives new possibilities for coatings formation. As an example the IPD method was chosen. During the IPD (Impulse Plasma Deposition) the impulse plasma is generated in the coaxial accelerator by strong periodic electrical pulses. The impulse plasma is distributed in the form of energetic plasma pockets. Due to the almost completely ionization of gas, the nucleation of new phases takes place on ions directly in the plasma itself. As a result the coatings of metastable materials with nano-amorphous structure and excellent adhesion to the non-heated intentionally substrates could be deposited. Recently the novel way of impulse plasma generation during the coatings deposition was proposed and developed by our group. An efficient tool for plasma process control, the plasma forming gas injection to the interelectrode space was used. Periodic changing the gas pressure results in increasing both the degree of dispersion and the dynamics of the plasma pulses. The advantage of the new technique in deposition of coatings with exceptionally good properties has been demonstrated in the industrial scale not only in the case of the IPD method but also in the case of very well known magnetron sputtering method.

  13. Surface flute waves in plasmas theory and applications

    CERN Document Server

    Girka, Volodymyr; Thumm, Manfred

    2014-01-01

    The book presents results of a comprehensive study of various features of eigen electromagnetic waves propagating across the axis of plasma filled metal waveguides with cylindrical geometry. The authors collected in one book material on various features of surface flute waves, i. e. impact of waveguide design on wave dispersion, wave damping influenced by various reasons, impact of plasma density and external magnetic field inhomogeneity on the wave, and impact of waveguide corrugation and electric current on the wave. A variety of present surface waves applications and possible future applications is also included. Using the method of successive approximations it is shown how one can solve problems, which concern real experimental devices, starting from simple models. The book applies to both professionals dealing with problems of confined plasmas and to graduate and post-graduate students specializing in the field of plasma physics and related applications.

  14. Surface modifications on toughened, fine-grained, recrystallized tungsten with repetitive ELM-like pulsed plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Y., E-mail: ykikuchi@eng.u-hyogo.ac.jp [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Sakuma, I.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Kurishita, H. [Institute for Materials Research, Tohoku University, Ibaraki 311-1313 (Japan)

    2015-08-15

    Surface modifications of toughened, fine-grained, recrystallized tungsten (TFGR W) materials with 1.1 wt.% TiC and 3.3 wt.% TaC dispersoids due to repetitive ELM-like pulsed (∼0.15 ms) helium plasma irradiation have been investigated by using a magnetized coaxial plasma gun. No surface cracking at the center part of the TFGR W samples exposed to 20 plasma pulses of ∼0.3 MJ m{sup −2} was observed. The suppression of surface crack formation due to the increase of the grain boundary strength by addition of TiC and TaC dispersoids was confirmed in comparison with a pure W material. On the other hand, surface cracks and small pits appeared at the edge part of the TFGR W sample after the pulsed plasma irradiation. Erosion of the TiC and TaC dispersoids due to the pulsed plasma irradiation could cause the small pits on the surface, resulting in the surface crack formation.

  15. Surface modifications on toughened, fine-grained, recrystallized tungsten with repetitive ELM-like pulsed plasma irradiation

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.; Ueda, Y.; Kurishita, H.

    2015-08-01

    Surface modifications of toughened, fine-grained, recrystallized tungsten (TFGR W) materials with 1.1 wt.% TiC and 3.3 wt.% TaC dispersoids due to repetitive ELM-like pulsed (∼0.15 ms) helium plasma irradiation have been investigated by using a magnetized coaxial plasma gun. No surface cracking at the center part of the TFGR W samples exposed to 20 plasma pulses of ∼0.3 MJ m-2 was observed. The suppression of surface crack formation due to the increase of the grain boundary strength by addition of TiC and TaC dispersoids was confirmed in comparison with a pure W material. On the other hand, surface cracks and small pits appeared at the edge part of the TFGR W sample after the pulsed plasma irradiation. Erosion of the TiC and TaC dispersoids due to the pulsed plasma irradiation could cause the small pits on the surface, resulting in the surface crack formation.

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Influence of surface chemistry of carbon materials on their interactions with inorganic nitrogen contaminants in soil and water.

    Science.gov (United States)

    Sumaraj; Padhye, Lokesh P

    2017-10-01

    Inorganic nitrogen contaminants (INC) (NH 4 + , NO 3 - , NO 2 - , NH 3 , NO, NO 2 , and N 2 O) pose a growing risk to the environment, and their remediation methods are highly sought after. Application of carbon materials (CM), such as biochar and activated carbon, to remediate INC from agricultural fields and wastewater treatment plants has gained a significant interest since past few years. Understanding the role of surface chemistry of CM in adsorption of various INC is highly critical to increase adsorption efficiency as well as to assess the long term impact of using these highly recalcitrant CM for remediation of INC. Critical reviews of adsorption studies related to INC have revealed that carbon surface chemistry (surface functional groups, pH, Eh, elemental composition, and mineral content) has significant influence on adsorption of INC. Compared to basic functional groups, oxygen containing surface functional groups have been found to be more influential for adsorption of INC. However, basic sites on carbon materials still play an important role in chemisorption of anionic INC. Apart from surface functional groups, pH, Eh and pH zpc of CM and elemental and mineral composition of its surface are important properties capable of altering INC interactions with CM. This review summarizes our current understanding of INC interactions with CM's surface through the known chemisorption mechanisms: electrostatic interaction, hydrogen bonding, electron donor-acceptor mechanism, hydrophobic and hydrophilic interaction, chemisorption aided by minerals, and interactions influenced by pH and elemental composition. Change in surface chemistry of CM in soil during aging is also discussed. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. Recovery of Tungsten Surface with Fiber-Form Nanostructure by Plasmas Exposures

    International Nuclear Information System (INIS)

    Miyamoto, Takanori; Takamura, Shuichi; Kurishita, Hiroaki

    2013-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation since helium is a fusion product. The fiber-formed nanostructure is thought to have a possible weakness against the plasma heat flux on the plasma-facing component and also may destroy the reflectivity of optical mirrors. In this paper an interesting method for the recovery of such tungsten surfaces is shown. The recovery process depends on the grade and manufacturing process of tungsten materials. (fusion engineering)

  19. Plasma heating by non-linear wave-Plasma interaction | Echi ...

    African Journals Online (AJOL)

    We simulate the non-linear interaction of waves with magnetized tritium plasma with the aim of determining the parameter values that characterize the response of the plasma. The wave-plasma interaction has a non-conservative Hamiltonian description. The resulting system of Hamilton's equations is integrated numerically ...

  20. Role of plasma membrane surface charges in dictating the feasibility of membrane-nanoparticle interactions

    Science.gov (United States)

    Sinha, Shayandev; Jing, Haoyuan; Sachar, Harnoor Singh; Das, Siddhartha

    2017-12-01

    Receptor-ligand (R-L) binding mediated interactions between the plasma membrane (PM) and a nanoparticle (NP) require the ligand-functionalized NPs to come to a distance of separation (DOS) of at least dRL (length of the R-L complex) from the receptor-bearing membranes. In this letter, we establish that the membrane surface charges and the surrounding ionic environment dictate whether or not the attainment of such a critical DOS is possible. The negatively charged membrane invariably induces a negative electrostatic potential at the NP surface, repelling the NP from the membrane. This is countered by the attractive influences of the thermal fluctuations and van der Waals (vdw) interactions that drive the NP close to the membrane. For a NP approaching the membrane from a distance, the ratio of the repulsive (electrostatic) and attractive (thermal and vdW) effects balances at a critical NP-membrane DOS of dg,c. For a given set of parameters, there can be two possible values of dg,c, namely, dg,c,1 and dg,c,2 with dg,c,1 ≫ dg,c,2. We establish that any R-L mediated NP-membrane interaction is possible only if dRL > dg,c,1. Therefore, our study proposes a design criterion for engineering ligands for a NP that will ensure the appropriate length of the R-L complex in order to ensure the successful membrane-NP interaction in the presence of a given electrostatic environment. Finally, we discuss the manner in which our theory can help designing ligand-grafted NPs for targeted drug delivery, design biomimetics NPs, and also explain various experimental results.

  1. Korean plasma-material interaction researches/facilities

    International Nuclear Information System (INIS)

    Chung, K.-S.; Woo, H.-J.; Cho, S.-G.

    2013-01-01

    Various PMI facilities have been developed recently in Korea, such as DiPS, MP2, ECR plasma, a segmented plasma torch system, e-beam accelerator, and the TReD (Transport and Removal experiment of Dust) device. In this paper, these devices are briefly to be explained in terms of objective and specifications along with initial experimental results. (J.P.N.)

  2. Thermal coupling and damage mechanisms of laser radiation on selected materials

    International Nuclear Information System (INIS)

    Schwirzke, F.; Jenkins, W.F.; Schmidt, W.R.

    1983-01-01

    High power laser beams interact with targets by a variety of thermal, impulse, and electrical effects. Energy coupling is considerably enhanced once surface electrical breakdown occurs. The laser heated plasma then causes surface damage via thermal evaporation, ion sputtering, and unipolar arcing. While the first two are purely thermal and mechanical effects, the last one, unipolar arcing, is an electrical plasma-surface interaction process which leads to crater formation, usually called laser-pitting, a process which was often observed but not well understood. Unipolar arcing occurs when a plasma of sufficiently high electron temperature interacts with a surface. Without an external voltage applied, many electrical micro-arcs burn between the surface and the plasma, driven by local variations of the sheath potential with the surface acting as both the cathode and anode. Laser induced unipolar arcing represents the most damaging and non-uniform plasma-surface interaction process since the energy available in the plasma concentrates towards the cathode spots. This causes cratering of the materials surface. The ejection of material in the form of small jets from the craters leads to ripples in the critical plasma density contour. This in turn contributes to the onset of plasma instabilities, small scale magnetic field generation and laser beam filamentation. The ejection of a plasma jet from the unipolar arc crater also causes highly localized shock waves to propagate into the target, softening it in the process. Thus, local surface erosion by unipolar arcing is much more severe than for uniform energy deposition

  3. Effects of pre- and post-electrospinning plasma treatments on electrospun PCL nanofibers to improve cell interactions

    International Nuclear Information System (INIS)

    Asadian, M; Grande, S; Morent, R; Nikiforov, A; De Geyter, N; Declercq, H

    2017-01-01

    In this study, liquid plasma treatment was used to improve the morphology of Poly-ε-CaproLactone (PCL) NanoFibers (NFs), followed by performing a Dielectric Barrier Discharge (DBD) plasma surface modification to enhance the hydrophilicity of electrospun mats generated from plasma-modified PCL solutions. Cell interaction studies performed after 1 day and 7 days clearly revealed the highly increased cellular interactions on the double plasma-treated nanofibers compared to the pristine ones due to the combination of (1) a better NF morphology and (2) an increased surface hydrophilicity. (paper)

  4. EM wave propagation analysis in plasma covered radar absorbing material

    CERN Document Server

    Singh, Hema; Rawat, Harish Singh

    2017-01-01

    This book focuses on EM propagation characteristics within multilayered plasma-dielectric-metallic media. The method used for analysis is impedance transformation method. Plasma covered radar absorbing material is approximated as a multi-layered dielectric medium. The plasma is considered to be bounded homogeneous/inhomogeneous medium. The reflection coefficient and hence return loss is analytically derived. The role of plasma parameters, such as electron density, collision frequency, plasma thickness, and plasma density profile in the absorption behavior of multi-layered plasma-RAM structure is described. This book provides a clearer picture of EM propagation within plasma. The reader will get an insight of plasma parameters that play significant role in deciding the absorption characteristics of plasma covered surfaces.

  5. Surface characterization of alloy Ti-6Al-7Nb treated plasma

    International Nuclear Information System (INIS)

    Moura, J.K.L.; Macedo, H.R.A.; Brito, E.M.; Brandim, A.S.

    2014-01-01

    Plasma surface modifications are subject of numerous studies to improve the quality of a given material. Titanium and its alloys are widely used in biomedical applications and plasma treatment technique is increasingly used to improve the surface properties thereof. The research have a objective in the comparative analysis of the change in microstructure of Ti-6Al-7Nb alloys after treatment of plasma nitriding. The technical are: nitriding with cathode cage (NGC) and planar discharge. The characterization was obtained by MEV (Scanning Electronic Microscope) and hardness. The results was compared about the better surface modification that meets future prospects of the biocompatibility of the alloy.(author)

  6. Cracks and nanodroplets produced on tungsten surface samples by dense plasma jets

    Science.gov (United States)

    Ticoş, C. M.; Galaţanu, M.; Galaţanu, A.; Luculescu, C.; Scurtu, A.; Udrea, N.; Ticoş, D.; Dumitru, M.

    2018-03-01

    Small samples of 12.5 mm in diameter made from pure tungsten were exposed to a dense plasma jet produced by a coaxial plasma gun operated at 2 kJ. The surface of the samples was analyzed using a scanning electron microscope (SEM) before and after applying consecutive plasma shots. Cracks and craters were produced in the surface due to surface tensions during plasma heating. Nanodroplets and micron size droplets could be observed on the samples surface. An energy-dispersive spectroscopy (EDS) analysis revealed that the composition of these droplets coincided with that of the gun electrode material. Four types of samples were prepared by spark plasma sintering from powders with the average particle size ranging from 70 nanometers up to 80 μm. The plasma power load to the sample surface was estimated to be ≈4.7 MJ m-2 s-1/2 per shot. The electron temperature and density in the plasma jet had peak values 17 eV and 1.6 × 1022 m-3, respectively.

  7. In vitro biocompatibility of titanium after plasma surface alloying with boron

    Energy Technology Data Exchange (ETDEWEB)

    Kaczmarek, Mariusz, E-mail: markacz@ump.edu.pl [Department of Immunology, Chair of Clinical Immunology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Mieczysława U. [Division Mother' s and Child' s Health, Poznan University of Medical Sciences, Polna 33, 60-535 Poznan (Poland); Miklaszewski, Andrzej [Institute of Materials Science and Engineering, Poznan University of Technology, Jana Pawla II 24, 61-138 Poznan (Poland); Paszel-Jaworska, Anna; Romaniuk, Aleksandra; Lipińska, Natalia [Department of Clinical Chemistry and Molecular Diagnostics, Poznan University of Medical Sciences, Przybyszewskiego 49, 60-355 Poznan (Poland); Żurawski, Jakub [Department of Immunobiochemistry, Chair of Biology and Environmental Sciences, Poznan University of Medical Sciences, Rokietnicka 8, 60-806 Poznan (Poland); Urbaniak, Paulina [Department of Cell Biology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Karolina [Department of Conservative Dentistry and Periodontology, Poznan University of Medical Sciences, Bukowska 70, 60-812 Poznan (Poland)

    2016-12-01

    Recently, the effect of different sizes of precursor powders during surface plasma alloying modification on the properties of titanium surface was studied. In this work we show in vitro test results of the titanium (α-Ti) after plasma surface alloying with boron (B). Ti-B nanopowders with 2 and 10 wt% B were deposited onto microcrystalline Ti substrate. The in vitro cytocompatibility of these biomaterials was evaluated and compared with a conventional microcrystalline Ti. During the studies, established cell line of human gingival fibroblasts and osteoblasts were cultured in the presence of tested materials, and its survival rate and proliferation activity were examined. For this purpose, MTT assay, flow cytometric and fluorescent microscopic evaluation were made. Biocompatibility tests carried out indicate that the Ti after plasma surface alloying with B could be a possible candidate for dental implants and other medicinal applications. Plasma alloying is a promising method for improving the properties of titanium, thus increasing the field of its applications. - Highlights: • this is first article carried out on the titanium after plasma surface alloying with different contents of boron; • microcrystalline titanium modified with boron changes the physicochemical features of conventional material; • Ti modified by boron is proper in terms of effects on survival and proliferative activity of cells of dental alveoli; • precursors with different content of boron in different ways influence the intensity and stability of cell growth;.

  8. Effects of the plasma-facing materials on the negative ion H ‑ density in an ECR (2.45 GHz) plasma

    Science.gov (United States)

    Bentounes, J.; Béchu, S.; Biggins, F.; Michau, A.; Gavilan, L.; Menu, J.; Bonny, L.; Fombaron, D.; Bès, A.; Lebedev, Yu A.; Shakhatov, V. A.; Svarnas, P.; Hassaine, T.; Lemaire, J. L.; Lacoste, A.

    2018-05-01

    Within the framework of fundamental research, the present work focuses on the role of surface material in the production of H ‑ negative ion, with a potential application of designing cesium-free H ‑ negative ion sources oriented to fusion application. It is widely accepted that the main reaction leading to H ‑ production, in the plasma volume, is the dissociative attachment of low-energy electrons (T e ≤ 1 eV) on highly ro-vibrationally excited hydrogen molecules. In parallel with other mechanisms, the density of these excited molecules may be enhanced by means of the recombinative desorption, i.e. the interaction between surface absorbed atoms with other atoms (surface adsorbed or not) through the path {H}{{ads}}+{H}{{gas}/{{ads}}}\\to {H}2{(v,J)}{{gas}}+{{Δ }}E. Accordingly, a systematic study on the role played by the surface in this reaction, with respect to the production of H ‑ ion in the plasma volume, is here performed. Thus, tantalum and tungsten (already known as H ‑ enhancers) and quartz (inert surface) materials are employed as inner surfaces of a test bench chamber. The plasma inside the chamber is produced by electron cyclotron resonance (ECR) driving and it is characterized with conventional electrostatic probes, laser photodetachment, and emission and absorption spectroscopy. Two different positions (close to and away from the ECR driving zone) are investigated under various conditions of pressure and power. The experimental results are supported by numerical data generated by a 1D model. The latter couples continuity and electron energy balance equations in the presence of magnetic field, and incorporates vibrational kinetics, H2 molecular reactions, H electronically excited states and ground-state species kinetics. In the light of this study, recombinative desorption has been evidenced as the most probable mechanism, among others, responsible for an enhancement by a factor of about 3.4, at 1.6 Pa and 175 W of microwave power, in the

  9. The modification of nanocomposite hybrid polymer surfaces by exposure to oxygen containing plasmas

    Science.gov (United States)

    Figueiredo, Ashley; Zimmermann, Katherine; Augustine, Brian; Hughes, Chris; Chusuei, Charles

    2006-11-01

    The wetting properties of the surfaces of the nanocomposite hybrid polymer poly[(propylmethacryl-heptaisobutyl- polyhedral oligomeric silsequioxane)-co-(methylmethacrylate)] (POSS-PMMA)has been studied before and after exposure to plasmas containing oxygen. The contact angle of water droplets on the surface showed a substantial decrease after plasma exposure indicating an increase in the hydrophilicity of the surface. A model was developed in which the plasma preferentially removed organic material including both the PMMA backbone and isobutyl groups from the corners of the POSS cages leaving behind a surface characterized by the silicon oxide-like POSS material. Measurements of surface concentrations of oxygen, silicon, and carbon by x-ray photoelectron spectroscopy (XPS) showed an increase in the amount of oxygen and silicon compared to carbon and the appropriate chemical shifts were observed in the XPS data to support the model of Si-O enrichment on the surface. Variable angle spectroscopic ellipsometry (VASE) and atomic force microscopy (AFM) measurements also supported the model and these results will be presented.

  10. Interaction of a high-power laser pulse with supercritical-density porous materials

    International Nuclear Information System (INIS)

    Gus'kov, Sergei Yu; Rozanov, Vladislav B; Caruso, A; Strangio, C

    2000-01-01

    The properties of a nonequilibrium plasma produced by high-power laser pulses with intensities I L ∼ 10 14 -10 15 W cm -2 irradiating plane targets made of a porous material are investigated. The mean density of matter in targets was substantially higher than the critical plasma density corresponding to a plasma resonance. The density of porous material was ρ a ∼ 1 - 20 mg cm -3 , whereas the critical density at the wavelength of incident radiation was ρ cr ∼ 3 mg cm -3 . An anomalously high absorption (no less than 80%) of laser radiation inside a target was observed. Within the first 3 - 4 ns of interaction, the plasma flow through the irradiated target surface in the direction opposite of the direction of the laser beam was noticeably suppressed. Only about 5% of absorbed laser energy was transformed into the energy of particles in this flow during the laser pulse. Absorbed energy was stored as the internal plasma energy at this stage (the greenhouse effect). Then, this energy was transformed, similar to a strong explosion, into the energy of a powerful hydrodynamic flow of matter surrounding the absorption region. The specific features of the formation and evolution of a nonequilibrium laser-produced plasma in porous media are theoretically analysed. This study allows the results of experiments to be explained. In particular, we investigated absorption of laser radiation in the bulk of a target, volume evaporation of porous material, the expansion of a laser-produced plasma inside the pores, stochastic collisions of plasma flows, and hydrothermal energy dissipation. These processes give rise to long-lived oscillations of plasma density and lead to the formation of an internal region where laser radiation is absorbed. (invited paper)

  11. Deuterium pumping and erosion behavior of selected graphite materials under high flux plasma bombardment in PISCES

    International Nuclear Information System (INIS)

    Hirooka, Y.; Conn, R.W.; Goebel, D.M.; LaBombard, B.; Lehmer, R.; Leung, W.K.; Nygren, R.E.; Ra, Y.

    1988-06-01

    Deuterium plasma recycling and chemical erosion behavior of selected graphite materials have been investigated using the PISCES-A facility. These materials include: Pyro-graphite; 2D-graphite weave; 4D-graphite weave; and POCO-graphite. Deuterium plasma bombardment conditions are: fluxes around 7 /times/ 10 17 ions s/sup /minus/1/cm/sup /minus/2/; exposure time in the range from 10 to 100 s; bombarding energy of 300 eV; and graphite temperatures between 20 and 120/degree/C. To reduce deuterium plasma recycling, several approaches have been investigated. Erosion due to high-fluence helium plasma conditioning significantly increases the surface porosity of POCO-graphite and 4D-graphite weave whereas little change for 2D-graphite weave and Pyro-graphite. The increased pore openings and refreshed in-pore surface sites are found to reduce the deuterium plasma recycling and chemical erosion rates at transient stages. The steady state recycling rates for these graphite materials can be also correlated to the surface porosity. Surface topographical modification by machined-grooves noticeably reduces the steady state deuterium recycling rate and the impurity emission from the surface. These surface topography effects are attributed to co-deposition of remitted deuterium, chemically sputtered hydrocarbon and physically sputtered carbon under deuterium plasma bombardment. The co-deposited film is found to have a characteristic surface morphology with dendritic microstructures. 18 ref., 4 figs., 1 tab

  12. Planetary Surface-Atmosphere Interactions

    Science.gov (United States)

    Merrison, J. P.; Bak, E.; Finster, K.; Gunnlaugsson, H. P.; Holstein-Rathlou, C.; Knak Jensen, S.; Nørnberg, P.

    2013-09-01

    Planetary bodies having an accessible solid surface and significant atmosphere, such as Earth, Mars, Venus, Titan, share common phenomenology. Specifically wind induced transport of surface materials, subsequent erosion, the generation and transport of solid aerosols which leads both to chemical and electrostatic interaction with the atmosphere. How these processes affect the evolution of the atmosphere and surface will be discussed in the context of general planetology and the latest laboratory studies will be presented.

  13. Tritium recycling and inventory in eroded debris of plasma-facing materials

    International Nuclear Information System (INIS)

    Hassanein, A.

    1999-01-01

    Damage to plasma-facing components (PFCs) and structural materials due to loss of plasma confinement in magnetic fusion reactors remains one of the most serious concerns for safe, successful, and reliable tokamak operation. High erosion losses due to surface vaporization, spallation, and melt-layer splashing are expected during such an event. The eroded debris and dust of the PFCs, including trapped tritium, will be contained on the walls or within the reactor chamber therefore, they can significantly influence plasma behavior and tritium inventory during subsequent operations. Tritium containment and behavior in PFCS and in the dust and debris is an important factor in evaluating and choosing the ideal plasma-facing materials (PFMs). Tritium buildup and release in the debris of candidate materials is influenced by the effect of material porosity on diffusion and retention processes. These processes have strong nonlinear behavior due to temperature, volubility, and existing trap sites. A realistic model must therefore account for the nonlinear and multidimensional effects of tritium diffusion in the porous-redeposited and neutron-irradiated materials. A tritium-transport computer model, TRAPS (Tritium Accumulation in Porous Structure), was developed and used to evaluate and predict the kinetics of tritium transport in porous media. This model is coupled with the TRICS (Tritium In Compound Systems) code that was developed to study the effect of surface erosion during normal and abnormal operations on tritium behavior in PFCS

  14. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  15. Final Report of “Collaborative research: Fundamental science of low temperature plasma-biological material interactions” (Award# DE-SC0005105)

    Energy Technology Data Exchange (ETDEWEB)

    Oehrlein, Gottlieb S. [Univ. of Maryland, College Park, MD (United States); Seog, Joonil [Univ. of Maryland, College Park, MD (United States); Graves, David [Univ. of California, Berkeley, CA (United States); Chu, J. -W. [Univ. of California, Berkeley, CA (United States)

    2014-09-24

    temperature plasma sources with modified geometry where radical induced interactions generally dominate due to short mean free paths of ions and VUV photons. In these conditions we demonstrated the importance of environmental interactions of plasma species when APP sources are used to modify biomolecules. This is evident from both gas phase characterization data and in-situ surface characterization of treated biomolecules. Environmental interactions can produce unexpected outcomes due to the complex reactions of reactive species with the atmosphere which determine the composition of reactive fluxes and atomistic changes in biomolecules. Overall, this work elucidated a richer spectrum of scientific opportunities and challenges for the field of low temperature plasma-biomolecule surface interactions than initially anticipated, in particular, for plasma sources operating at atmospheric pressure. The insights produced in this work, e.g. demonstration of the importance of environmental interactions, are generally important for applications of APP to materials modifications. Thus one major contributions of this research has been the establishment of methodologies to study the interaction of plasma with bio-molecules in a systemic and rigorous manner. In particular, our studies of atmospheric pressure plasma sources using very well-defined experimental conditions enabled us to correlate atomistic surface modifications of biomolecules with changes in their biological function. The clarification of the role of ions, VUV photons and radicals in deactivation of biomolecules during low pressure and atmospheric pressure plasma-biomolecule interaction has broad implications, e.g. for the emerging field of plasma medicine. The development of methods to detect the effects of plasma treatment on immune-active biomolecules will lay a fundamental foundation to enhance our understanding of the effect of plasma on biological systems. be helpful in many future studies.

  16. Controlling coverage of solution cast materials with unfavourable surface interactions

    KAUST Repository

    Burlakov, V. M.; Eperon, G. E.; Snaith, H. J.; Chapman, S. J.; Goriely, A.

    2014-01-01

    Creating uniform coatings of a solution-cast material is of central importance to a broad range of applications. Here, a robust and generic theoretical framework for calculating surface coverage by a solid film of material de-wetting a substrate is presented. Using experimental data from semiconductor thin films as an example, we calculate surface coverage for a wide range of annealing temperatures and film thicknesses. The model generally predicts that for each value of the annealing temperature there is a range of film thicknesses leading to poor surface coverage. The model accurately reproduces solution-cast thin film coverage for organometal halide perovskites, key modern photovoltaic materials, and identifies processing windows for both high and low levels of surface coverage. © 2014 AIP Publishing LLC.

  17. Controlling coverage of solution cast materials with unfavourable surface interactions

    KAUST Repository

    Burlakov, V. M.

    2014-03-03

    Creating uniform coatings of a solution-cast material is of central importance to a broad range of applications. Here, a robust and generic theoretical framework for calculating surface coverage by a solid film of material de-wetting a substrate is presented. Using experimental data from semiconductor thin films as an example, we calculate surface coverage for a wide range of annealing temperatures and film thicknesses. The model generally predicts that for each value of the annealing temperature there is a range of film thicknesses leading to poor surface coverage. The model accurately reproduces solution-cast thin film coverage for organometal halide perovskites, key modern photovoltaic materials, and identifies processing windows for both high and low levels of surface coverage. © 2014 AIP Publishing LLC.

  18. Development of Si–W transient tolerant plasma facing material

    Energy Technology Data Exchange (ETDEWEB)

    Wong, C.P.C., E-mail: wongc@fusion.gat.com [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Chen, B. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Hollmann, E.M.; Rudakov, D.L. [University of California, San Diego, 9500 Gilman Dr., La Jolla, CA 92093-0417 (United States); Wall, D.; Tao, R. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Wright, M. [Ultramet, 12173 Montague Street, Pacoima, CA 91331 (United States)

    2013-07-15

    Solid W is projected as the preferred plasma facing material. Unfortunately, W surfaces could suffer radiation damage under DT operation and will melt under Type-I edge localized modes and disruption events. A possible approach is the use of a low-Z sacrificial material, like Si deposited on the W-surface to withstand a few type-I ELMs and/or disruptions via the vapor shielding effect. Accordingly, sets of Si–W test buttons were fabricated and exposed in the DIII-D lower divertor. We found that when the Si–W buttons were exposed to a few DIII-D vertical displacement event disruptions, tungsten–silicide was formed which melts at 1414 °C. This clearly indicates that the Si–W combination cannot be used as a transient tolerance surface material, since the W surface can be damaged. Even when Si is used as a wall conditioning material the Si–W surface temperature should be operated at much lower than 1400 °C.

  19. Plasma Interaction and Energetic Particle Dynamics near Callisto

    Science.gov (United States)

    Liuzzo, L.; Simon, S.; Feyerabend, M.; Motschmann, U. M.

    2017-12-01

    Callisto's magnetic environment is characterized by a complex admixture of induction signals from its conducting subsurface ocean, the interaction of corotating Jovian magnetospheric plasma with the moon's ionosphere and induced dipole, and the non-linear coupling between the effects. In contrast to other Galilean moons, ion gyroradii near Callisto are comparable to its size, requiring a kinetic treatment of the interaction region near the moon. Thus, we apply the hybrid simulation code AIKEF to constrain the competing effects of plasma interaction and induction. We determine their influence on the magnetic field signatures measured by Galileo during various Callisto flybys. We use the magnetic field calculated by the model to investigate energetic particle dynamics and their effect on Callisto's environment. From this, we provide a map of global energetic particle precipitation onto Callisto's surface, which may contribute to the generation of its atmosphere.

  20. Spherical Torus Plasma Interactions with Large-area Liquid Lithium Surfaces in CDX-U

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Boaz, M.; Efthimion, P.; Jones, B.; Hoffman, D.; Kugel, H.; Menard, J.; Munsat, T.; Post-Zwicker, A.; Soukhanovskii, V.; Spaleta, J.; Taylor, G.; Timberlake, J.; Woolley, R.; Zakharov, L.; Finkenthal, M.; Stutman, D.; Antar, G.; Doerner, R.; Luckhardt, S.; Maingi, R.; Maiorano, M.; Smith, S.

    2002-01-01

    The Current Drive Experiment-Upgrade (CDX-U) device at the Princeton Plasma Physics Laboratory (PPPL) is a spherical torus (ST) dedicated to the exploration of liquid lithium as a potential solution to reactor first-wall problems such as heat load and erosion, neutron damage and activation, and tritium inventory and breeding. Initial lithium limiter experiments were conducted with a toroidally-local liquid lithium rail limiter (L3) from the University of California at San Diego. Spectroscopic measurements showed a clear reduction of impurities in plasmas with the L3, compared to discharges with a boron carbide limiter. The evidence for a reduction in recycling was less apparent, however. This may be attributable to the relatively small area in contact with the plasma, and the presence of high-recycling surfaces elsewhere in the vacuum chamber. This conclusion was tested in subsequent experiments with a fully toroidal lithium limiter that was installed above the floor of the vacuum vessel. The new limiter covered over ten times the area of the L3 facing the plasma. Experiments with the toroidal lithium limiter have recently begun. This paper describes the conditioning required to prepare the lithium surface for plasma operations, and effect of the toroidal liquid lithium limiter on discharge performance

  1. Spherical Torus Plasma Interactions with Large-area Liquid Lithium Surfaces in CDX-U

    Energy Technology Data Exchange (ETDEWEB)

    R. Kaita; R. Majeski; M. Boaz; P. Efthimion; B. Jones; D. Hoffman; H. Kugel; J. Menard; T. Munsat; A. Post-Zwicker; V. Soukhanovskii; J. Spaleta; G. Taylor; J. Timberlake; R. Woolley; L. Zakharov; M. Finkenthal; D. Stutman; G. Antar; R. Doerner; S. Luckhardt; R. Maingi; M. Maiorano; S. Smith

    2002-01-18

    The Current Drive Experiment-Upgrade (CDX-U) device at the Princeton Plasma Physics Laboratory (PPPL) is a spherical torus (ST) dedicated to the exploration of liquid lithium as a potential solution to reactor first-wall problems such as heat load and erosion, neutron damage and activation, and tritium inventory and breeding. Initial lithium limiter experiments were conducted with a toroidally-local liquid lithium rail limiter (L3) from the University of California at San Diego. Spectroscopic measurements showed a clear reduction of impurities in plasmas with the L3, compared to discharges with a boron carbide limiter. The evidence for a reduction in recycling was less apparent, however. This may be attributable to the relatively small area in contact with the plasma, and the presence of high-recycling surfaces elsewhere in the vacuum chamber. This conclusion was tested in subsequent experiments with a fully toroidal lithium limiter that was installed above the floor of the vacuum vessel. The new limiter covered over ten times the area of the L3 facing the plasma. Experiments with the toroidal lithium limiter have recently begun. This paper describes the conditioning required to prepare the lithium surface for plasma operations, and effect of the toroidal liquid lithium limiter on discharge performance.

  2. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kan, C.W., E-mail: tccwk@polyu.edu.hk [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Kwong, C.H. [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Ng, S.P. [Hong Kong Community College, The Hong Kong Polytechnic University (Hong Kong)

    2015-08-15

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  3. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kan, C.W.; Kwong, C.H.; Ng, S.P.

    2015-01-01

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment

  4. Plasma surface interaction with tungsten in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Dux, R.; Herrmann, A.; Kallenbach, A.; Neu, R.; Neuhauser, J.; Maier, H.; Pugno, R.; Puetterich, T.; Rohde, V.

    2005-01-01

    ASDEX Upgrade pursues the progressive increase of W coated plasma facing components. At present, the central column, the upper passive stabiliser loop, the complete upper divertor, the baffles at the lower divertor, as well as six tiles of one guard limiter at the low field side are W coated, representing about 65% of the total surface area. W erosion at these guard limiter tiles exceeds the erosion found at other main chamber components by more than one order of magnitude, and spectroscopically determined erosion yields indicate a strong contribution from fast particles. Upper single null discharges do not show an obviously increased W content compared to discharges run in the lower C based divertor

  5. Development of an Organosilicon-Based Superhydrophobic/Icephobic Surface Using an Atmospheric Pressure Plasma Jet =

    Science.gov (United States)

    Asadollahi, Siavash

    During the past few decades, plasma-based surface treatment methods have gained a lot of interest in various applications such as thin film deposition, surface etching, surface activation and/or cleaning, etc. Generally, in plasma-based surface treatment methods, high-energy plasma-generated species are utilized to modify the surface structure or the chemical composition of a substrate. Unique physical and chemical characteristics of the plasma along with the high controllability of the process makes plasma treatment approaches very attractive in several industries. Plasma-based treatment methods are currently being used or investigated for a number of practical applications, such as adhesion promotion in auto industry, wound management and cancer treatment in biomedical industry, and coating development in aerospace industry. In this study, a two-step procedure is proposed for the development of superhydrophobic/icephobic coatings based on atmospheric-pressure plasma treatment of aluminum substrates using air and nitrogen plasma. The effects of plasma parameters on various surface properties are studied in order to identify the optimum conditions for maximum coating efficiency against icing and wetting. In the first step, the interactions between air or nitrogen plasma and the aluminum surface are studied. It is shown that by reducing jet-to-substrate distance, air plasma treatment, unlike nitrogen plasma treatment, is capable of creating micro-porous micro-roughened structures on the surface, some of which bear a significant resemblance to the features observed in laser ablation of metals with short and ultra-short laser pulses. The formation of such structures in plasma treatment is attributed to a transportation of energy from the jet to the surface over a very short period of time, in the range of picoseconds to microseconds. This energy transfer is shown to occur through a streamer discharge from the rotating arc source in the jet body to a close proximity of

  6. Parametric plasma surface instabilities with s-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation ca be large compared to plasma ion density gradient scale lengths. They restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary is characterized by three parameters: (1) the ion density gradient scale length, (2) the electron Debye length, and (3) the excursion of boundary electrons as they move in response to monochromatic radiation. For s-polarized radiation, equilibrium fluid motion is parallel to the boundary when the ratio of the pump quiver velocity to the speed of light is small. In this case, an abruptly bounded plasma may be modeled with no transition width. If in this case the cold fluid approximation is used as well, the specular and diffuse boundary approximations become the same. A new formation is presented in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. A four-wave interaction is found to produce instability as well as surface wave frequency-shift. This mode is compared against other modes known to exist in this geometry. The theory of surface wave linear mode conversion is reviewed with special attention paid to power flow and energy conservation in this system

  7. On the anomalous interaction of intense light fluxes with a dense plasma

    International Nuclear Information System (INIS)

    D'yachenko, V.F.; Imshennik, V.S.

    1979-01-01

    The process of interaction of a light wave with plasma is considered in the framework of the system of the Maxwell-Vlasov equations without taking accout of particle collisions. The plasma were incident onto the plasma surface is monochromatic and linearly polarized. Plasma is cold and completely ionized. The concentration of charged particles is above critical one and varies in the direction of vector of the wave electric field. The results of several numerical calculations of this problem are presented. They show that if the energy flux density of the wave exceeds some critical one, plasma absorbes light intensively. Studied is the mechanism of nonlinear interaction of oscillations which leads to arising of multiflux motion and explaining this effect

  8. Plasma interactions with the outboard chamber wall in DIII-D

    International Nuclear Information System (INIS)

    Rudakov, D.L.; Boedo, J.A.; Yu, J.H.; Brooks, N.H.; Fenstermacher, M.E.; Groth, M.; Hollmann, E.M.; Lasnier, C.J.; McLean, A.G.; Moyer, R.A.; Stangeby, P.C.; Tynan, G.R.; Wampler, W.R.; Watkins, J.G.; West, W.P.; Wong, C.P.C.; Bastasz, R.J.; Buchenauer, D.; Whaley, J.

    2009-01-01

    Erosion of the main chamber plasma-facing components is of concern for ITER. Plasma interaction with the outboard chamber wall is studied in DIII-D using Langmuir probes and optical diagnostics. Fast camera data shows that edge localized modes (ELMs) feature helical filamentary structures propagating towards the outboard wall. Upon reaching the wall, filaments result in regions of local intense plasma-material interaction (PMI) where peak incident particle and heat fluxes are up to two orders of magnitude higher than those between ELMs. In low density/collisionality H-mode discharges, PMI at the outboard wall is almost entirely due to ELMs. A moderate change of the gap between the separatrix and the outer wall strongly affects PMI intensity at the wall. Material samples exposed near the outboard wall showed net carbon deposition in high-density discharges (near the Greenwald limit) and tendency towards net erosion in lower density discharges (∼0.45 of the Greenwald limit).

  9. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  10. Plasma under control: Advanced solutions and perspectives for plasma flux management in material treatment and nanosynthesis

    Science.gov (United States)

    Baranov, O.; Bazaka, K.; Kersten, H.; Keidar, M.; Cvelbar, U.; Xu, S.; Levchenko, I.

    2017-12-01

    Given the vast number of strategies used to control the behavior of laboratory and industrially relevant plasmas for material processing and other state-of-the-art applications, a potential user may find themselves overwhelmed with the diversity of physical configurations used to generate and control plasmas. Apparently, a need for clearly defined, physics-based classification of the presently available spectrum of plasma technologies is pressing, and the critically summary of the individual advantages, unique benefits, and challenges against key application criteria is a vital prerequisite for the further progress. To facilitate selection of the technological solutions that provide the best match to the needs of the end user, this work systematically explores plasma setups, focusing on the most significant family of the processes—control of plasma fluxes—which determine the distribution and delivery of mass and energy to the surfaces of materials being processed and synthesized. A novel classification based on the incorporation of substrates into plasma-generating circuitry is also proposed and illustrated by its application to a wide variety of plasma reactors, where the effect of substrate incorporation on the plasma fluxes is emphasized. With the key process and material parameters, such as growth and modification rates, phase transitions, crystallinity, density of lattice defects, and others being linked to plasma and energy fluxes, this review offers direction to physicists, engineers, and materials scientists engaged in the design and development of instrumentation for plasma processing and diagnostics, where the selection of the correct tools is critical for the advancement of emerging and high-performance applications.

  11. Development of high current density neutral beam injector with a low energy for interaction of plasma facing materials

    International Nuclear Information System (INIS)

    Nishikawa, Masahiro; Ueda, Yoshio; Goto, Seiichi

    1991-01-01

    A high current density neutral beam injector with a low energy has been developed to investigate interactions with plasma facing materials and propagation processes of damages. The high current density neutral beam has been produced by geometrical focusing method employing a spherical electrode system. The hydrogen beam with the current density of 140 mA/cm 2 has been obtained on the focal point in the case of the acceleration energy of 8 keV. (orig.)

  12. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  13. Investigations of Materials under High Repetition and Intense Fusion Pulses. Report of a Coordinated Research Project 2011-2016

    International Nuclear Information System (INIS)

    2017-12-01

    This publication presents experimental simulations of plasma-surface interaction phenomena at extreme conditions as expected in a fusion reactor, using dedicated test bed devices such as dense plasma focus, particle accelerators, plasma accelerators and plasma guns. It includes the investigation of the mechanism of material damage during transient heat loads on materials and addresses, in particular, the performance and adequacy of tungsten as plasma facing material for the next step fusion devices, such as ITER and fusion demonstration power plants. The publication is a compilation of the main results and findings of an IAEA coordinated research project on investigations on materials under high repetition and intense fusion pulses, conducted in the period 2011-2016 and provides a practical knowledge base for scientists and engineers carrying out activities in the plasma-material surface interaction area. Through its coordinated research activities, the IAEA has made it possible for States that are not yet members of the ITER project to contribute to ITER relevant scientific investigations, which have led to increased capabilities of diagnostics for plasma surface interaction.

  14. Investigation of surface boundary conditions for continuum modeling of RF plasmas

    Science.gov (United States)

    Wilson, A.; Shotorban, B.

    2018-05-01

    This work was motivated by a lacking general consensus in the exact form of the boundary conditions (BCs) required on the solid surfaces for the continuum modeling of Radiofrequency (RF) plasmas. Various kinds of number and energy density BCs on solid surfaces were surveyed, and how they interacted with the electric potential BC to affect the plasma was examined in two fundamental RF plasma reactor configurations. A second-order local mean energy approximation with equations governing the electron and ion number densities and the electron energy density was used to model the plasmas. Zero densities and various combinations of drift, diffusion, and thermal fluxes were considered to set up BCs. It was shown that the choice of BC can have a significant impact on the sheath and bulk plasma. The thermal and diffusion fluxes to the surface were found to be important. A pure drift BC for dielectric walls failed to produce a sheath.

  15. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  16. High intensity surface plasma waves, theory and PIC simulations

    Science.gov (United States)

    Raynaud, M.; Héron, A.; Adam, J.-C.

    2018-01-01

    With the development of intense (>1019 W cm-2) short pulses (≤25 fs) laser with very high contrast, surface plasma wave (SPW) can be explored in the relativistic regime. As the SPW propagates with a phase velocity close to the speed of light it may results in a strong acceleration of electron bunches along the surface permitting them to reach relativistic energies. This may be important e.g. for applications in the field of plasma-based accelerators. We investigate in this work the excitation of SPWs on grating preformed over-dense plasmas for laser intensities ranging from 1019 up to 1021 W cm-2. We discuss the nature of the interaction with respect to the solid case in which surface plasmon can be resonantly excited with weak laser intensity. In particular, we show the importance of the pulse duration and focalization of the laser beam on the amplitude of the SPW.

  17. Simulation of laser interaction with ablative plasma and hydrodynamic behavior of laser supported plasma

    Energy Technology Data Exchange (ETDEWEB)

    Tong Huifeng; Yuan Hong [Institute of Fluid Physics, Chinese Academy of Engineering Physics, P.O. Box 919-101, Mianyang, Sichuan 621900 (China); Tang Zhiping [CAS Key Laboratory for Mechanical Behavior and Design of Materials, Department of Mechanics and Mechanical Engineering, University of Science and Technology of China, Hefei 230026 (China)

    2013-01-28

    When an intense laser beam irradiates on a solid target, ambient air ionizes and becomes plasma, while part of the target rises in temperature, melts, vaporizes, ionizes, and yet becomes plasma. A general Godunov finite difference scheme WENO (Weighted Essentially Non-Oscillatory Scheme) with fifth-order accuracy is used to simulate 2-dimensional axis symmetrical laser-supported plasma flow field in the process of laser ablation. The model of the calculation of ionization degree of plasma and the interaction between laser beam and plasma are considered in the simulation. The numerical simulations obtain the profiles of temperature, density, and velocity at different times which show the evolvement of the ablative plasma. The simulated results show that the laser energy is strongly absorbed by plasma on target surface and that the velocity of laser supported detonation (LSD) wave is half of the ideal LSD value derived from Chapman-Jouguet detonation theory.

  18. Characterization of thermomechanical damage on tungsten surfaces during long-duration plasma transients

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, David, E-mail: david.rivera.ucla@gmail.com; Crosby, Tamer; Sheng, Andrew; Ghoniem, Nasr M.

    2014-12-15

    A new experimental facility constructed at UCLA for the simulation of high heat flux effects on plasma-facing materials is described. The High Energy Flux Test Facility (HEFTY) is equipped with a Praxair model SG-100 plasma gun, which is nominally rated at 80 kW of continuous operation, of which approximately 30 kW reaches the target due to thermal losses. The gun is used to impart high intermittent heat flux to metal samples mounted within a cylindrical chamber. The system is capable of delivering an instantaneous heat flux in the range of 30–300 MW/m{sup 2}, depending on sample proximity to the gun. The duration of the plasma heat flux is in the range of 1–1000 s, making it ideal for studies of mild plasma transients of relatively long duration. Tungsten and tungsten-copper alloy metal samples are tested in these transient heat flux conditions, and the surface is characterized for damage evaluation using optical, SEM, XRD, and micro-fabrication techniques. Results from a Finite Element (FE) thermo-elastoplasticity model indicate that during the heat-up phase of a plasma transient pulse, the majority of the sample surface is under compressive stresses leading to plastic deformation of the surface. Upon sample cooling, the recovered elastic strain of cooler parts of the sample exceeds that from parts that deformed plastically, resulting in a tensile surface self-stress (residual surface stress). The intensity of the residual tensile surface stress is experimentally correlated with the onset of complex surface fracture morphology on the tungsten surface, and extending below the surface region. Micro-compression mechanical tests of W micro-pillars show that the material has significant plasticity, failing by a “barreling” mode before plasma exposure, and by normal dislocation slip and localized shear after plasma exposure. Ongoing modeling of the complex thermo-fracture process, coupled with elasto-plasticity is based on a phase field approach for distributed

  19. Plasma-treated polyethylene film: A smart material applied for Salmonella Typhimurium detection

    International Nuclear Information System (INIS)

    Peng-Ubol, Triranat; Phinyocheep, Pranee; Daniel, Philippe; Panbangred, Watanalai; Pilard, Jean-François; Thouand, Gerald; Durand-Thouand, Marie-José

    2012-01-01

    Salmonella is a major cause of foodborne illness worldwide and is not allowed to be present in any food in all countries. The purpose of this study is to develop a simple alternative method for the detection of Salmonella based on functionalized polyethylene (PE) surfaces. Salmonella Typhimurium was used as a model bacterium. PE film was treated using dielectric plasma in order to alter the wettability of the PE surface and consequently introduce functionality on the surface. The PE film characterized by ATR-FTIR spectroscopy revealed the presence of C=O stretching of ketones, aldehydes and carboxylic acids. The antibodies against O or H antigens of Salmonella and S. Typhimurium were then respectively immobilized on the PE surface after activation of the carboxylic group using NHS/EDC followed by protein A. The evidences from ATR-FTIR, scanning electron microscopy and optical microscopy showed the presence of S. Typhimurium attached to the plasma treated PE surfaces via the two types of anti-Salmonella antibody. The plasma treated PE film developed is simple and allows efficient association of bacterial cells on the treated surfaces without the necessity of time-consuming centrifugation and washing steps for isolation of the cells. This material is considered to be a smart material applicable for S. Typhimurium detection. Highlights: ► We developed a functionalized polyethylene film for bacterial detection. ► We modified the surface of polyethylene film by plasma treatment. ► ATR-FTIR spectroscopy was used to analyze the functionality on the PE surface. ► We introduced Salmonella Typhimurium on the modified PE film. ► SEM revealed the presence of S. Typhimurium on the plasma treated PE film.

  20. Plasma processing of fibre materials for enhanced impact protection

    NARCIS (Netherlands)

    Creyghton, Y.L.M.; Simor, M.

    2009-01-01

    The performance of lightweight impact protective clothing depends on the constituting materials, their assembly in a system and interaction under various dynamic impact conditions. In this paper an overview of options for improved impact protective clothing systems based on a new plasma technology

  1. Spectrochemical analysis using laser plasma excitation

    International Nuclear Information System (INIS)

    Radziemski, L.J.

    1989-01-01

    This paper reports on analyses of gases, liquids, particles, and surfaces in which laser plasma is used to vaporize and excite a material. The authors present a discussion of the interaction between laser radiation and a solid and some recent analytical results using laser plasma excitation on metals. The use of laser plasmas as an ablation source is also discussed

  2. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  3. Solid hydrogen-plasma interaction

    International Nuclear Information System (INIS)

    Joergensen, L.W.

    1976-03-01

    A review of the need of refuelling fusion reactors and of the possible refuelling methods, in particular injection of pellets of solid hydrogen isotopes, is given. The interaction between hydrogen pellets and a fusion plasma is investigated and a theoretical model is given. From this it is seen that the necessary injected speed is above 10 4 m/sec. Experiments in which hydrogen pellets are interacting with a rotating test plasma (puffatron plasma) is described. The experimental results partly verify the basic ideas of the theoretical model. (Auth.)

  4. Plasma Surface Modification of Polyaramid Fibers for Protective Clothing

    Science.gov (United States)

    Widodo, Mohamad

    2011-12-01

    The purpose of this research was to develop a novel process that would achieve biocidal properties on Kevlar fabric via atmospheric pressure plasma jet (APPJ) induced-graft polymerization of monomers. In the course of the study, experiments were carried out to understand plasma-monomer-substrate interactions, particularly, how each of the main parameters in the plasma processing affects the formation of surface radicals and eventually the degree of graft polymerization of monomers. The study also served to explore the possibility of developing plasma-initiated and plasma-controlled graft polymerization for continuous operation. In this regards, three methods of processing were studied, which included two-step plasma graft-polymerization with immersion, two-step and one-step plasma graft-polymerization with pad-dry. In general, plasma treatment did not cause visible damage to the surface of Kevlar fibers, except for the appearance of tiny globules distributed almost uniformly indicating a minor effect of plasma treatment to the surface morphology of the polymer. From the examination of SEM images, however, it was found that a very localized surface etching seemed to have taken place, especially at high RF power (800 W) and long time of exposure (60 s), even in plasma downstream mode of operation. It was suggested that a small amount of charged particles might have escaped and reached the substrate surface. High density of surface radicals, which is the prerequisite for high graft density and high antimicrobial activity, was achieved by the combination of high RF power and short exposure time or low RF power and long time of exposure. This was a clear indication that the formation of surface radicals is a function of amount of the dissipated energy, which also explained the two-factor interaction between the two process parameters. XPS results showed that hydrolysis of the anilide bond of PPTA chains took place to some extent on the surface of Kevlar, leading to the

  5. Behavior of plasma facing surface in the large helical device

    International Nuclear Information System (INIS)

    Hino, T.; Nobuta, Y.; Sagara, A.

    2002-10-01

    Material probes have been installed at the inner walls along poloidal direction in LHD from the first experimental campaign. After each the campaign, the impurity deposition and the gas retention have been examined to clarify the plasma surface interaction and the degree of wall cleaning. In the 2nd campaign, the entire wall was considerably cleaned by helium glow discharge conditionings. For the 3rd and 4th campaigns, graphite tiles were installed at entire divertor strike region, and then the wall condition significantly changed compared to the case of stainless steel wall. The erosion of graphite took place during the main discharges and the eroded carbon deposited on the entire wall. In particular, the deposition thickness was large at the wall far from the plasma. Since the entire wall was well carbonized, amount of retained discharge gas such as H and He became large. In particular, the helium retention was large at the position close to the anodes used for helium glow discharge cleanings. One characteristics of the LHD wall is a large retention of helium gas since the wall temperature is limited below 368 K. In order to reduce the recycling of discharge gas, the wall heating before the experimental campaign and the surface heating between the main discharge shots are planned. (author)

  6. Behavior of plasma facing surface in the large helical device

    International Nuclear Information System (INIS)

    Hino, T.; Nobuta, Y.; Sagara, A.

    2002-01-01

    Material probes have been installed at the inner walls along poloidal direction in LHD from the first experimental campaign. After each campaign, the impurity deposition and the gas retention have been examined to clarify the plasma surface interaction and the degree of wall cleaning. In the 2nd campaign, the entire wall was considerably cleaned by helium glow discharge conditionings. For the 3rd and 4th campaigns, graphite tiles were installed at entire divertor strike region, and then the wall condition significantly changed compared to the case of stainless steel wall. The erosion of graphite took place during the main discharges and the eroded carbon deposited on the entire wall. In particular, the deposition thickness was large at the wall far from the plasma. Since the entire wall was well carbonized, amount of retained discharge gas such as H and He became large. In particular, the helium retention was large at the position close to the anodes used for helium glow discharge cleanings. One characteristics of the LHD wall is a large retention of helium gas since the wall temperature is limited below 368 K. In order to reduce the recycling of discharge gas, the wall heating before the experimental campaign and the surface heating between the main discharge shots are planned. (author)

  7. Behavior of plasma facing surface in the large helical device

    Energy Technology Data Exchange (ETDEWEB)

    Hino, T.; Nobuta, Y. [Hokkaido Univ., Dept. of Nuclear Engineering, Sapporo, Hokkaido (Japan); Sagara, A. [National Inst. for Fusion Science, Toki, Gifu (Japan)] [and others

    2002-11-01

    Material probes have been installed at the inner walls along poloidal direction in LHD from the first experimental campaign. After each campaign, the impurity deposition and the gas retention have been examined to clarify the plasma surface interaction and the degree of wall cleaning. In the 2nd campaign, the entire wall was considerably cleaned by helium glow discharge conditionings. For the 3rd and 4th campaigns, graphite tiles were installed at entire divertor strike region, and then the wall condition significantly changed compared to the case of stainless steel wall. The erosion of graphite took place during the main discharges and the eroded carbon deposited on the entire wall. In particular, the deposition thickness was large at the wall far from the plasma. Since the entire wall was well carbonized, amount of retained discharge gas such as H and He became large. In particular, the helium retention was large at the position close to the anodes used for helium glow discharge cleanings. One characteristics of the LHD wall is a large retention of helium gas since the wall temperature is limited below 368 K. In order to reduce the recycling of discharge gas, the wall heating before the experimental campaign and the surface heating between the main discharge shots are planned. (author)

  8. The interactions of the HELIOS probe with the solar wind plasma

    International Nuclear Information System (INIS)

    Voigt, G.H.; Isensee, U.; Maassberg, H.

    1981-08-01

    HELIOS solar probe disturbs the solar wind plasma in the near vicinity. Around the probe, a space charge cloud is formed due to strong photoelectron emission and fade out of solar wind particles. The conducting and isolating parts of the surface are differently charged. These effects result in a very complex potential structure in the vicinity of the probe and on the surface. The interactions of the HELIOS probe with the solar wind plasma are described by models based on kinetic theory of plasma. The combination of these models yields an entire and consistent representation of the spacecraft charging and the potential structure. Electron spectra measured by plasma experiment E1 are analysed and compared with results of the theoretical models. (orig.) [de

  9. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Juárez-Moreno, J.A. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Ávila-Ortega, A. [Facultad de Ingeniería Química—UADY, Periférico Norte Kilómetro 33.5, Col. Chuburna de Hidalgo Inn, C.P. , 97203 Mérida, Yucatán (Mexico); Oliva, A.I. [Centro de Investigación y de Estudios Avanzados del IPN–Unidad Mérida, Km. 6 Antigua carretera a Progreso Apdo. Postal 73, Cordemex, 97310 Mérida, Yucatán (Mexico); Avilés, F. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Cauich-Rodríguez, J.V., E-mail: jvcr@cicy.mx [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico)

    2015-09-15

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups.

  10. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    International Nuclear Information System (INIS)

    Juárez-Moreno, J.A.; Ávila-Ortega, A.; Oliva, A.I.; Avilés, F.; Cauich-Rodríguez, J.V.

    2015-01-01

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups

  11. A dynamic monitoring approach for the surface morphology evolution measurement of plasma facing components by means of speckle interferometry

    Science.gov (United States)

    Wang, Hongbei; Cui, Xiaoqian; Feng, Chunlei; Li, Yuanbo; Zhao, Mengge; Luo, Guangnan; Ding, Hongbin

    2017-11-01

    Plasma Facing Components (PFCs) in a magnetically confined fusion plasma device will be exposed to high heat load and particle fluxes, and it would cause PFCs' surface morphology to change due to material erosion and redeposition from plasma wall interactions. The state of PFCs' surface condition will seriously affect the performance of long-pulse or steady state plasma discharge in a tokamak; it will even constitute an enormous threat to the operation and the safety of fusion plasma devices. The PFCs' surface morphology evolution measurement could provide important information about PFCs' real-time status or damage situation and it would help to a better understanding of the plasma wall interaction process and mechanism. Meanwhile through monitoring the distribution of dust deposition in a tokamak and providing an upper limit on the amount of loose dust, the PFCs' surface morphology measurement could indirectly contribute to keep fusion operational limits and fusion device safety. Aiming at in situ dynamic monitoring PFCs' surface morphology evolution, a laboratory experimental platform DUT-SIEP (Dalian University of Technology-speckle interferometry experimental platform) based on the speckle interferometry technique has been constructed at Dalian University of Technology (DUT) in China. With directional specific designing and focusing on the real detection condition of EAST (Experimental Advanced Superconducting Tokamak), the DUT-SIEP could realize a variable measurement range, widely increased from 0.1 μm to 300 μm, with high spatial resolution (<1 mm) and ultra-high time resolution (<2 s for EAST measuring conditions). Three main components of the DUT-SIEP are all integrated and synchronized by a time schedule control and data acquisition terminal and coupled with a three-dimensional phase unwrapping algorithm, the surface morphology information of target samples can be obtained and reconstructed in real-time. A local surface morphology of the real divertor

  12. Experimental evidence of beam-foil plasma creation during ion-solid interaction

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Prashant, E-mail: prashant@iuac.res.in; Nandi, Tapan [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India)

    2016-08-15

    Charge state evolution of the energetic projectile ions during the passage through thin carbon foils has been revisited using the X-ray spectroscopy technique. Contributions from the bulk and the solid surface in the charge changing processes have been segregated by measuring the charge state distribution of the projectile ions in the bulk of the target during the ion–solid interaction. Interestingly, the charge state distribution measured in the bulk exhibits Lorentzian profile in contrast to the well-known Gaussian structure observed using the electromagnetic methods and the theoretical predictions. The occurrence of such behavior is a direct consequence of the imbalance between charge changing processes, which has been seen in various cases of the laboratory plasma. It suggests that the ion-solid collisions constitute high-density, localized plasma in the bulk of the solid target, called the beam-foil plasma. This condensed beam-foil plasma is similar to the high-density solar and stellar plasma which may have practical implementations in various fields, in particular, plasma physics and nuclear astrophysics. The present work suggests further modification in the theoretical charge state distribution calculations by incorporating the plasma coupling effects during the ion–solid interactions. Moreover, the multi-electron capture from the target exit surface has been confirmed through comparison between experimentally measured and theoretically predicted values of the mean charge state of the projectile ions.

  13. Impact of plasma treatment under atmospheric pressure on surface chemistry and surface morphology of extruded and injection-molded wood-polymer composites (WPC)

    Science.gov (United States)

    Hünnekens, Benedikt; Avramidis, Georg; Ohms, Gisela; Krause, Andreas; Viöl, Wolfgang; Militz, Holger

    2018-05-01

    The influence of plasma treatment performed at atmospheric pressure and ambient air as process gas by a dielectric barrier discharge (DBD) on the morphological and chemical surface characteristics of wood-polymer composites (WPC) was investigated by applying several surface-sensitive analytical methods. The surface free energy showed a distinct increase after plasma treatment for all tested materials. The analyzing methods for surface topography-laser scanning microscopy (LSM) and atomic force microscopy (AFM)-revealed a roughening induced by the treatment which is likely due to a degradation of the polymeric surface. This was accompanied by the formation of low-molecular-weight oxidized materials (LMWOMs), appearing as small globular structures. With increasing discharge time, the nodules increase in size and the material degradation proceeds. The surface degradation seems to be more serious for injection-molded samples, whereas the formation of nodules became more apparent and were evenly distributed on extruded surfaces. These phenomena could also be confirmed by scanning electron microscopy (SEM). In addition, differences between extruded and injection-molded surfaces could be observed. Besides the morphological changes, the chemical composition of the substrates' surfaces was affected by the plasma discharge. Infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS) indicated the formation of new oxygen containing polar groups on the modified surfaces.

  14. Liquid lithium surface control and its effect on plasma performance in the HT-7 tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zuo, G.Z.; Ren, J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Hu, J.S., E-mail: hujs@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Sun, Z.; Yang, Q.X.; Li, J.G. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Zakharov, L.E. [Princeton University Plasma Physics Laboratory Princeton, NJ 08543 (United States); Ruzic, David N. [University of Illinois, Urbana, IL 61801 (United States)

    2014-12-15

    Highlights: • Strong interaction between plasma and Li would cause strong Li emission and lead to disruptive plasmas, and probable reasons were analyzed. • Serious Li would be emitted from the free statics surface mainly due to J × B force leading to plasma instable and disruptions. • CPS surface would partially suppress the emission and be beneficial for plasma operation. • Li emission from flowing LLLs on free surfaces on SS trenches and on SS plate were compared. - Abstract: Experiments with liquid lithium limiters (LLLs) have been successfully performed in HT-7 since 2009 and the effects of different limiter surface structures on the ejection of Li droplets have been studied and compared. The experiments have demonstrated that strong interaction between the plasma and the liquid surface can cause intense Li efflux in the form of ejected Li droplets – which can, in turn, lead to plasma disruptions. The details of the LLL plasma-facing surface were observed to be extremely important in determining performance. Five different LLLs were evaluated in this work: two types of static free-surface limiters and three types of flowing liquid Li (FLLL) structures. It has been demonstrated that a FLLL with a slowly flowing thin liquid Li film on vertical flow plate which was pre-treated with evaporated Li was much less susceptible to Li droplet ejection than any of the other structures tested in this work. It was further observed that the plasmas run against this type of limiter were reproducibly well-behaved. These results provide technical references for the design of FLLLs in future tokamaks so as to avoid strong Li ejection and to decrease disruptive plasmas.

  15. Modification of Material Surface Using Plasma-Enhanced Ion Beams

    National Research Council Canada - National Science Library

    Bystritskii, V

    1998-01-01

    ...) Technology for Materials Surface Modification. Following second year programmatic plan, formulated in the conclusion of the 1-st year report we focused our effort on study of aluminum alloys modification (Al2024, 6061, 7075...

  16. Some preliminary views of plasma interaction: electromagnetic-launch systems

    International Nuclear Information System (INIS)

    Buckingham, A.C.; Hawke, R.S.

    1982-01-01

    This discussion outlines a few areas of fundamental research which appear vital for progress in developing advanced propulsion concepts using dc railgun thrusters. We have placed emphasis on the following: (1) dense plasma and high current density influences on changes in microstructure and properties of conventional rail conductors such as Cu, Al, and W alloys or composites; (2) the influences described in (1) on more advanced high temperature, microstrain resistant, materials such as amorphous tungsten; (3) location, description and temporal evolution of current, magnetic field, and losses during intense plasma-current field interactions with conductors; and (4) composite materials and sequentially sectioned structures for more efficient EM dc launcher configuration

  17. Surface conductivity dependent dynamic behaviour of an ultrafine atmospheric pressure plasma jet for microscale surface processing

    Energy Technology Data Exchange (ETDEWEB)

    Abuzairi, Tomy [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Depok 16424 (Indonesia); Okada, Mitsuru [Department of Engineering, Shizuoka University, Hamamatsu 432-8561 (Japan); Bhattacharjee, Sudeep [Department of Physics, Indian Institute of Technology, Kanpur 208016 (India); Nagatsu, Masaaki, E-mail: nagatsu.masaaki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Engineering, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2016-12-30

    Highlights: • Spatio-temporal behaviors of capillary APPJs are studied for various substrates. • Plasma irradiation area depended on the substrate conductivity and permittivity. • Surface irradiation area was significantly broadened in polymer-like substrate. • Effect of applying a substrate bias on the APPJ irradiation area was investigated. - Abstract: An experimental study on the dynamic behaviour of microcapillary atmospheric pressure plasma jets (APPJs) with 5 μm tip size for surfaces of different conductivity is reported. Electrical and spatio-temporal characteristics of the APPJs are monitored using high voltage probe, current monitor and high speed intensified charge couple device camera. From these experimental results, we presented a simple model to understand the electrical discharge characteristics of the capillary APPJs with double electrodes, and estimated the velocity of the ionization fronts in the jet and the electron density to be 3.5–4.2 km/s and 2–7 × 10{sup 17} m{sup −3}. By analyzing the dynamics of the microcapillary APPJs for different substrate materials, it was found that the surface irradiation area strongly depended on the substrate conductivity and permittivity, especially in the case of polymer-like substrate, surface irradiation area was significantly broadened probably due to the repelling behaviour of the plasma jets from the accumulated electrical charges on the polymer surface. The effect of applying a substrate bias in the range from −900 V to +900 V on the plasma irradiation onto the substrates was also investigated. From the knowledge of the present results, it is helpful for choosing the substrate materials for microscale surface modification.

  18. Surface conductivity dependent dynamic behaviour of an ultrafine atmospheric pressure plasma jet for microscale surface processing

    International Nuclear Information System (INIS)

    Abuzairi, Tomy; Okada, Mitsuru; Bhattacharjee, Sudeep; Nagatsu, Masaaki

    2016-01-01

    Highlights: • Spatio-temporal behaviors of capillary APPJs are studied for various substrates. • Plasma irradiation area depended on the substrate conductivity and permittivity. • Surface irradiation area was significantly broadened in polymer-like substrate. • Effect of applying a substrate bias on the APPJ irradiation area was investigated. - Abstract: An experimental study on the dynamic behaviour of microcapillary atmospheric pressure plasma jets (APPJs) with 5 μm tip size for surfaces of different conductivity is reported. Electrical and spatio-temporal characteristics of the APPJs are monitored using high voltage probe, current monitor and high speed intensified charge couple device camera. From these experimental results, we presented a simple model to understand the electrical discharge characteristics of the capillary APPJs with double electrodes, and estimated the velocity of the ionization fronts in the jet and the electron density to be 3.5–4.2 km/s and 2–7 × 10"1"7 m"−"3. By analyzing the dynamics of the microcapillary APPJs for different substrate materials, it was found that the surface irradiation area strongly depended on the substrate conductivity and permittivity, especially in the case of polymer-like substrate, surface irradiation area was significantly broadened probably due to the repelling behaviour of the plasma jets from the accumulated electrical charges on the polymer surface. The effect of applying a substrate bias in the range from −900 V to +900 V on the plasma irradiation onto the substrates was also investigated. From the knowledge of the present results, it is helpful for choosing the substrate materials for microscale surface modification.

  19. Evaluation of mechanism of non-thermal plasma effect on the surface of polypropylene films for enhancement of adhesive and hemo compatible properties

    Energy Technology Data Exchange (ETDEWEB)

    Navaneetha Pandiyaraj, K., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore-641062 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai-400 019 (India); Arunkumar, A.; Ramkumar, M.C. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore-641062 (India); Ruzybayev, I.; Ismat Shah, S. [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Periayah, Mercy Halleluyah; Halim, A.S. [School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2015-08-30

    Highlights: • Investigated the mechanism of effect of various gaseous plasma treatments on the surface properties of Polypropylene (PP) films. • The improvement in surface energy is basically due to the incorporation of polar functional groups onto the PP films. • The extent of surface modification and hydrophobic recovery depends upon the type of plasma forming gas. • Due to the significant morphological and chemical changes induced by the gaseous plasma treatment, improved the blood compatibility as well as adhesive strength of the PP films. - Abstract: The hydro-carbon based polymers have attracted attention of scientists for its use in bio-medical field as various implants due to inherent flexibility. However, they have poor surface properties; particularly they have low surface energy (SE). Hence, blood components (platelets, blood proteins, etc.)-polymer surface interaction is the major concern when it comes in contact with blood. Thus, surface modification is required to develop the perfect antithrombogenic property without affecting the materials bulk. The present study describes the improvement in adhesive and blood compatible properties of polypropylene (PP) by low temperature (non-thermal) plasma of various gases such as Ar, O{sub 2}, air and Ar + O{sub 2} for biomedical applications. The changes in surface morphological, chemical and hydrophilic modification induced by the gaseous plasma treatment were analyzed by atomic force microscopy (AFM), X-ray photo electron spectroscopy (XPS), electron spin resonance (ESR) spectroscopy and contact angle measurements, respectively. Moreover, the stability of plasma effect was also studied for the different storage conditions. Variation in adhesive strength of the plasma treated PP film was studied by T-Peel and Lap-Shear strength tests. The blood compatibility of the surface modified PP films was investigated by in vitro analysis. It was found that gaseous plasma treatment improved the blood compatibility

  20. Evaluation of mechanism of non-thermal plasma effect on the surface of polypropylene films for enhancement of adhesive and hemo compatible properties

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Deshmukh, R.R.; Arunkumar, A.; Ramkumar, M.C.; Ruzybayev, I.; Ismat Shah, S.; Su, Pi-Guey; Periayah, Mercy Halleluyah; Halim, A.S.

    2015-01-01

    Highlights: • Investigated the mechanism of effect of various gaseous plasma treatments on the surface properties of Polypropylene (PP) films. • The improvement in surface energy is basically due to the incorporation of polar functional groups onto the PP films. • The extent of surface modification and hydrophobic recovery depends upon the type of plasma forming gas. • Due to the significant morphological and chemical changes induced by the gaseous plasma treatment, improved the blood compatibility as well as adhesive strength of the PP films. - Abstract: The hydro-carbon based polymers have attracted attention of scientists for its use in bio-medical field as various implants due to inherent flexibility. However, they have poor surface properties; particularly they have low surface energy (SE). Hence, blood components (platelets, blood proteins, etc.)-polymer surface interaction is the major concern when it comes in contact with blood. Thus, surface modification is required to develop the perfect antithrombogenic property without affecting the materials bulk. The present study describes the improvement in adhesive and blood compatible properties of polypropylene (PP) by low temperature (non-thermal) plasma of various gases such as Ar, O 2 , air and Ar + O 2 for biomedical applications. The changes in surface morphological, chemical and hydrophilic modification induced by the gaseous plasma treatment were analyzed by atomic force microscopy (AFM), X-ray photo electron spectroscopy (XPS), electron spin resonance (ESR) spectroscopy and contact angle measurements, respectively. Moreover, the stability of plasma effect was also studied for the different storage conditions. Variation in adhesive strength of the plasma treated PP film was studied by T-Peel and Lap-Shear strength tests. The blood compatibility of the surface modified PP films was investigated by in vitro analysis. It was found that gaseous plasma treatment improved the blood compatibility as well

  1. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  2. Experimental study of plasma energy transfer and material erosion under ELM-like heat loads

    Energy Technology Data Exchange (ETDEWEB)

    Garkusha, I.E., E-mail: garkusha@ipp.kharkov.u [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine); Makhlaj, V.A.; Chebotarev, V.V. [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine); Landman, I. [Forschungszentrum Karlsruhe, IHM, 76021 Karlsruhe (Germany); Tereshin, V.I.; Aksenov, N.N.; Bandura, A.N. [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine)

    2009-06-15

    Main features of plasma-surface interaction and energy transfer to tokamak plasma facing components are studied at different heat loads in ELM simulation experiments with the plasma gun QSPA Kh-50. Repetitive plasma exposures of tungsten, graphite and different combined W-C targets were performed at the pulse duration of 0.25 ms and the heat loads varied in the range 0.2-2.5 MJ/m{sup 2}. The onset of vapor shield in front of the surface was investigated. The evaporation is immediately followed by a saturation of surface heat load if further increasing the impact energy. The presence of graphite essentially decreases the heat flux to the nearby tungsten surface, which is due to the carbon vapor shield. Droplet splashing at the tungsten surface and formation of hot spots on the graphite surface are discussed.

  3. Experimental study of plasma energy transfer and material erosion under ELM-like heat loads

    International Nuclear Information System (INIS)

    Garkusha, I.E.; Makhlaj, V.A.; Chebotarev, V.V.; Landman, I.; Tereshin, V.I.; Aksenov, N.N.; Bandura, A.N.

    2009-01-01

    Main features of plasma-surface interaction and energy transfer to tokamak plasma facing components are studied at different heat loads in ELM simulation experiments with the plasma gun QSPA Kh-50. Repetitive plasma exposures of tungsten, graphite and different combined W-C targets were performed at the pulse duration of 0.25 ms and the heat loads varied in the range 0.2-2.5 MJ/m 2 . The onset of vapor shield in front of the surface was investigated. The evaporation is immediately followed by a saturation of surface heat load if further increasing the impact energy. The presence of graphite essentially decreases the heat flux to the nearby tungsten surface, which is due to the carbon vapor shield. Droplet splashing at the tungsten surface and formation of hot spots on the graphite surface are discussed.

  4. Vacuum System and Modeling for the Materials Plasma Exposure Experiment

    International Nuclear Information System (INIS)

    Lumsdaine, Arnold; Meitner, Steve; Graves, Van; Bradley, Craig; Stone, Chris

    2017-01-01

    Understanding the science of plasma-material interactions (PMI) is essential for the future development of fusion facilities. The design of divertors and first walls for the next generation of long-pulse fusion facilities, such as a Fusion Nuclear Science Facility (FNSF) or a DEMO, requires significant PMI research and development. In order to meet this need, a new linear plasma facility, the Materials Plasma Exposure Experiment (MPEX) is proposed, which will produce divertor relevant plasma conditions for these next generation facilities. The device will be capable of handling low activation irradiated samples and be able to remove and replace samples without breaking vacuum. A Target Exchange Chamber (TEC) which can be disconnected from the high field environment in order to perform in-situ diagnostics is planned for the facility as well. The vacuum system for MPEX must be carefully designed in order to meet the requirements of the different heating systems, and to provide conditions at the target similar to those expected in a divertor. An automated coupling-decoupling (“autocoupler”) system is designed to create a high vacuum seal, and will allow the TEC to be disconnected without breaking vacuum in either the TEC or the primary plasma materials interaction chamber. This autocoupler, which can be actuated remotely in the presence of the high magnetic fields, has been designed and prototyped, and shows robustness in a variety of conditions. The vacuum system has been modeled using a simplified finite element analysis, and indicates that the design goals for the pressures in key regions of the facility are achievable.

  5. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Tao [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Liu, Yong [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Zhu, Yan, E-mail: zhuyan@kmust.edu.cn [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Yang, De-Quan, E-mail: dequan.yang@gmail.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Sacher, Edward [Regroupement Québécois de Matériaux de Pointe, Department of Engineering Physics, École Polytechnique de Montréal, Case Postale 6079, succursale Centre-Ville, Montréal, Québec H3C 3A7 (Canada)

    2017-07-31

    Highlights: • A two-step process has been developed to enhance the adhesion of immobilized Ag NPs to the PET surface. • The method is simple, easy to use and low-cost for mass production. • The increased density of active sites (−OH, −CH=O and COOH) at the PET surface, after plasma treatment, permits increased reaction with 3-aminopropyltriethoxysilane (APTES). • The presence of APTES with high surface density permits −NH{sub 2}-Ag complex formation, increasing the adhesion of the Ag NPs. - Abstract: Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (−OH, −CH=O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose −NH{sub 2} groups were then able to form a bonding complex with the Ag NPs.

  6. Fast plasma sintering delivers functional graded materials components with macroporous structures and osseointegration properties.

    Science.gov (United States)

    Godoy, R F; Coathup, M J; Blunn, G W; Alves, A L; Robotti, P; Goodship, A E

    2016-04-13

    We explored the osseointegration potential of two macroporous titanium surfaces obtained using fast plasma sintering (FPS): Ti macroporous structures with 400-600 µmØ pores (TiMac400) and 850-1000 µmØ pores (TiMac850). They were compared against two surfaces currently in clinical use: Ti-Growth® and air plasma spray (Ti-Y367). Each surface was tested, once placed over a Ti-alloy and once onto a CoCr bulk substrate. Implants were placed in medial femoral condyles in 24 sheep. Samples were explanted at four and eight weeks after surgery. Push-out loads were measured using a material-testing system. Bone contact and ingrowth were assessed by histomorphometry and SEM and EDX analyses. Histology showed early osseointegration for all the surfaces tested. At 8 weeks, TiMac400, TiMac850 and Ti-Growth® showed deep bone ingrowth and extended colonisation with newly formed bone. The mechanical push-out force was equal in all tested surfaces. Plasma spray surfaces showed greater bone-implant contact and higher level of pores colonisation with new bone than FPS produced surfaces. However, the void pore area in FPS specimens was significantly higher, yet the FPS porous surfaces allowed a deeper osseointegration of bone to implant. FPS manufactured specimens showed similar osseointegration potential to the plasma spray surfaces for orthopaedic implants. FPS is a useful technology for manufacturing macroporous titanium surfaces. Furthermore, its capability to combine two implantable materials, using bulk CoCr with macroporous titanium surfaces, could be of interest as it enables designers to conceive and manufacture innovative components. FPS delivers functional graded materials components with macroporous structures optimised for osseointegration.

  7. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Science.gov (United States)

    Kan, C. W.; Kwong, C. H.; Ng, S. P.

    2015-08-01

    Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  8. Application of MEVVA discharge to material surface modification

    International Nuclear Information System (INIS)

    Gao Yu; Geng Man; Huang Yuming; Gong Xiaorong; Yu Yijun; Tang Deli; Tie Jun

    1996-01-01

    The authors describes some characteristics of the MEVVA discharge, the process of generating a cathode-arc plasma and the advantages of the MEVVA discharge compared with the kind of heating-vaporizing-ionizing source. Some practical parameters and the operating process of the MEVVA ion source as well as a plasma source with MEVVA discharge used in a PSII device are presented. Various plasmas having good-quality and high-performance are obtained with MEVVA discharges and have been widely used in sight-line processing and omnibearing ion implantation for material surface modification

  9. Plasma-treated polyethylene film: A smart material applied for Salmonella Typhimurium detection

    Energy Technology Data Exchange (ETDEWEB)

    Peng-Ubol, Triranat [Department of Chemistry, Faculty of Science, Mahidol University, Rama 6 Rd, Phayathai, Bangkok 10400 (Thailand); Phinyocheep, Pranee, E-mail: scppo@mahidol.ac.th [Department of Chemistry, Faculty of Science, Mahidol University, Rama 6 Rd, Phayathai, Bangkok 10400 (Thailand); Daniel, Philippe [Laboratoire de Physique de l' Etat Condense (LPEC-UMR CNRS 6087), Universite du Maine, Avenue Olivier Messiaen, 72085, Le Mans Cedex 9 (France); Panbangred, Watanalai [Department of Biotechnology and Mahidol University-Osaka University Collaborative Research Center for Bioscience and Biotechnology (MU-OU: CRC), Faculty of Science, Mahidol University, Rama 6 Rd, Phayathai, Bangkok 10400 (Thailand); Pilard, Jean-Francois [Unite de Chimie Organique Moleculaire et Macromoleculaire (UCO2M-UMR CNRS 6011), Universite du Maine, Avenue Olivier Messiaen, 72085 Le Mans Cedex 9 (France); Thouand, Gerald; Durand-Thouand, Marie-Jose [Genie des Procedes Environnement et Agroalimentaire (GEPEA UMR CNRS 6144), Departement Genie Biologique, IUT de la Roche/Yon, Universite de Nantes, 18 Bd G. Defferre, 85035 La Roche sur Yon (France)

    2012-12-01

    Salmonella is a major cause of foodborne illness worldwide and is not allowed to be present in any food in all countries. The purpose of this study is to develop a simple alternative method for the detection of Salmonella based on functionalized polyethylene (PE) surfaces. Salmonella Typhimurium was used as a model bacterium. PE film was treated using dielectric plasma in order to alter the wettability of the PE surface and consequently introduce functionality on the surface. The PE film characterized by ATR-FTIR spectroscopy revealed the presence of C=O stretching of ketones, aldehydes and carboxylic acids. The antibodies against O or H antigens of Salmonella and S. Typhimurium were then respectively immobilized on the PE surface after activation of the carboxylic group using NHS/EDC followed by protein A. The evidences from ATR-FTIR, scanning electron microscopy and optical microscopy showed the presence of S. Typhimurium attached to the plasma treated PE surfaces via the two types of anti-Salmonella antibody. The plasma treated PE film developed is simple and allows efficient association of bacterial cells on the treated surfaces without the necessity of time-consuming centrifugation and washing steps for isolation of the cells. This material is considered to be a smart material applicable for S. Typhimurium detection. Highlights: Black-Right-Pointing-Pointer We developed a functionalized polyethylene film for bacterial detection. Black-Right-Pointing-Pointer We modified the surface of polyethylene film by plasma treatment. Black-Right-Pointing-Pointer ATR-FTIR spectroscopy was used to analyze the functionality on the PE surface. Black-Right-Pointing-Pointer We introduced Salmonella Typhimurium on the modified PE film. Black-Right-Pointing-Pointer SEM revealed the presence of S. Typhimurium on the plasma treated PE film.

  10. Investigation of helium plasma stream parameters in experiments on surface modification

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Chebotarev, V.V.; Garkusha, I.E.; Tsarenko, A.V. and eds.

    2005-01-01

    The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. With use of optical spectroscopy, detailed information about the basic plasma parameters - electron density, electron and ion temperatures, plasma stream duration and velocity, was obtained. Integrated spectra of plasma radiation were analyzed. The majority of helium and impurity spectral lines were investigated on a subject of Stark broadening. Plasma pressure and energy density values measured with piezodetectors and calorimeters are in good agreement with plasma parameters obtained by optical techniques

  11. Plasma rotation effect on interaction of low frequency fields with plasmas at the rational surfaces in tokamaks

    International Nuclear Information System (INIS)

    Rondan, E.R.; Elfimov, A.G.; Galvao, R.M.O.; Pires, C.J.A.

    2006-01-01

    The effect of plasma rotation on low frequency (LF) field penetration, absorption and ponderomotive forces in TEXTOR and in Tokamak Chauffage Alfven Bresilien (TCABR) is investigated in the frequency band of 1-10 kHz. The LF fields are driven by the dynamic ergodic divertor in TEXTOR and the ergodic magnetic limiter in TCABR. Alfven wave mode conversion is responsible for the LF field absorption at the rational magnetic surface where q = -M/N is the integer. Analytical and numerical calculations show the maxima of the LF field absorption at the local Alfven wave resonance ω - k · U = k parallel c A , where ω and k are the frequency and the wave vector, respectively, and c A is the Alfven velocity at the rational magnetic surface q = 2, 3 in TEXTOR and TCABR. The rotation velocity U along the magnetic surfaces, taken into account in the dielectric tensor, can strongly modify the LF field and dissipated power profiles. The absorption in the local AW resonances begins to be non-symmetric in relation to the resonance surface. Calculations show that coil impedance has a maximum related to excitation of some stable (possibly Suydam) modes for waves travelling in the direction of plasma rotation

  12. Bibliographic data on surface processes in particle-material interactions published in Japan, 1986-1987

    International Nuclear Information System (INIS)

    Gesi, Kazuo; Nagai, Siro; Ozawa, Kunio.

    1989-01-01

    Data on surface processes in particle-material interactions for fusion technology have been surveyed and collected over 24 publications which have been published during January, 1986 - December, 1987 in Japan. The bibliographic data in the form of data sheets were sent to the International Data Center in IAEA. This report presents 97 selected data sheets arranged in the order of codes of relevant phenomena. A list of literature is given. (author) 159 refs

  13. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  14. Spacecraft-plasma-debris interaction in an ion beam shepherd mission

    Science.gov (United States)

    Cichocki, Filippo; Merino, Mario; Ahedo, Eduardo

    2018-05-01

    This paper presents a study of the interaction between a spacecraft, a plasma thruster plume and a free floating object, in the context of an active space debris removal mission based on the ion beam shepherd concept. The analysis is performed with the EP2PLUS hybrid code and includes the evaluation of the transferred force and torque to the target debris, its surface sputtering due to the impinging hypersonic ions, and the equivalent electric circuit of the spacecraft-plasma-debris interaction. The electric potential difference that builds up between the spacecraft and the debris, the ion backscattering and the backsputtering contamination of the shepherd satellite are evaluated for a nominal scenario. A sensitivity analysis is carried out to evaluate quantitatively the effects of electron thermodynamics, ambient plasma, heavy species collisions, and debris position.

  15. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    Science.gov (United States)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  16. Development of small-bore, high-current-density railgun as testbed for study of plasma-materials interaction. Progress report for October 16, 2000 - May 13, 2003

    International Nuclear Information System (INIS)

    Kyekyoon, Kim-Kevin

    2003-01-01

    The present document is a final technical report summarizing the progress made during 10/16/2000 - 05/13/2003 toward the development of a small-bore railgun with transaugmentation as a testbed for investigating plasma-materials interaction

  17. Plasma surface modification of rigid contact lenses decreases bacterial adhesion.

    Science.gov (United States)

    Wang, Yingming; Qian, Xuefeng; Zhang, Xiaofeng; Xia, Wei; Zhong, Lei; Sun, Zhengtai; Xia, Jing

    2013-11-01

    Contact lens safety is an important topic in clinical studies. Corneal infections usually occur because of the use of bacteria-carrying contact lenses. The current study investigated the impact of plasma surface modification on bacterial adherence to rigid contact lenses made of fluorosilicone acrylate materials. Boston XO and XO2 contact lenses were modified using plasma technology (XO-P and XO2-P groups). Untreated lenses were used as controls. Plasma-treated and control lenses were incubated in solutions containing Staphylococcus aureus or Pseudomonas aeruginosa. MTT colorimetry, colony-forming unit counting method, and scanning electron microscopy were used to measure bacterial adhesion. MTT colorimetry measurements showed that the optical density (OD) values of XO-P and XO2-P were significantly lower than those of XO and XO2, respectively, after incubation with S. aureus (P lenses and to the XO2-P versus XO2 lenses incubated with S. aureus (P lenses incubated with P. aeruginosa (P lenses. Plasma surface modification can significantly decrease bacterial adhesion to fluorosilicone acrylate contact lenses. This study provides important evidence of a unique benefit of plasma technology in contact lens surface modification.

  18. Laser-plasma interactions and applications

    CERN Document Server

    Neely, David; Bingham, Robert; Jaroszynski, Dino

    2013-01-01

    Laser-Plasma Interactions and Applications covers the fundamental and applied aspects of high power laser-plasma physics. With an internationally renowned team of authors, the book broadens the knowledge of young researchers working in high power laser-plasma science by providing them with a thorough pedagogical grounding in the interaction of laser radiation with matter, laser-plasma accelerators, and inertial confinement fusion. The text is organised such that the theoretical foundations of the subject are discussed first, in Part I. In Part II, topics in the area of high energy density physics are covered. Parts III and IV deal with the applications to inertial confinement fusion and as a driver of particle and radiation sources, respectively. Finally, Part V describes the principle diagnostic, targetry, and computational approaches used in the field. This book is designed to give students a thorough foundation in the fundamental physics of laser-plasma interactions. It will also provide readers with knowl...

  19. Irradiation effects of hydrogen and helium plasma on different grade tungsten materials

    Directory of Open Access Journals (Sweden)

    X. Liu

    2017-08-01

    Full Text Available Fine-grain tungsten alloys could be one of the solutions for the plasma facing materials of future DEMO reactors. In order to evaluate the service performances of the newly developed W alloys under edge plasma irradiation and the synergetic effect of fusion plasma together with high heat flux, both low energy He ions and high energy H, H/He mixed neutral beam irradiation on W-ZrC, W-K, W-Y2O3, W-La2O3 and CVD-W coating were performed respectively at a liner plasma facility (Dalian Nationality University, China and the neutral beam facility GLADIS (IPP, Germany. Surface damages were characterized, and the crack formation and extension behaviors under ELM-like transient loading after H and H/He mixed beam irradiation were also investigated in the 60kW EMS-60 facility (Electron beam Materials testing Scenario at SWIP (Southwestern Institute of Physics, China. The experimental results indicated that surface damages induced by low or high energy H/He ion/neutral beam didn't closely correlate with the type of tungsten materials. However, H/He (6at% He concentration neutral beam induced more significant surface damages of the tested W materials than only H neutral beam irradiation under the similar irradiation conditions. Similarly, the mixed H/He pre-exposure remarkably reduced the critical power of crack initiation compared with the un-irradiated samples under 100 repetitive loads of 1ms pulse, while no significant degeneration for the case of only H beam irradiation was observed.

  20. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  1. Armour Materials for the ITER Plasma Facing Components

    Science.gov (United States)

    Barabash, V.; Federici, G.; Matera, R.; Raffray, A. R.; ITER Home Teams,

    The selection of the armour materials for the Plasma Facing Components (PFCs) of the International Thermonuclear Experimental Reactor (ITER) is a trade-off between multiple requirements derived from the unique features of a burning fusion plasma environment. The factors that affect the selection come primarily from the requirements of plasma performance (e.g., minimise impurity contamination in the confined plasma), engineering integrity, component lifetime (e.g., withstand thermal stresses, acceptable erosion, etc.) and safety (minimise tritium and radioactive dust inventories). The current selection in ITER is to use beryllium on the first-wall, upper baffle and on the port limiter surfaces, carbon fibre composites near the strike points of the divertor vertical target and tungsten elsewhere in the divertor and lower baffle modules. This paper provides the background for this selection vis-à-vis the operating parameters expected during normal and off-normal conditions. The reasons for the selection of the specific grades of armour materials are also described. The effects of the neutron irradiation on the properties of Be, W and carbon fibre composites at the expected ITER conditions are briefly reviewed. Critical issues are discussed together with the necessary future R&D.

  2. Armour materials for the ITER plasma facing components

    International Nuclear Information System (INIS)

    Barabash, V.; Federici, G.; Matera, R.; Raffray, A.R.

    1999-01-01

    The selection of the armour materials for the plasma facing components (PFCs) of the international thermonuclear experimental reactor (ITER) is a trade-off between multiple requirements derived from the unique features of a burning fusion plasma environment. The factors that affect the selection come primarily from the requirements of plasma performance (e.g., minimise impurity contamination in the confined plasma), engineering integrity, component lifetime (e.g., withstand thermal stresses, acceptable erosion, etc.) and safety (minimise tritium and radioactive dust inventories). The current selection in ITER is to use beryllium on the first-wall, upper baffle and on the port limiter surfaces, carbon fibre composites near the strike points of the divertor vertical target and tungsten elsewhere in the divertor and lower baffle modules. This paper provides the background for this selection vis-a-vis the operating parameters expected during normal and off-normal conditions. The reasons for the selection of the specific grades of armour materials are also described. The effects of the neutron irradiation on the properties of Be, W and carbon fibre composites at the expected ITER conditions are briefly reviewed. Critical issues are discussed together with the necessary future R and D. (orig.)

  3. Argon ion beam interaction on polyethylene terephthalate surface by ...

    Indian Academy of Sciences (India)

    discharge treatment and laser irradiation are used. ... vation: where the interaction of plasma with the polymer involves both gas and surface .... that of metals [17]. ... with atmospheric constituents such as oxygen, water vapour and CO2 reduce ...

  4. Physical principles of the surface plasma method for producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    1977-01-01

    The processes which are important for the production of intense beams of negative ions from surface plasma sources (SPS) are examined. The formation of negative ions when atomic particles interact with a surface is analyzed on the basis of both experimental results obtained when a surface was bombarded with beams and recently developed theoretical considerations of reflection, scattering, and electron exchange. The characteristic features of these processes in SPS, when a surface is bombarded with intense fluxes of plasma particles, are revealed in special experiments. The characteristics of generation and acceleration of the bombarding particles in a gas discharge SPS plasma, the characteristics of transportation of negative ions through the plasma toward the beam forming system, the role of cesium in SPS, and the characteristics of formation of the intense negative ion beams as well as the removal of parasite electrons from the beam

  5. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  6. Study on surface adhesion of Plasma modified Polytetrafluoroethylene hollow fiber membrane

    Science.gov (United States)

    Chen, Jiangrong; Zhang, Huifeng; Liu, Guochang; Guo, Chungang; Lv, Jinglie; Zhangb, Yushan

    2018-01-01

    Polytetrafluoroethylene (PTFE) is popular membrane material because of its excellent thermal stability, chemical stability and mechanical stability. However, the low surface energy and non-sticky property of PTFE present challenges for modification. In the present study, plasma treatment was performed to improve the surface adhesion of PTFE hollow fiber membrane. The effect of discharge voltage, treatment time on the adhesion of PTFE hollow fiber membrane was symmetrically evaluated. Results showed that the plasma treatment method contributed to improve the surface activity and roughness of PTFE hollow fiber membrane, and the adhesion strength depend significantly on discharge voltage, which was beneficial to seepage pressure of PTFE hollow fiber membrane module. The adhesion strength of PTFE membrane by plasma treated at 220V for 3min reached as high as 86.2 N, far surpassing the adhesion strength 12.7 N of pristine membrane. Furthermore, improvement of content of free radical and composition analysis changes of the plasma modified PTFE membrane were investigated. The seepage pressure of PTFE membrane by plasma treated at 220V for 3min was 0.375 MPa, which means that the plasma treatment is an effective technique to improve the adhesion strength of membrane.

  7. Modification of surfaces and surface layers by non equilibrium processes

    International Nuclear Information System (INIS)

    Beamson, G.; Brennan, W.J.; Clark, D.T.; Howard, J.

    1988-01-01

    Plasmas are examples of non-equilibrium phenomena which are being used increasingly for the synthesis and modification of materials impossible by conventional routes. This paper introduces methods available by describing the construction and characteristics of some equipment used for the production of different types of plasmas and other non-equilibrium phenomena. This includes high energy ion beams. The special features, advantages and disadvantages of the techniques will be described. There are a multitude of potential application relevant to electronic, metallic, ceramic, and polymeric materials. However, scale-up from the laboratory to production equipment depends on establishing a better understanding of both the physics and chemistry of plasma as well as plasma-solid interactions. Examples are given of how such an understanding can be gained. The chemical analysis of polymer surfaces undergoing modification by inert gas, hydrogen or oxygen plasmas is shown to give physical information regarding the relative roles of diffusion of active species, and direct and radiative energy transfer from the plasma. Surface modification by plasma depositing a new material onto an existing substrate is discussed with particular reference to the deposition of amorphous carbon films. Applications of the unique properties of these films are outlined together with our current understanding of these properties based on chemical and physical methods of analysis of both the films and the plasmas producing them. Finally, surface modification by ion beams is briefly illustrated using examples from the electronics and metals industries where the modification has had a largely physical rather than chemical effect on the starting material. (orig.)

  8. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  9. Behavior of plasma facing surfaces in the large helical device

    International Nuclear Information System (INIS)

    Hino, T.; Nobuta, Y.; Sagara, A.

    2003-01-01

    Material probes have been installed at the inner walls along the poloidal direction in LHD from the first experimental campaign. After each campaign, the impurity deposition and the gas retention have been examined to clarify the plasma surface interaction and the degree of wall cleaning. In the 2nd campaign, the entire wall was thoroughly cleaned by helium glow discharge conditioning. For the 3rd and 4th campaigns, graphite tiles were installed over the entire divertor strike region, and then the wall condition was significantly changed compared to the case of a stainless steel wall. Graphite erosion took place during the main discharges and the eroded carbon was deposited on the entire wall. In particular, the deposition thickness was large at the wall far from the plasma. Since the entire wall was well carbonized, the amount of retained discharge gases such as H and He became large. In particular, the helium retention was large at the position close to the anodes used for helium glow discharge cleanings. One characteristic of the LHD wall is a large retention of helium gas since the wall temperature is limited to below 368 K. In order to reduce the recycling of discharge gas, wall heating before the experimental campaign and surface heating between the main discharge shots are planned. (author)

  10. Surface modification of polyethylene by diffuse barrier discharge plasma

    Czech Academy of Sciences Publication Activity Database

    Novák, I.; Števiar, M.; Popelka, A.; Chodák, I.; Mosnáček, J.; Špírková, Milena; Janigová, I.; Kleinová, A.; Sedliačik, J.; Šlouf, Miroslav

    2013-01-01

    Roč. 53, č. 3 (2013), s. 516-523 ISSN 0032-3888 R&D Projects: GA AV ČR(CZ) IAAX08240901 Institutional research plan: CEZ:AV0Z40500505 Keywords : low-density polyethylene * plasma discharge * surface modification Subject RIV: JI - Composite Materials Impact factor: 1.441, year: 2013

  11. Influence of atmospheric pressure plasma treatment on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Zhang Ruiyun; Pan Xianlin; Jiang Muwen; Peng Shujing; Qiu Yiping

    2012-01-01

    Highlights: ► PBO fibers were treated with atmospheric pressure plasmas. ► When 1% of oxygen was added to the plasma, IFSS increased 130%. ► Increased moisture regain could enhance plasma treatment effect on improving IFSS with long treatment time. - Abstract: In order to improve the interfacial adhesion property between PBO fiber and epoxy, the surface modification effects of PBO fiber treated by atmospheric pressure plasma jet (APPJ) in different time, atmosphere and moisture regain (MR) were investigated. The fiber surface morphology, functional groups, surface wettability for control and plasma treated samples were analyzed by scanning electron microscope (SEM), X-ray photoelectron spectroscopy (XPS) and water contact angle measurements, respectively. Meanwhile, the fiber interfacial shear strength (IFSS), representing adhesion property in epoxy, was tested using micro-bond pull-out test, and single fiber tensile strength was also tested to evaluate the mechanical performance loss of fibers caused by plasma treatment. The results indicated that the fiber surface was etched during the plasma treatments, the fiber surface wettability and the IFSS between fiber and epoxy had much improvement due to the increasing of surface energy after plasma treatment, the contact angle decreased with the treatment time increasing, and the IFSS was improved by about 130%. The processing atmosphere could influence IFSS significantly, and moisture regains (MR) of fibers also played a positive role on improving IFSS but not so markedly. XPS analysis showed that the oxygen content on fiber surface increased after treatment, and C=O, O-C=O groups were introduced on fiber surface. On the other hand, the observed loss of fiber tensile strength caused by plasma treatment was not so remarkable to affect the overall performance of composite materials.

  12. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  13. Data Evaluation and the Establishment of a Standard Library of Atomic, Molecular and Plasma-Material Interaction Data for Fusion. Summary Report of an IAEA Consultants' Meeting

    International Nuclear Information System (INIS)

    Braams, B.J.

    2012-08-01

    Seven experts in the field of atomic, molecular and plasma-material interaction (A+M+PMI) data and data evaluation for fusion plasma physics met with IAEA A+M Data Unit staff at IAEA Headquarters to provide advice towards the establishment of an evaluated and recommended library of A+M+PMI data for fusion. The proceedings and conclusions of the meeting are summarized here. (author)

  14. Overview of the plasma-surface interaction on limiter surfaces in the startup campaign of Wendelstein 7-X

    Science.gov (United States)

    Winters, V. R.; Brezinsek, S.; Effenberg, F.; Rasinski, M.; Schmitz, O.; Stephey, L.; Biedermann, C.; Dhard, C. P.; Frerichs, H.; Harris, J.; Krychowiak, M.; König, R.; Pedersen, T. Sunn; Wurden, G. A.; the W7-X Team

    2017-12-01

    The first operational campaign of Wendelstein 7-X (W7-X) provided an excellent environment for the study of plasma-surface interaction (PSI) in a stellarator. In situ spectroscopic analysis via a combined visible/infrared camera system and a filterscope system revealed that the primary erosion zone was correlated with the high heat flux regions on the limiter. This analysis matched to where the erosion zone was found in the post-mortem analysis, which was done with scanning electron microscopy/focused ion beam/electron dispersive x-ray spectroscopy imaging. Additionally, a region of prompt deposition was found to the inside of these high heat flux zones. A region of far scrape-off layer (SOL) deposition was found at the edges of the limiter tiles. All deposition regions were identified by their homogeneous, increased oxygen content compared to the pure carbon makeup of the limiters. Poloidal variation of the impinging heat flux follow the imprint of the 3D SOL flux tubes. In how far this reflects in the PSI will require further analysis and modeling.

  15. Overview of the plasma-surface interaction on limiter surfaces in the startup campaign of Wendelstein 7-X

    International Nuclear Information System (INIS)

    Winters, V R; Effenberg, F; Schmitz, O; Stephey, L; Frerichs, H; Brezinsek, S; Rasinski, M; Biedermann, C; Dhard, C P; Krychowiak, M; König, R; Pedersen, T Sunn; Harris, J; Wurden, G A

    2017-01-01

    The first operational campaign of Wendelstein 7-X (W7-X) provided an excellent environment for the study of plasma-surface interaction (PSI) in a stellarator. In situ spectroscopic analysis via a combined visible/infrared camera system and a filterscope system revealed that the primary erosion zone was correlated with the high heat flux regions on the limiter. This analysis matched to where the erosion zone was found in the post-mortem analysis, which was done with scanning electron microscopy/focused ion beam/electron dispersive x-ray spectroscopy imaging. Additionally, a region of prompt deposition was found to the inside of these high heat flux zones. A region of far scrape-off layer (SOL) deposition was found at the edges of the limiter tiles. All deposition regions were identified by their homogeneous, increased oxygen content compared to the pure carbon makeup of the limiters. Poloidal variation of the impinging heat flux follow the imprint of the 3D SOL flux tubes. In how far this reflects in the PSI will require further analysis and modeling. (paper)

  16. Plasma treatment induces internal surface modifications of electrospun poly(L-lactic) acid scaffold to enhance protein coating

    International Nuclear Information System (INIS)

    Jin Seo, Hyok; Hee Lee, Mi; Kwon, Byeong-Ju; Kim, Hye-Lee; Park, Jong-Chul; Jin Lee, Seung; Kim, Bong-Jin; Wang, Kang-Kyun; Kim, Yong-Rok

    2013-01-01

    Advanced biomaterials should also be bioactive with regard to desirable cellular responses, such as selective protein adsorption and cell attachment, proliferation, and differentiation. To enhance cell-material interactions, surface modifications have commonly been performed. Among the various surface modification approaches, atmospheric pressure glow discharge plasma has been used to change a hydrophobic polymer surface to a hydrophilic surface. Poly(L-lactic acid) (PLLA)-derived scaffolds lack cell recognition signals and the hydrophobic nature of PLLA hinders cell seeding. To make PLLA surfaces more conducive to cell attachment and spreading, surface modifications may be used to create cell-biomaterial interfaces that elicit controlled cell adhesion and maintain differentiated phenotypes. In this study, (He) gaseous atmospheric plasma glow discharge was used to change the characteristics of a 3D-type polymeric scaffold from hydrophobic to hydrophilic on both the outer and inner surfaces of the scaffold and the penetration efficiency with fibronectin was investigated. Field-emission scanning electron microscope images showed that some grooves were formed on the PLLA fibers after plasma treatment. X-ray photoelectron spectroscopy data also showed chemical changes in the PLLA structure. After plasma treatment, -CN (285.76 eV) was increased in C1s and -NH 2 (399.70 eV) was increased significantly and –N=CH (400.80 eV) and –NH 3 + (402.05 eV) were newly appeared in N1s. These changes allowed fibronectin to penetrate into the PLLA scaffold; this could be observed by confocal microscopy. In conclusion, helium atmospheric pressure plasma treatment was effective in modifying the polymeric scaffold, making it hydrophilic, and this treatment can also be used in tissue engineering research as needed to make polymers hydrophilic

  17. Environmental interactions and the SP-100 power system

    International Nuclear Information System (INIS)

    Ferguson, D.C.

    1993-01-01

    The Civil Space Technology Initiative High-Capacity-Power Environmental Interactions Program has made great progress in defining and evaluating the interactions of the SP-100 power system with its expected ambient environments. The NASCAP/LEO and POLAR computer codes demonstrated that local electric fields at the user interface module are high. Therefore, particular attention must be paid to geometries and materials in this region to prevent arcing at conductor-insulator junctions in low Earth orbit. NASCAP/LEO and EPSAT computer models revealed that SP-100 payloads float about 100 V negative of the LEO plasma. In addition, ground tests and modeling done for the Space Station Freedom Electrical Grounding Tiger Team found that dielectric coatings often break down at such voltages in a plasma. Thus, surface coatings for SP-100 payloads should be carefully selected. Sputtering may also be a concern for long-duration missions in LEO at these voltages. Much work has been done on a sputtering model to evaluate surface material loss rates on SP-100 payloads. In ground plasma chamber tests of cables and cable insulators at SP-100 voltages, parasitic power losses due to the plasma current collected from possible pinholes or coating defects were quantified and shown to be small. Modeling revealed that the power loss from currents to other surfaces is also small. The atomic oxygen durability of SP-100 materials and coatings continues to be investigated in ground tests. In the upcoming Evaluation of Oxygen Interaction with Materials (EOIM-3) Shuttle flight experiment, a host of SP-100 materials will be evaluated for atomic oxygen durability in LEO. Finally, an evaluation of the interactions of the SP-100 power system with lunar and planetary environments has started. At a workshop on chemical and electrical interactions on Mars recently held at the NASA Lewis Research Center, many of primary interactions were identified

  18. Magnetic field effects on runaway electron energy deposition in plasma facing materials and components

    International Nuclear Information System (INIS)

    Niemer, K.A.; Gilligan, J.G.

    1992-01-01

    This paper reports magnetic field effects on runaway electron energy deposition in plasma facing materials and components is investigated using the Integrated TIGER Series. The Integrated TIGER Series is a set of time-independent coupled electron/photon Monte Carlo transport codes which perform photon and electron transport, with or without macroscopic electric and magnetic fields. A three-dimensional computational model of 100 MeV electrons incident on a graphite block was used to simulate runawayelectrons striking a plasma facing component at the edge of a tokamak. Results show that more energy from runaway electrons will be deposited in a material that is in the presence of a magnetic field than in a material that is in the presence of no field. For low angle incident runaway electrons in a strong magnetic field, the majority of the increased energy deposition is near the material surface with a higher energy density. Electrons which would have been reflected with no field, orbit the magnetic field lines and are redeposited in the material surface, resulting in a substantial increase in surface energy deposition. Based on previous studies, the higher energy deposition and energy density will result in higher temperatures which are expected to cause more damage to a plasma facing component

  19. Confirmation of radiation pressure effects in laser--plasma interactions

    International Nuclear Information System (INIS)

    Attwood, D.T.; Sweeney, D.W.; Auerbach, J.M.; Lee, P.H.Y.

    1977-10-01

    Interferometric data resolved in 1μm and 15 psec confirms the dominant role of radiation pressure during high intensity laser-plasma interactions. Specifically observed manifestations include electron density profiles steepened to 1 μm scale length, clearly defined upper and lower density shelves, and small and large scale deformation of transverse isodensity surfaces

  20. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces

    Science.gov (United States)

    Carr,; Jeffrey, W [Livermore, CA

    2009-03-31

    Fabrication apparatus and methods are disclosed for shaping and finishing difficult materials with no subsurface damage. The apparatus and methods use an atmospheric pressure mixed gas plasma discharge as a sub-aperture polisher of, for example, fused silica and single crystal silicon, silicon carbide and other materials. In one example, workpiece material is removed at the atomic level through reaction with fluorine atoms. In this example, these reactive species are produced by a noble gas plasma from trace constituent fluorocarbons or other fluorine containing gases added to the host argon matrix. The products of the reaction are gas phase compounds that flow from the surface of the workpiece, exposing fresh material to the etchant without condensation and redeposition on the newly created surface. The discharge provides a stable and predictable distribution of reactive species permitting the generation of a predetermined surface by translating the plasma across the workpiece along a calculated path.

  1. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  2. Analysis of singular interface stresses in dissimilar material joints for plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    You, J.H. E-mail: jeong-ha.you@ipp.mpg.de; Bolt, H

    2001-10-01

    Duplex joint structures are typical material combinations for the actively cooled plasma facing components of fusion devices. The structural integrity under the incident heat loads from the plasma is one of the most crucial issues in the technology of these components. The most critical domain in a duplex joint component is the free surface edge of the bond interface between heterogeneous materials. This is due to the fact that the thermal stress usually shows a singular intensification in this region. If the plasma facing armour tile consists of a brittle material, the existence of the stress singularity can be a direct cause of failure. The present work introduces a comprehensive analytical tool to estimate the impact of the stress singularity for duplex PFC design and quantifies the relative stress intensification in various materials joints by use of a model formulated by Munz and Yang. Several candidate material combinations of plasma facing armour and metallic heat sink are analysed and the results are compared with each other.

  3. Analysis of singular interface stresses in dissimilar material joints for plasma facing components

    International Nuclear Information System (INIS)

    You, J.H.; Bolt, H.

    2001-01-01

    Duplex joint structures are typical material combinations for the actively cooled plasma facing components of fusion devices. The structural integrity under the incident heat loads from the plasma is one of the most crucial issues in the technology of these components. The most critical domain in a duplex joint component is the free surface edge of the bond interface between heterogeneous materials. This is due to the fact that the thermal stress usually shows a singular intensification in this region. If the plasma facing armour tile consists of a brittle material, the existence of the stress singularity can be a direct cause of failure. The present work introduces a comprehensive analytical tool to estimate the impact of the stress singularity for duplex PFC design and quantifies the relative stress intensification in various materials joints by use of a model formulated by Munz and Yang. Several candidate material combinations of plasma facing armour and metallic heat sink are analysed and the results are compared with each other

  4. The free radical process for the polymer surface treated by radio frequency plasma

    International Nuclear Information System (INIS)

    Ma Yuguang; Yang Meiling; Shen Jiacong; Zheng Yingguang

    1992-01-01

    The formation and translation of the free radicals on the polymer surface treated by plasmas were studied and observed by ESR measurement. The results show that C-C bond split was main reaction in the process of the polymer irradiated by plasma, by which a stable alkyl free radical was formed. When alkyl free radical contacted with air, they translate into peroxide radical instantaneously. The peroxide radical was not as stable as radical in vacuum, they can react each other to form some polar-groups on polymer surface. The interaction between the peroxide free radical and polymer chain was correlative not only to the structure of polymer but also to the molecular motion of the polymer chain. The nature of plasma treating polymer surface was that the peroxide radicals were led onto polymer surface

  5. Experimental studies on beam-plasma interaction

    International Nuclear Information System (INIS)

    Kiwamoto, Y.

    1977-01-01

    Beam-handling technology has reached now at such a level as to enable highly controlled experiments of beam-plasma interaction. Varieties of hypotheses and suppositions about the beam propagation and interaction in space plasma can be proved and often be corrected by examining the specific processes in laboratory plasma. The experiments performed in this way by the author are briefed: ion beam instability in unmagnetized plasma; ion beam instability perpendicular to magnetic field; and electron beam instability. (Mori, K.)

  6. Plasma-Oxygen Interaction During Thin Films Deposition by Laser ...

    African Journals Online (AJOL)

    In this contribution we study the effect of the oxygen pressure on the plasma dynamics during the ablation of oxides materials into an oxygen gas. The study was done using fast imaging and ion probe techniques. Both techniques revealed that a threshold oxygen pressure is needed to initiate the plume oxygen interaction.

  7. Interaction between electromagnetic waves and plasma waves in motional plasma

    International Nuclear Information System (INIS)

    Chen, S. Y.; Gao, M.; Tang, C. J.; Peng, X. D.

    2009-01-01

    The electromagnetic wave (EM wave) behavior and the electromagnetic instability caused by the interaction between an EM wave and a plasma wave in motional plasma are studied. The dispersion relation of EM waves and the dielectric tensor of motional plasma are derived by magnetohydrodynamics, and the wave phenomenon in motional plasma is displayed. As a result, the electromagnetic instability, which is excited by the interaction between the EM waves and the plasma waves, is revealed. The mechanism of the instability is the coupling between high frequency electromagnetic field and the transverse electron oscillation derived from the deflection of longitudinal electron oscillation due to self-magnetic field. The present research is useful with regard to the new type of plasma radiation source, ion-focusing accelerator, and plasma diagnostic technique.

  8. Computational Magnetohydrodynamics of General Materials in Generalized Coordinates and Applications to Laser-Target Interactions

    Science.gov (United States)

    MacGillivray, Jeff T.; Peterkin, Robert E., Jr.

    2003-10-01

    We have developed a multiblock arbitrary coordinate Hydromagnetics (MACH) code for computing the time-evolution of materials of arbitrary phase (solid, liquid, gas, and plasma) in response to forces that arise from material and magnetic pressures. MACH is a single-fluid, time-dependent, arbitrary Lagrangian-Eulerian (ALE) magnetohydrodynamic (MHD) simulation environment. The 2 1/2 -dimensional MACH2 and the parallel 3-D MACH3 are widely used in the MHD community to perform accurate simulation of the time evolution of electrically conducting materials in a wide variety of laboratory situations. In this presentation, we discuss simulations of the interaction of an intense laser beam with a solid target in an ambient gas. Of particular interest to us is a laser-supported detonation wave (blast wave) that originates near the surface of the target when the laser intensity is sufficiently large to vaporize target material within the focal spot of the beam. Because the MACH3 simulations are fully three-dimensional, we are able to simulate non-normal laser incidence. A magnetic field is also produced from plasma energy near the edge of the focal spot.

  9. Soy-based Polymers for Surface Modification and Interactions with Lignocellulosic Materials

    Science.gov (United States)

    Salas Araujo, Carlos Luis

    Recent environmental concerns about the use of synthetic materials that are often used to maintain our quality of life has triggered a significant amount of research to develop new technologies and to adopt sustainable, bio-based materials. Cellulose, lignin and other plant-derived macromolecules including proteins from soybeans have witnessed recent, renewed interest by the industrial and scientific communities. For example, soybean proteins have been proposed for a variety of applications, including wood adhesives, bio-plastics, composites and functional materials that may include synthetic polymers. Despite its importance in such systems or materials, very little is known about the fundamental nature of the interactions between soy proteins and other polymers. Therefore, this work addresses this issue by a systematic investigation of the interactions between soy proteins with the two most abundant macromolecules in the biosphere, namely, cellulose and lignin and with the most widely used synthetic polymer, polypropylene (PP). The adsorption of the main soy protein globulins, glycinin (11S) and beta-conglycinin (7S), was studied by using ultrathin films of cellulose, lignin and PP (as well as reference silica and organic self-assembled monolayers (SAMs) surfaces) that were used as substrates. The extent and dynamics of adsorption was monitored by using quartz crystal microgravimetry with dissipation (QCM-D), surface plasmon resonance (SPR) as well as complementary techniques including circular dichroism (CD) and atomic force microscopy (AFM). QCM-D experiments indicated that soy protein adsorption was strongly affected by changes in the physicochemical environment. An increased adsorption of glycinin on silica (by 13%) and cellulose (by 89%) was observed with the increased ionic strength of the aqueous solution, from 0 to 0.1 M NaCl. This highlights the relevance of electrostatic interactions in the adsorption process. In contrast, the adsorption of beta

  10. UV excimer laser and low temperature plasma treatments of polyamide materials

    Science.gov (United States)

    Yip, Yiu Wan Joanne

    Polyamides have found widespread application in various industrial sectors, for example, they are used in apparel, home furnishings and similar uses. However, the requirements for high quality performance products are continually increasing and these promote a variety of surface treatments for polymer modification. UV excimer laser and low temperature plasma treatments are ideally suited for polyamide modification because they can change the physical and chemical properties of the material without affecting its bulk features. This project aimed to study the modification of polyamides by UV excimer laser irradiation and low temperature plasma treatment. The morphological changes in the resulting samples were analysed by scanning electron microscopy (SEM) and tapping mode atomic force microscopy (TM-AFM). The chemical modifications were studied by x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (ToF-SIMS) and chemical force microscopy (CFM). Change in degree of crystallinity was examined by differential scanning calorimetry (DSC). After high-fluence laser irradiation, topographical results showed that ripples of micrometer size form on the fibre surface. By contrast, sub-micrometer size structures form on the polyamide surface when the applied laser energy is well below its ablation threshold. After high-fluence laser irradiation, chemical studies showed that the surface oxygen content of polyamide is reduced. A reverse result is obtained with low-fluence treatment. The DSC result showed no significant change in degree of crystallinity in either high-fluence or low-fluence treated samples. The same modifications in polyamide surfaces were studied after low temperature plasma treatment with oxygen, argon or tetrafluoromethane gas. The most significant result was that the surface oxygen content of polyamide increased after oxygen and argon plasma treatments. Both treatments induced many hydroxyl (-OH) and carboxylic acid (-COOH

  11. Investigation on particle-solid interactions

    International Nuclear Information System (INIS)

    Yano, Syukuro

    1988-08-01

    Basic processes in plasma-material interactions have been surveyed and reviewed. Problems concerned with carbon materials, which have been progressively used for the first wall and limiters in Tokamaks, are mainly discussed. Recent usage of carbon materials, basic properties and characteristics of carbon/graphite materials, desorption of gasses are described. As to the interactions of incident hydrogen isotope particles with graphite surface, data of trapping, depth profile, reemission, isotope exchange, and diffusion are reviewed and discussed. (author)

  12. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  13. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Thresholds of surface plasma formation by the interaction of laser pulses with a metal

    Science.gov (United States)

    Borets-Pervak, I. Yu; Vorob'ev, V. S.

    1995-04-01

    An analysis is made of a model of the formation of a surface laser plasma which takes account of the heating and vaporisation of thermally insulated surface microdefects. This model is used in an interpretation of experiments in which such a plasma has been formed by irradiation of a titanium target with microsecond CO2 laser pulses. A comparison with the experimental breakdown intensities is used to calculate the average sizes of microdefects and their concentration: the results are in agreement with the published data. The dependence of the delay time of plasma formation on the total energy in a laser pulse is calculated.

  14. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  15. Tokamak plasma interaction with limiters

    International Nuclear Information System (INIS)

    Pitcher, C.S.

    1987-11-01

    The importance of plasma purity is first discussed in terms of the general requirements of controlled thermonuclear fusion. The tokamak approach to fusion and its inherent problem of plasma contamination are introduced. A main source of impurities is due to the bombardment of the limiter by energetic particles and thus the three main aspects of the plasma-limiter interaction are reviewed, boundary plasma conditions, fuelling/recycling and impurity production. The experiments, carried out on the DITE tokamak at Culham Laboratory, UK, investigated these three topics and the results are compared with predicted behaviour; new physical phenomena are presented in all three areas. Simple one-dimensional fluid equations are found to adequately describe the SOL plasma, except in regard to the pre-sheath electric field and ambipolarity; that is, the electric field adjacent to the limiter surface appears to be weak and the associated plasma flow can be non-ambipolar. Recycling of fuel particles from the limiter is observed to be near unity at all times. The break-up behaviour of recycled and gas puffed D 2 molecules is dependent on the electron temperature, as expected. Impurity production at the limiter is chemical erosion of graphite being negligible. Deposition of limiter and wall-produced impurities is found on the limiter. The spatial distributions of impurities released from the limiter are observed and are in good agreement with a sputtered atom transport code. Finally, preliminary experiments on the transport of impurity ions along field lines away from the limiter have been performed and compared with simple analytic theory. The results suggest that the pre-sheath electric field in the SOL is much weaker than the simple fluid model would predict

  16. Procedures for Evaluation of Atomic, Molecular and Plasma-Material Interaction Data for Fusion. Summary Report of an IAEA Consultants' Meeting

    International Nuclear Information System (INIS)

    Chung, Hyun-Kyung

    2012-05-01

    This report summarizes the proceedings of the IAEA Consultants' Meeting on 'Procedures for Evaluation of Atomic, Molecular and Plasma-Material Interaction Data for Fusion' on 7-9 February 2012. Fourteen participants from 8 Institutes of 3 Member States attended the three-day meeting held at the National Institute for Fusion Science, Toki in Japan. The report includes discussions on data evaluation activities, meeting conclusions and recommendations and the abstracts of presentations presented in the meeting. (author)

  17. Quantifying object and material surface areas in residences

    Energy Technology Data Exchange (ETDEWEB)

    Hodgson, Alfred T.; Ming, Katherine Y.; Singer, Brett C.

    2005-01-05

    The dynamic behavior of volatile organic compounds (VOCs) in indoor environments depends, in part, on sorptive interactions between VOCs in the gas phase and material surfaces. Since information on the types and quantities of interior material surfaces is not generally available, this pilot-scale study was conducted in occupied residences to develop and demonstrate a method for quantifying surface areas of objects and materials in rooms. Access to 33 rooms in nine residences consisting of bathrooms, bedroom/offices and common areas was solicited from among research group members living in the East San Francisco Bay Area. A systematic approach was implemented for measuring rooms and objects from 300 cm{sup 2} and larger. The ventilated air volumes of the rooms were estimated and surface area-to-volume ratios were calculated for objects and materials, each segregated into 20 or more categories. Total surface area-to-volume ratios also were determined for each room. The bathrooms had the highest total surface area-to-volume ratios. Bedrooms generally had higher ratios than common areas consisting of kitchens, living/dining rooms and transitional rooms. Total surface area-to-volume ratios for the 12 bedrooms ranged between 2.3 and 4.7 m{sup 2} m{sup -3}. The importance of individual objects and materials with respect to sorption will depend upon the sorption coefficients for the various VOC/materials combinations. When combined, the highly permeable material categories, which may contribute to significant interactions, had a median ratio of about 0.5 m{sup 2} m{sup -3} for all three types of rooms.

  18. Surface Treatment of Polymeric Materials Controlling the Adhesion of Biomolecules

    Directory of Open Access Journals (Sweden)

    Willy Zorzi

    2012-08-01

    Full Text Available This review describes different strategies of surface elaboration for a better control of biomolecule adsorption. After a brief description of the fundamental interactions between surfaces and biomolecules, various routes of surface elaboration are presented dealing with the attachment of functional groups mostly thanks to plasma techniques, with the grafting to and from methods, and with the adsorption of surfactants. The grafting of stimuli-responsive polymers is also pointed out. Then, the discussion is focused on the protein adsorption phenomena showing how their interactions with solid surfaces are complex. The adsorption mechanism is proved to be dependent on the solid surface physicochemical properties as well as on the surface and conformation properties of the proteins. Different behaviors are also reported for complex multiple protein solutions.

  19. Surface Treatment of Polymeric Materials Controlling the Adhesion of Biomolecules

    Science.gov (United States)

    Poncin-Epaillard, Fabienne; Vrlinic, Tjasa; Debarnot, Dominique; Mozetic, Miran; Coudreuse, Arnaud; Legeay, Gilbert; El Moualij, Benaïssa; Zorzi, Willy

    2012-01-01

    This review describes different strategies of surface elaboration for a better control of biomolecule adsorption. After a brief description of the fundamental interactions between surfaces and biomolecules, various routes of surface elaboration are presented dealing with the attachment of functional groups mostly thanks to plasma techniques, with the grafting to and from methods, and with the adsorption of surfactants. The grafting of stimuli-responsive polymers is also pointed out. Then, the discussion is focused on the protein adsorption phenomena showing how their interactions with solid surfaces are complex. The adsorption mechanism is proved to be dependent on the solid surface physicochemical properties as well as on the surface and conformation properties of the proteins. Different behaviors are also reported for complex multiple protein solutions. PMID:24955631

  20. RF atmospheric plasma jet surface treatment of paper

    Science.gov (United States)

    Pawlat, Joanna; Terebun, Piotr; Kwiatkowski, Michał; Diatczyk, Jaroslaw

    2016-09-01

    A radio frequency RF atmospheric pressure plasma jet was used to enhance the wettability of cellulose-based paper of 90 g m-2 and 160 g m-2 grammage as a perspective platform for antibiotic sensitivity tests. Helium and argon were the carrier gases for oxygen and nitrogen; pure water and rapeseed oil were used for goniometric tests. The influence of the flow rate and gas type, the power of the discharge, and distance from the nozzle was examined. The surface structure was observed using an optical microscope. Attenuated total reflection Fourier transform infrared (ATR-FTIR) spectra were investigated in order to determine whether cellulose degradation processes occurred. The RF plasma jet allowed us to decrease the surface contact angle without drastic changes in other features of the tested material. Experiments confirmed the significant influence of the distance between the treated sample and reactor nozzle, especially for treatment times longer than 15 s due to the greater concentration of reactive species at the surface of the sample, which decreases with distance—and their accumulation effect with time. The increase of discharge power plays an important role in decreasing the surface contact angle for times longer than 10 s. Higher power had a positive effect on the amount of generated active particles and facilitated the ignition of discharge. However, a too high value can cause a rise in temperature of the material and heat-caused damage.

  1. Diagnostic setup for investigation of plasma wall interactions at Wendelstein 7-X

    International Nuclear Information System (INIS)

    Neubauer, Olaf; Biel, Wolfgang; Czymek, Guntram; Denner, Peter; Effenberg, Florian; Krämer-Flecken, Andreas; Liang, Yunfeng; Marchuk, Oleksandr; Offermanns, Guido; Rack, Michael; Samm, Ulrich; Schmitz, Oliver; Schweer, Bernd; Terra, Alexis

    2015-01-01

    Graphical abstract: - Highlights: • We are investigating plasma wall interactions at Wendelstein 7-X stellarator. • Steady state operation and island divertor are unique. • We are developing diagnostics for divertor plasma and plasma facing surfaces. • A multi-purpose fast manipulator allows for exposure of probes and samples. • Versatile endoscopes allow for local divertor spectroscopy from IR to UV. - Abstract: Wendelstein 7-X being the most advanced stellarator is currently prepared for commissioning at Greifswald. Forschungszentrum Jülich is preparing a research programme in the field of plasma wall interactions (PWI) by developing a dedicated set of diagnostic systems. The specific interest at Wendelstein 7-X is to understand PWI processes in presence of a 3D plasma boundary of an island divertor. Furthermore, for the first time steady state plasma at high density and low temperature in the divertor region will be available. Since PWI only could be understood in conjunction with the edge plasma properties the aim of the setup is to observe both the edge plasma as well as surface processes. For optimum combination of different diagnostic methods the edge diagnostic systems are aligned toroidally along one out of five magnetic islands. Main systems are a multipurpose fast probe manipulator, two gas boxes in opposite divertor modules together with two endoscopes each observing the divertor regions, a poloidal correlation reflectometer, a dispersion interferometer in the divertor, and VUV and X-ray spectroscopy in the plasma core. The concept of the diagnostic setup is presented in this paper.

  2. Diagnostic setup for investigation of plasma wall interactions at Wendelstein 7-X

    Energy Technology Data Exchange (ETDEWEB)

    Neubauer, Olaf, E-mail: o.neubauer@fz-juelich.de [Institute of Energy and Climate Research, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Biel, Wolfgang; Czymek, Guntram; Denner, Peter [Institute of Energy and Climate Research, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Effenberg, Florian [University Wisconsin–Madison, Madison, WI (United States); Krämer-Flecken, Andreas; Liang, Yunfeng; Marchuk, Oleksandr; Offermanns, Guido; Rack, Michael; Samm, Ulrich [Institute of Energy and Climate Research, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Schmitz, Oliver [University Wisconsin–Madison, Madison, WI (United States); Schweer, Bernd [Laboratoire de Physique des Plasmas – Laboratorium voor Plasmafysica, ERM/KMS, 1000 Brussels (Belgium); Terra, Alexis [Institute of Energy and Climate Research, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany)

    2015-10-15

    Graphical abstract: - Highlights: • We are investigating plasma wall interactions at Wendelstein 7-X stellarator. • Steady state operation and island divertor are unique. • We are developing diagnostics for divertor plasma and plasma facing surfaces. • A multi-purpose fast manipulator allows for exposure of probes and samples. • Versatile endoscopes allow for local divertor spectroscopy from IR to UV. - Abstract: Wendelstein 7-X being the most advanced stellarator is currently prepared for commissioning at Greifswald. Forschungszentrum Jülich is preparing a research programme in the field of plasma wall interactions (PWI) by developing a dedicated set of diagnostic systems. The specific interest at Wendelstein 7-X is to understand PWI processes in presence of a 3D plasma boundary of an island divertor. Furthermore, for the first time steady state plasma at high density and low temperature in the divertor region will be available. Since PWI only could be understood in conjunction with the edge plasma properties the aim of the setup is to observe both the edge plasma as well as surface processes. For optimum combination of different diagnostic methods the edge diagnostic systems are aligned toroidally along one out of five magnetic islands. Main systems are a multipurpose fast probe manipulator, two gas boxes in opposite divertor modules together with two endoscopes each observing the divertor regions, a poloidal correlation reflectometer, a dispersion interferometer in the divertor, and VUV and X-ray spectroscopy in the plasma core. The concept of the diagnostic setup is presented in this paper.

  3. Degradation of carbon-based materials under ablative conditions produced by a high enthalpy plasma jet

    Directory of Open Access Journals (Sweden)

    Gilberto Petraconi

    2010-04-01

    Full Text Available A stationary experiment was performed to study the degradation of carbon-based materials by immersion in a plasma jet. In the experiment, graphite and C/C composite were chosen as the target materials, and the reactive plasma jet was generated by an air plasma torch. For macroscopic study of the material degradation, the sample’s mass losses were measured as function of the exposure time under various temperatures on the sample surface. A microscopic analysis was then carried out for the study of microscopic aspects of the erosion of material surface. These experiments showed that the mass loss per unit area is approximately proportional to the exposure time and strongly depends on the temperature of the material surface. The mass erosion rate of graphite was appreciably higher than the C/C composite. The ablation rate in the carbon matrix region in C/C composite was also noticeably higher than that in the fiber region. In addition, the latter varied according to the orientation of fibers relatively to the flow direction. These tests indicated an excellent ablation resistance of the C/C composite, thus being a reliable material for rocket nozzles and heat shielding elements of the protection systems of hypersonic apparatuses from aerodynamic heating.

  4. Waves in plasmas (part 1 - wave-plasma interaction general background)

    International Nuclear Information System (INIS)

    Dumont, R.

    2004-01-01

    This document gathers a series of transparencies presented in the framework of the week-long lectures 'hot plasmas 2004' and dedicated to the physics of wave-plasma interaction. The structure of this document is as follows: 1) wave and diverse plasmas, 2) basic equations (Maxwell equations), 3) waves in a fluid plasma, and 4) waves in a kinetic plasma (collisionless plasma)

  5. Analysis of surface roughness and surface heat affected zone of steel S355J0 after plasma arc cutting

    International Nuclear Information System (INIS)

    Hatala, Michal; Chep, Robert; Pandilov, Zoran

    2010-01-01

    This paper deals with thermal cutting technology of materials with plasma arc. In the first part of this paper the theoretical knowledge of the principles of plasma arc cutting and current use of this technology in industry are presented. The cut of products with this technology is perpendicular and accurate, but the use of this technology affects micro-structural changes and depth of the heat affected zone (HAZ). This article deals with the experimental evaluation of plasma arc cutting technological process. The influence of technological factors on the roughness parameter Ra of the steel surface EN S355J0 has been evaluated by using planned experiments. By using the factor experiment, the significance of the four process factors such as plasma burner feed speed, plasma gas pressure, nozzle diameter, distance between nozzle mouth and material has been analyzed. Regression models obtained by multiple linear regression indicate the quality level of observed factors function. The heat from plasma arc cutting affects the micro-structural changes of the material, too.

  6. Heparainization of gas plasma-modified polystyrene surfaces and the interactions of these surfaces with proteins studied with surface plasmon resonance

    NARCIS (Netherlands)

    van Delden, C.J.; van Delden, C.J.; Lens, J.P.; Lens, J.P.; Kooyman, R.P.H.; Engbers, G.H.M.; Feijen, Jan

    1997-01-01

    Polystyrene surfaces obtained by spin-coating a solution of polystyrene in toluene on a gold layer were functionalized with carboxylic acid groups by preadsorption of the sodium salt of undecylenic acid, followed by an argon plasma treatment. A conjugate of albumin and heparin (alb-hep) was

  7. Surface engineering of glazing materials and structures using plasma processes

    International Nuclear Information System (INIS)

    Anders, Andre; Monteiro, Othon R.

    2003-01-01

    A variety of coatings is commercially produced on a very large scale, including transparent conducting oxides and multi-layer silver-based low-emissivity and solar control coatings. A very brief review of materials and manufacturing process is presented and illustrated by ultrathin silver films and chevron copper films. Understanding the close relation between manufacturing processes and bulk and surface properties of materials is crucial for film growth and self-assembly processes

  8. Quiescent plasma machine for beam-plasma interaction and wave studies

    International Nuclear Information System (INIS)

    Ferreira, J.L.

    1994-01-01

    A quiescent double plasma machine for beam-plasma interaction wave studies is described. A detailed description of several plasma diagnostics used for plasma and wave excitation detection is given. A beam-plasma wave dispersion relation is used to compare theoretical values with the experimentally measured Langmuir wave frequencies and wavelengths. (author). 14 refs, 10 figs

  9. Fusion plasma physics

    CERN Document Server

    Stacey, Weston M

    2012-01-01

    This revised and enlarged second edition of the popular textbook and reference contains comprehensive treatments of both the established foundations of magnetic fusion plasma physics and of the newly developing areas of active research. It concludes with a look ahead to fusion power reactors of the future. The well-established topics of fusion plasma physics -- basic plasma phenomena, Coulomb scattering, drifts of charged particles in magnetic and electric fields, plasma confinement by magnetic fields, kinetic and fluid collective plasma theories, plasma equilibria and flux surface geometry, plasma waves and instabilities, classical and neoclassical transport, plasma-materials interactions, radiation, etc. -- are fully developed from first principles through to the computational models employed in modern plasma physics. The new and emerging topics of fusion plasma physics research -- fluctuation-driven plasma transport and gyrokinetic/gyrofluid computational methodology, the physics of the divertor, neutral ...

  10. Final Progress Report The U.S. Department of Energy Research Grant No. DE-SC0008660 Plasma Surface Interactions: Bridging from the Surface to the Micron Frontier through Leadership Class Computing

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, Sergei [Univ. of California, San Diego, CA (United States); Smirnov, Roman [Univ. of California, San Diego, CA (United States); Guterl, Jerome [Univ. of California, San Diego, CA (United States)

    2017-12-12

    The choice of material for the plasma facing components (PFC), in particular, for divertor targets, is one of the main issues for future tokamak reactors. There are two major requirements for the PFC’s material: acceptable level of tritium retention and durability in a harsh environment of fusion grade plasma. Based on these criteria, some years ago it was decided that tungsten is an acceptable material for divertor targets in ITER. However, further experimental studies reveal that the irradiation of tungsten even with low energetic (well below sputtering threshold!) He containing plasma causes significant modification of surface morphology, formation of the layer of He nano-bubbles (in the temperature range T<1000 K), “fuzz” (for 1000 K2000 K) (e.g. see Fig. 1). Recall that He, being an ash of D-T fusion reactions, is an inherent impurity in fusion plasma. The goals of the UCSD Applied Plasma Theory Group was: i) investigate the mechanisms of the formation of He nano-bubble layer and fuzz growth under He irradiation, as well as the physics of transport of hydrogen species in tungsten lattice, and ii) develop physics understanding of the models suitable for the incorporation into the Xolotl-PSI code based on the reaction-diffusion approach, which is the flagship of the whole SciDAC project [8], which can guide both numerical simulations and experimental studies. Here we just highlight our major accomplishments.

  11. Improved ion acceleration via laser surface plasma waves excitation

    Energy Technology Data Exchange (ETDEWEB)

    Bigongiari, A. [CEA/DSM/LSI, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France); TIPS/LULI, Université Paris 6, CNRS, CEA, Ecole Polytechnique, 3, rue Galilée, 94200 Ivry-sur-Seine (France); Raynaud, M. [CEA/DSM/LSI, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France); Riconda, C. [TIPS/LULI, Université Paris 6, CNRS, CEA, Ecole Polytechnique, 3, rue Galilée, 94200 Ivry-sur-Seine (France); Héron, A. [CPHT, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France)

    2013-05-15

    The possibility of enhancing the emission of the ions accelerated in the interaction of a high intensity ultra-short (<100 fs) laser pulse with a thin target (<10λ{sub 0}), via surface plasma wave excitation is investigated. Two-dimensional particle-in-cell simulations are performed for laser intensities ranging from 10{sup 19} to 10{sup 20} Wcm{sup −2}μm{sup 2}. The surface wave is resonantly excited by the laser via the coupling with a modulation at the target surface. In the cases where the surface wave is excited, we find an enhancement of the maximum ion energy of a factor ∼2 compared to the cases where the target surface is flat.

  12. Data Evaluation for Atomic, Molecular and Plasma Material Interaction Processes in Fusion. Summary Report of a Joint IAEA-NFRI Technical Meeting

    International Nuclear Information System (INIS)

    Chung, Hyun-Kyung

    2012-12-01

    This report summarizes the proceedings of the Joint IAEA-NFRI Technical Meeting on 'Data Evaluation for Atomic, Molecular and Plasma Material Interaction Processes in Fusion' on 4-7 September 2012. Twenty five participants from 10 Member States and two from the IAEA attended the four-day meeting held at the Daejeon Convention Center in Daejeon, Republic of Korea hosted by the National Fusion Research Institute (NFRI) in conjunction with the 8th International Symposium on Standard Reference Data. The report includes discussions on the issues of the critical assessment of fundamental data required for fusion and plasma applications, meeting conclusions and recommendations. The abstracts of presentations presented in the meeting are attached in the Appendix. (author)

  13. Plasma hydrodynamics of the intense laser-cluster interaction*

    Science.gov (United States)

    Milchberg, Howard

    2002-11-01

    We present a 1D hydrodynamic model of the intense laser-cluster interaction in which the laser field is treated self-consistently. We find that for clusters initially as small as 25Å in radius, for which the hydrodynamic model is appropriate, nonuniform expansion of the heated material results in long-time resonance of the laser field at the critical density plasma layer. A significant result of this is that the ponderomotive force, which is enhanced at the critical density surface, can be large enough to strongly modify the plasma hydrodynamics, even at laser intensities as low as 10^15 W/cm^2 for 800 nm laser pulses. Recent experiments in EUV and x-ray generation as a function of laser pulsewidth [1], and femtosecond time-resolved measurements of cluster transient polarizability [2] provide strong support for the basic physics of this model. Recent results using a 2D hybrid fluid/PIC code show qualitative agreement with the 1D hydrocode [3]. *Work supported by the National Science Foundation and the EUV-LLC. 1. E. Parra, I. Alexeev, J. Fan, K. Kim, S.J. McNaught, and H. M. Milchberg, Phys. Rev. E 62, R5931 (2000). 2. K.Y. Kim, I. Alexeev, E. Parra, and H.M. Milchberg, submitted for publication. 3. T. Taguchi, T. Antonsen, and H.M Milchberg, this meeting.

  14. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  15. Tritium loading in ITER plasma-facing surfaces and its release under accident conditions

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.

    1996-01-01

    Plasma-facing surfaces of the International Thermonuclear Experimental Reactor (ITER) will take up tritium from the plasma. These surfaces will probably consist of matures of Be, C, and possibly W together with other impurities. Recent experimental results have suggested mechanisms, not previously considered in analyses, by which tritium and other hydrogen isotopes are retained in Be. This warrants revised modeling and estimation of the amount of tritium that will be deposited in ITER beryllium plasma-facing surfaces and the rates at which it can be released under postulated accident scenarios. In this paper we describe improvements in modeling and experiments planned at the Idaho National Engineering Laboratory (INEL) to investigate the tritium uptake and thermal release behavior for mixed plasma- facing materials. TMAP4 calculations were made using recent data to estimate first-wall tritium inventories in ITER. 16 refs., 1 fig

  16. Femtosecond laser-plasma interaction with prepulse-generated liquid metal microjets

    International Nuclear Information System (INIS)

    Uryupina, D. S.; Ivanov, K. A.; Savel'ev, A. B.; Volkov, R. V.; Brantov, A. V.; Bychenkov, V. Yu.; Povarnitsyn, M. E.; Tikhonchuk, V. T.

    2012-01-01

    Ultrashort laser pulse interaction with a microstructured surface of a melted metal is a promising source of hard x-ray radiation. Microstructuring is achieved by a weak prepulse that produces narrow high-density microjets. As an x-ray source, the interaction of the main laser pulse with such jets is shown to be nearly two orders of magnitude more efficient than the interaction with ordinary metal targets. This paper presents the results of optical and x-ray studies of laser-plasma interaction physics under such conditions supported by numerical simulations of microjet formation and fast-electron generation.

  17. Femtosecond laser-plasma interaction with prepulse-generated liquid metal microjets

    Energy Technology Data Exchange (ETDEWEB)

    Uryupina, D. S.; Ivanov, K. A.; Savel' ev, A. B.; Volkov, R. V. [Faculty of Physics and International Laser Center of M.V. Lomonosov Moscow State University, 119991 Moscow, Leninskie Gory (Russian Federation); Brantov, A. V.; Bychenkov, V. Yu. [P. N. Lebedev Physical Institute, Russian Academy of Sciences, 119991 Moscow (Russian Federation); Povarnitsyn, M. E. [Joint Institute for High Temperatures, Russian Academy of Sciences, 125412 Moscow (Russian Federation); Tikhonchuk, V. T. [CELIA, University of Bordeaux - CNRS - CEA, 33405 Talence (France)

    2012-01-15

    Ultrashort laser pulse interaction with a microstructured surface of a melted metal is a promising source of hard x-ray radiation. Microstructuring is achieved by a weak prepulse that produces narrow high-density microjets. As an x-ray source, the interaction of the main laser pulse with such jets is shown to be nearly two orders of magnitude more efficient than the interaction with ordinary metal targets. This paper presents the results of optical and x-ray studies of laser-plasma interaction physics under such conditions supported by numerical simulations of microjet formation and fast-electron generation.

  18. Improving surface acousto-optical interaction by high aspect ratio electrodes

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Laude, Vincent; Khelif, Abdelkrim

    2009-01-01

    The acousto-optical interaction of an optical wave confined inside a waveguide and a surface acoustic wave launched by an interdigital transducer (IDT) at the surface of a piezoelectric material is considered. The IDT with high aspect ratio electrodes supports several acoustic modes that are stro......The acousto-optical interaction of an optical wave confined inside a waveguide and a surface acoustic wave launched by an interdigital transducer (IDT) at the surface of a piezoelectric material is considered. The IDT with high aspect ratio electrodes supports several acoustic modes...

  19. Study of surfaces and surface layers on high temperature materials after short-time thermal loads

    International Nuclear Information System (INIS)

    Bolt, H.; Hoven, H.; Koizlik, K.; Linke, J.; Nickel, H.; Wallura, E.

    1985-11-01

    Being part of the plasma-wall interaction during TOKAMAK operation, erosion- and redeposition processes of First Wall materials substantially influence plasma parameters as well as the properties of the First Wall. An important redeposition process of eroded material is the formation of thin films by atomic condensation. Examinations of First Wall components after TOKAMAK operation lead to the assumption that these thin metallic films tend to agglomerate to small particles under subsequent heat load. In laboratory experiments it is shown that thin metallic films on various substrates can agglomerate under short time high heat fluxes and also under longer lasting lower thermal loads, thus verifying the ''agglomeration hypothesis''. (orig.) [de

  20. Laser study of phase changes in the surface layer of porous materials

    International Nuclear Information System (INIS)

    Wojtatowicz, T W

    2001-01-01

    The paper presents some aspects of the use of interference patterns observed upon reflection of laser radiation from the surface of a porous solid (laser speckles) for the study of moisture condensation in the near-surface layer. (interaction of laser radiation with matter. laser plasma)

  1. Surface martensitization of Carbon steel using Arc Plasma Sintering

    Science.gov (United States)

    Wahyudi, Haris; Dimyati, Arbi; Sebayang, Darwin

    2018-03-01

    In this paper new technology of surface structure modification of steel by short plasma exposure in Arc Plasma Sintering (APS) device is presented. APS is an apparatus working based on plasma generated by DC pulsed current originally used for synthesizing materials via sintering and melting. Plasma exposure in APS was applied into the specimens for 1 and 3 seconds which generate temperature approximately about 1300-1500°C. The SUP9, pearlitic carbon steel samples were used. The hardness, hardening depth and microstructure of the specimens have been investigated by Vickers micro hardness test and Scanning Electron Microscopy (SEM) supported by Energy Dispersive X-Ray Spectroscopy (EDX). The results have showed that the mechanical property was significantly improved due to the formation of single martensitic structures as identified by SEM. The hardness of treated surface evaluated by Vickers hardness test showed significant improvement nearly three time from 190 VHN before to 524 VHN after treatment. Furthermore, EDX confirmed that the formation of martensite layer occurred without altering its composition. The APS also produced uniform hardened layer up to 250 μm. The experiment has demonstrated that arc plasma process was successfully improved the mechanical properties of steel in relatively very short time.

  2. US-Japan workshop Q-181 on high heat flux components and plasma-surface interactions for next devices: Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    McGrath, R.T. [ed.] [Sandia National Labs., Albuquerque, NM (United States); Yamashina, T. [ed.] [Hokkadio Univ. (Japan)

    1994-04-01

    This report contain viewgraphs of papers from the following sessions: plasma facing components issues for future machines; recent PMI results from several tokamaks; high heat flux technology; plasma facing components design and applications; plasma facing component materials and irradiation damage; boundary layer plasma; plasma disruptions; conditioning and tritium; and erosion/redeposition.

  3. US-Japan workshop Q-181 on high heat flux components and plasma-surface interactions for next devices: Proceedings

    International Nuclear Information System (INIS)

    McGrath, R.T.; Yamashina, T.

    1994-04-01

    This report contain viewgraphs of papers from the following sessions: plasma facing components issues for future machines; recent PMI results from several tokamaks; high heat flux technology; plasma facing components design and applications; plasma facing component materials and irradiation damage; boundary layer plasma; plasma disruptions; conditioning and tritium; and erosion/redeposition

  4. Inductive thermal plasma generation applied for the materials coating

    International Nuclear Information System (INIS)

    Pacheco, J.; Pena, R.; Cota, G.; Segovia, A.; Cruz, A.

    1996-01-01

    The coatings by thermal plasma are carried out introducing particles into a plasma system where they are accelerated and melted (total or partially) before striking the substrate to which they adhere and are suddenly cooled down. The nature of consolidation and solidification of the particles allows to have control upon the microstructure of the deposit. This technique is able to deposit any kind of material that is suitable to be merged (metal, alloy, ceramic, glass) upon any type of substrate (metal, graphite, ceramic, wood) with an adjustable thickness ranging from a few microns up to several millimeters. The applications are particularly focused to the coating of materials in order to improve their properties of resistance to corrosion, thermal and mechanical efforts as well as to preserve the properties of the so formed compound. In this work the electromagnetic induction phenomenon in an ionized medium by means of electric conductivity, is described. Emphasis is made on the devices and control systems employed in order to generate the thermal plasma and in carrying out the coatings of surfaces by the projection of particles based on plasma

  5. The Character of the Solar Wind, Surface Interactions, and Water

    Science.gov (United States)

    Farrell, William M.

    2011-01-01

    We discuss the key characteristics of the proton-rich solar wind and describe how it may interact with the lunar surface. We suggest that solar wind can be both a source and loss of water/OH related volatiles, and review models showing both possibilities. Energy from the Sun in the form of radiation and solar wind plasma are in constant interaction with the lunar surface. As such, there is a solar-lunar energy connection, where solar energy and matter are continually bombarding the lunar surface, acting at the largest scale to erode the surface at 0.2 Angstroms per year via ion sputtering [1]. Figure 1 illustrates this dynamically Sun-Moon system.

  6. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  7. Non-electrolytic synthesis of copper oxide/carbon nanocomposite by surface plasma in super-dehydrated ethanol

    Science.gov (United States)

    Kozak, Dmytro S.; Sergiienko, Ruslan A.; Shibata, Etsuro; Iizuka, Atsushi; Nakamura, Takashi

    2016-02-01

    Electrolytic processes are widely used to synthesize different nanomaterials and it does not depend on what kind of the method has been applied (wet-chemistry, sonochemistry, plasma chemistry, electrolysis and so on). Generally, the reactions in the electrolyte are considered to be reduction/oxidation (REDOX) reactions between chemical reagents or the deposition of matter on the electrodes, in line with Faraday’s law. Due to the presence of electroconductive additives in any electrolyte, the polarization effect of polar molecules conducting an electrical current disappears, when external high-strength electric field is induced. Because initially of the charge transfer always belongs of electroconductive additive and it does not depend on applied voltage. The polarization of ethanol molecules has been applied to conduct an electric current by surface plasma interaction for the synthesis of a copper oxide/carbon nanocomposite material.

  8. Plasma-surface interactions with ICRF antennas and lower hybrid grills in Tore Supra

    International Nuclear Information System (INIS)

    Harris, J.H.; Hutter, T.; Hogan, J.T.; Basiuk, V.; Beaumont, B.; Becoulet, A.; Bremond, S.; Carter, M.D.; Goniche, M.; Goulding, R.H.; Guilhem, D.; Haste, G.R.; Hoffman, D.J.; Litaudon, X.; Nguyen, F.

    1997-01-01

    The edge plasma interactions of the actively cooled radio-frequency heating launchers in Tore Supra ion-cyclotron range of frequencies (ICRF) antennas and lower-hybrid (LH) grills are studied using infrared video imaging. On the two-strap ICRF antennas, operated in fast-wave electron heating or current drive mode, hot spots with temperatures of 500-900 C are observed by the end of 2 s power pulses of 2 MW per antenna. The steady-state temperature distribution is determined principally by the relative phase of the two antenna straps: dipole (heating) phasing results in significantly less antenna heating than does 90 (current drive) phasing. Transient heat fluxes of 1-20 MW/m 2 are measured on the lateral protection bumpers at ICRF turn-on; these fluxes are primarily a function of plasma and radio frequency (rf) control. The remarkable feature of the lower hybrid edge interaction is the production of beams of heat flux in front of the grills; these beams propagate along the helical magnetic field lines and can deliver fluxes of 5-10 MW/m 2 over areas of several cm 2 to plasma-facing components. Both the ICRF and LH phenomena appear to result from the acceleration of particles by the near fields of the launchers. Modeling of the heat flux deposition on components and its relation to sputtering processes is presented. (orig.)

  9. Molecular-level removal of proteinaceous contamination from model surfaces and biomedical device materials by air plasma treatment.

    Science.gov (United States)

    Banerjee, K K; Kumar, S; Bremmell, K E; Griesser, H J

    2010-11-01

    Established methods for cleaning and sterilising biomedical devices may achieve removal of bioburden only at the macroscopic level while leaving behind molecular levels of contamination (mainly proteinaceous). This is of particular concern if the residue might contain prions. We investigated at the molecular level the removal of model and real-life proteinaceous contamination from model and practical surfaces by air plasma (ionised air) treatment. The surface-sensitive technique of X-ray photoelectron spectroscopy (XPS) was used to assess the removal of proteinaceous contamination, with the nitrogen (N1s) photoelectron signal as its marker. Model proteinaceous contamination (bovine serum albumin) adsorbed on to a model surface (silicon wafer) and the residual proteinaceous contamination resulting from incubating surgical stainless steel (a practical biomaterial) in whole human blood exhibited strong N1s signals [16.8 and 18.5 atomic percent (at.%), respectively] after thorough washing. After 5min air plasma treatment, XPS detected no nitrogen on the sample surfaces, indicating complete removal of proteinaceous contamination, down to the estimated XPS detection limit 10ng/cm(2). Applying the same plasma treatment, the 7.7at.% nitrogen observed on a clinically cleaned dental bur was reduced to a level reflective of new, as-received burs. Contact angle measurements and atomic force microscopy also indicated complete molecular-level removal of the proteinaceous contamination upon air plasma treatment. This study demonstrates the effectiveness of air plasma treatment for removing proteinaceous contamination from both model and practical surfaces and offers a method for ensuring that no molecular residual contamination such as prions is transferred upon re-use of surgical and dental instruments. Crown Copyright © 2010. Published by Elsevier Ltd. All rights reserved.

  10. A dislocation-based crystal viscoplasticity model with application to micro-engineered plasma-facing materials

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, David; Huang, Yue; Po, Giacomo; Ghoniem, Nasr M., E-mail: ghoniem@ucla.edu

    2017-03-15

    Materials developed with special surface architecture are shown here to be more resilient to the transient thermomechanical environments imposed by intermittent exposures to high heat flux thermal loading typical of long-pulse plasma transients. In an accompanying article, we present experimental results that show the relaxation of residual thermal stresses in micro-engineered W surfaces. A dislocation-based model is extended here within the framework of large deformation crystal plasticity. The model is applied to the deformation of single crystals, polycrystals, and micro-engineered surfaces composed of a uniform density of micro-pillars. The model is utilized to design tapered surface micro-pillar architecture, composed of a Re core and W coatings. Residual stresses generated by cyclic thermomechanical loading of these architectures show that the surface can be in a compressive stress state, following a short shakedown plasma exposure, thus mitigating surface fracture. - • Materials developed with special surface architecture are shown to be more resilient to the transient thermomechanical plasma transients. • A dislocation-based model is extended within the framework of large deformation crystal plasticity. • The model is applied to the deformation of single crystals, polycrystals, and micro-engineered surfaces. • The model is utilized to design tapered surface micro-pillar architecture, composed of a Re core and W coatings. • Residual stresses generated by cyclic thermomechanical loading show that the surface can be in a compressive stress state, thus mitigating surface fracture.

  11. A dislocation-based crystal viscoplasticity model with application to micro-engineered plasma-facing materials

    International Nuclear Information System (INIS)

    Rivera, David; Huang, Yue; Po, Giacomo; Ghoniem, Nasr M.

    2017-01-01

    Materials developed with special surface architecture are shown here to be more resilient to the transient thermomechanical environments imposed by intermittent exposures to high heat flux thermal loading typical of long-pulse plasma transients. In an accompanying article, we present experimental results that show the relaxation of residual thermal stresses in micro-engineered W surfaces. A dislocation-based model is extended here within the framework of large deformation crystal plasticity. The model is applied to the deformation of single crystals, polycrystals, and micro-engineered surfaces composed of a uniform density of micro-pillars. The model is utilized to design tapered surface micro-pillar architecture, composed of a Re core and W coatings. Residual stresses generated by cyclic thermomechanical loading of these architectures show that the surface can be in a compressive stress state, following a short shakedown plasma exposure, thus mitigating surface fracture. - • Materials developed with special surface architecture are shown to be more resilient to the transient thermomechanical plasma transients. • A dislocation-based model is extended within the framework of large deformation crystal plasticity. • The model is applied to the deformation of single crystals, polycrystals, and micro-engineered surfaces. • The model is utilized to design tapered surface micro-pillar architecture, composed of a Re core and W coatings. • Residual stresses generated by cyclic thermomechanical loading show that the surface can be in a compressive stress state, thus mitigating surface fracture.

  12. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  13. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  14. Laser surface wakefield in a plasma column

    International Nuclear Information System (INIS)

    Gorbunov, L.M.; Mora, P.; Ramazashvili, R.R.

    2003-01-01

    The structure of the wakefield in a plasma column, produced by a short intense laser pulse, propagating through a gas affected by tunneling ionization is investigated. It is shown that besides the usual plasma waves in the bulk part of the plasma column [see Andreev et al., Phys. Plasmas 9, 3999 (2002)], the laser pulse also generates electromagnetic surface waves propagating along the column boundary. The length of the surface wake wave substantially exceeds the length of the plasma wake wave and its electromagnetic field extends far outside the plasma column

  15. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  16. Benefits of oxygen and nitrogen plasma treatment in Vero cell affinity to poly(lactide-co-glycolide acid

    Directory of Open Access Journals (Sweden)

    Andrea Rodrigues Esposito

    2013-01-01

    Full Text Available Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. it is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. in this study, poly(lactide-co-glycolide, plga, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on vero cells culture. the plga membranes, which were characterized by sem and contact angle, showed increased surface rugosity and narrower contact angles. cell adhesion, cytotoxicity assay, sem and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction. Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. It is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. Plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. In this study, poly(lactide-co-glycolide, PLGA, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on Vero cells culture. The PLGA membranes, which were characterized by SEM and contact angle, showed increased surface rugosity and narrower contact angles. Cell adhesion, cytotoxicity assay, SEM and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction.

  17. Effects of trace of nitrogen on the helium atmospheric pressure plasma jet interacting with a dielectric substrate

    Science.gov (United States)

    Ning, Wenjun; Dai, Dong; Zhang, Yuhui; Han, Yongxia; Li, Licheng

    2018-03-01

    Experimental observations and simulation results regarding a pure He atmospheric pressure plasma jet (APPJ) and He  +  N2 APPJs interacting with a downstream dielectric substrate are presented in this paper. Experiments utilizing spatial-temporal imaging show that, in the case of the pure He APPJ, an annular plasma-substrate interaction pattern is formed. With the introduction of N2, the plasma is more uniformly distributed on the substrate surface, appearing a solid interaction pattern. The experimental measurements indicate 0.5% N2 mixture is the optimal condition to achieve the most intense discharge, while the plasma-substrate contact area is slightly reduced by 6.1% in comparison to that of the pure He APPJ. A 2D self-consistent fluid model is constructed to provide insights into the role of the addition of trace of N2 on the discharge dynamics. The discharge morphologies predicated by the model is in principle consistent with the experimental observations. The simulation reveals that the conversion from the annular plasma-substrate interaction pattern to the solid one is attributed to the synthetic effect of the addition of N2 and the presentence of the substrate acting as the cathode to enhance the local electric field. In the solid interaction pattern, the Penning ionization makes a significant contribution to the surface discharge, especially in the afterglow region. The dominant positive ions (N2+ and N4+ ) and the reactive oxygen and nitrogen species including O and N gain remarkable increment in the flux intensity to the central surface, which merits great application potential.

  18. Examining the temperature behavior of stainless steel surfaces exposed to hydrogen plasmas in the Lithium Tokamak eXperiment (LTX)

    Science.gov (United States)

    Bedoya, Felipe; Allain, Jean Paul; Kaita, Robert; Lucia, Matthew; St-Onge, Denis; Ellis, Robert; Majeski, Richard

    2014-10-01

    The Materials Analysis Particle Probe (MAPP) is an in-situ diagnostic designed to characterize plasma-facing components (PFCs) in tokamak devices. MAPP is installed in LTX at Princeton Plasma Physics Laboratory. MAPP's capabilities include remotely operated XPS acquisition and temperature control of four samples. The recent addition of a focused ion beam allows XPS depth profiling analysis. Recent published results show an apparent correlation between hydrogen retention and temperature of Li coated stainless steel (SS) PFCs exposed to plasmas like those of LTX. According to XPS data, the retention of hydrogen by the coated surfaces decreases at above 180 °C. In the present study MAPP will be used to study the oxidation of Li coatings as a function of time and temperature of the walls when Li coatings are applied. Experiments in the ion-surface interaction experiment (IIAX) varying the hydrogen fluence on the SS samples will be also performed. Conclusions resulting from this study will be key to explain the PFC temperature-dependent variation of plasma performance observed in LTX. This work was supported by U.S. DOE Contracts DE-AC02-09CH11466, DE-AC52-07NA27344 and DE-SC0010717.

  19. Cosmic Ray Interactions in Shielding Materials

    International Nuclear Information System (INIS)

    Aguayo Navarrete, Estanislao; Kouzes, Richard T.; Ankney, Austin S.; Orrell, John L.; Berguson, Timothy J.; Troy, Meredith D.

    2011-01-01

    This document provides a detailed study of materials used to shield against the hadronic particles from cosmic ray showers at Earth's surface. This work was motivated by the need for a shield that minimizes activation of the enriched germanium during transport for the MAJORANA collaboration. The materials suitable for cosmic-ray shield design are materials such as lead and iron that will stop the primary protons, and materials like polyethylene, borated polyethylene, concrete and water that will stop the induced neutrons. The interaction of the different cosmic-ray components at ground level (protons, neutrons, muons) with their wide energy range (from kilo-electron volts to giga-electron volts) is a complex calculation. Monte Carlo calculations have proven to be a suitable tool for the simulation of nucleon transport, including hadron interactions and radioactive isotope production. The industry standard Monte Carlo simulation tool, Geant4, was used for this study. The result of this study is the assertion that activation at Earth's surface is a result of the neutronic and protonic components of the cosmic-ray shower. The best material to shield against these cosmic-ray components is iron, which has the best combination of primary shielding and minimal secondary neutron production.

  20. Recovery of tungsten surface with fiber-form nanostructure by the argon plasma irradiation at a high surface temperature

    International Nuclear Information System (INIS)

    Takamura, Shuichi; Miyamoto, Takanori

    2011-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation, while the helium is one of fusion products. Fiber-formed nanostructure is worried to have a possible weakness against the plasma heat flux and may destroy the reflectivity as an optical mirror. In this communication an interesting method for a recovery of such a tungsten surface is shown. (author)