WorldWideScience

Sample records for plasma-enhanced chemical-vapor deposition

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  3. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  4. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  5. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  8. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  9. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  10. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  11. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  12. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  13. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  14. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  15. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  16. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  17. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  18. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  19. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  20. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  1. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  2. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  3. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  4. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  5. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  6. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  7. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  8. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  9. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  10. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  11. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  12. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  13. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  14. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  15. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  16. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  17. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  18. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  19. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  20. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  1. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  2. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  3. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  4. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  5. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  6. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  7. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  8. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  9. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  10. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  11. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  12. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  13. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  14. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  15. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  16. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  17. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  18. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  19. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  20. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  1. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  2. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  3. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  4. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  5. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  6. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  7. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  8. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  9. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  10. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  11. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  12. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  13. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  14. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  15. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  16. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  17. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  18. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  19. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  20. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  1. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  2. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  3. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  4. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  5. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  6. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  7. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  8. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  9. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  10. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  11. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  12. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  13. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  14. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  15. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  16. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  18. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  19. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  20. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  1. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  2. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  3. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  6. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  7. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  8. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  9. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  10. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  11. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  12. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  13. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  14. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  15. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  16. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    International Nuclear Information System (INIS)

    Saidin, M.A.R.; Ismail, A.F.; Sanip, S.M.; Goh, P.S.; Aziz, M.; Tanemura, M.

    2012-01-01

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I D /I G value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  17. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    Energy Technology Data Exchange (ETDEWEB)

    Saidin, M.A.R. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Ismail, A.F., E-mail: afauzi@utm.my [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Sanip, S.M.; Goh, P.S.; Aziz, M. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Tanemura, M. [Department of Frontier Material, Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2012-01-31

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I{sub D}/I{sub G} value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  18. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  19. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  20. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  1. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  2. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  3. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  4. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  5. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  6. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  7. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  8. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  9. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  10. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  11. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  12. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  14. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  15. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  16. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  17. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  18. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  19. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  20. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  1. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  2. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  3. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  4. Obtention of selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition on porous substrates

    International Nuclear Information System (INIS)

    Bankovic, P.; Demarquette, N.R.; Silva, M.L.P. da

    2004-01-01

    In this work, the possibility of obtaining selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition (PECVD) of hexamethyldisilazane (HMDS) or double layers of HMDS and n-hexane on porous substrates using a capacitive plasma reactor was investigated. The porous substrates used were paper filter, diatomite and polyester textiles. The films were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and contact angle measurements. The membranes obtained were characterized by the Cobb test. Their efficiency to separate hydrocarbon compounds from water was evaluated through filtration experiments and Karl-Fischer titration tests. The reagents used in the filtration experiments were: chloroform, n-hexane, n-heptane, ethyl ether, benzene and diesel. XPS analysis showed that Si, N, C and O were present at the surface of the film. C peak was dominant in the double layer film spectra. C-H n , CH 2 , Si-H, Si-CH 3 , N-H, Si-CH 2 -Si, Si-N-Si and Si-C bonds were identified in both types of the films by ATR-FTIR. The relative intensities of the corresponding peaks in the two spectra were different. The XPS and FTIR results indicated that C was most likely present in a CH n form at the surface of double layer film. The average contact angles formed by drops of water on the film surface ranged from 135 deg. to 155 deg. . Water adsorption measured by Cobb test decreased from average values ranging from 300 to 9000 g m -2 (for nonmodified surfaces) to values ranging from 0 to 20 g m -2 (for treated surfaces). The Karl-Fischer titration indicated that between 90 and 1000 ppm (depending on the reagent used) of water remained in the hydrocarbon compound after filtration

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  7. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  8. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  9. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  10. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  11. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  12. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  13. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  14. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  15. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  16. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  17. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  18. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  19. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  20. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  1. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  2. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  3. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  4. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  5. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  6. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  7. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  8. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  9. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  10. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  11. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  12. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  13. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  14. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  15. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  16. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  17. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  18. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  19. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  20. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  1. Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach: Study of the precursor reaction mechanisms

    International Nuclear Information System (INIS)

    Castex, A.; Jousseaume, V.; Deval, J.; Bruat, J.; Favennec, L.; Passemard, G.

    2008-01-01

    As interconnects are scaled down, much effort is made to achieve ultralow k material with a dielectric constant lower than 2.5. Thus, many new precursors are investigated in plasma-enhanced chemical vapor deposition. This is particularly true with the porogen approach where two molecules are used: an organosilicon to create the silicon matrix and an organic molecule ''porogen'' that creates material porosity during a post-treatment such as annealing. In this article, the influence of the organosilicon molecular structure is investigated. Two ''matrix precursors'' with different structures are therefore compared. The first one, referred to as D5, has a ring structure (decamethyl pentacyclosiloxane); the second one, referred to as DEOMS, has a star structure (diethoxymethyl silane). The porogen organic molecule, referred to as CHO, is cyclohexen oxide. The fragmentation paths of the precursor molecules in the plasma are investigated by quadrupole mass spectroscopy and the film structure is studied by Fourier transform infrared spectroscopy. The mass spectroscopy analysis shows that the fragmentation in plasma is highest for DEOMS, intermediate for CHO, and lowest for D5 in comparable process conditions. At the maximum plasma power setting, the loss rate, which yields molecule consumption, is 43%-81% for the D5-CHO mixture, respectively, and 73%-37% for the DEOMS-CHO mixture, respectively. This is related to higher bond-dissociation energy for the siloxane (Si-O-Si) link in D5 than silane (Si-H), silylethoxyde (Si-OC 2 H 5 ) in DEOMS, or C-C and epoxy cycle in CHO. Indeed, a higher electron-energy relative threshold for dissociation under electron impact is measured for D5 (around 7 eV) than for DEOMS and CHO (around 4 eV). Moreover, the fragment structures differ from one precursor to another. Methyl groups are abstracted from D5 and a few polysiloxane chains are produced from pentacycle opening and fragmentation. In the case of DEOMS, many single silicon

  2. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  3. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  4. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  5. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  6. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  7. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  8. Non-catalytic direct synthesis of graphene on Si (111) wafers by using inductively-coupled plasma chemical vapor deposition

    Science.gov (United States)

    Hwang, Sung Won; Shin, Hyunho; Lee, Bongsoo; Choi, Suk-Ho

    2016-08-01

    We employ inductively-coupled plasma chemical vapor deposition for non-catalytic growth of graphene on a Si (111) wafer or glass substrate, which is useful for practical device applications of graphene without transfer processes. At a RF power (P) of 500 W under C2H2 flow, defect-free 3 ˜ 5-layer graphene is grown on Si (111) wafers, but on glass substrate, the layer is thicker and defective, as characterized by Raman spectroscopy and electron microscopy. The graphene is produced on Si (111) for P down to 190 W whereas it is almost not formed on glass for P < 250 W, possibly resulting from the weak catalytic-reaction-like effect on glass. These results are discussed based on possible growth mechanisms.

  9. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  10. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  11. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  12. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  13. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  14. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  15. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  16. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  19. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  20. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  1. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  2. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  3. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  4. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  5. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  6. Enhancement of C/C-LAS joint using aligned carbon nanotubes prepared by injection chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Feng-Ling; Fu, Qian-Gang, E-mail: fuqiangang@nwpu.edu.cn; Feng, Lei; Shen, Qing-Liang

    2016-01-05

    Carbon nanotubes (CNTs) enhanced carbon/carbon-lithium aluminum silicate (C/C-LAS) joint was prepared by a three-step technique of pack cementation, injection chemical vapor deposition (ICVD) and hot-pressing. A layer of aligned CNTs was grown on the surface of SiC coated C/C composites by ICVD method, and the joint was obtained by hot-pressing with magnesium aluminum silicate (MAS) as the interlayer. SEM observation reveals that the introduced CNTs result in the formation of a dense and crack-free CNT/MAS nanocomposite interface between SiC and MAS. Compared with the joints without CNTs, the average shear strength of the joints reinforced by CNTs was improved by 48% accompanied by an obvious change in failure mode from brittle fracture without CNTs to plastic fracture with CNTs. The pulling-out and bridging of CNTs on the fracture surfaces had a positive effect on the strength enhancement of the C/C-LAS joint.

  7. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  8. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  9. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  10. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  11. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  12. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  13. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  14. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  15. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  16. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  17. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  18. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  19. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  20. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  1. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  2. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  3. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  4. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  5. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  6. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  7. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  8. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  9. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  10. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  11. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  12. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  13. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  14. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  15. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  16. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  17. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  18. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  19. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  20. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  3. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  4. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  5. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  6. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  7. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  8. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  9. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  10. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  11. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  12. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  13. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  14. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  15. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  16. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  17. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  18. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  19. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  20. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  1. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  2. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  3. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  6. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  7. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  8. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  9. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  10. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  11. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  12. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  13. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  14. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  15. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  16. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  17. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  18. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  19. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  20. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  1. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  2. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  3. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  4. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  5. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  6. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  7. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  8. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  9. Paraffin/expanded graphite phase change composites with enhanced thermal conductivity prepared by implanted β-SiC nanowires with chemical vapor deposition method

    Science.gov (United States)

    Yin, Zhaoyu; Zhang, Xiaoguang; Huang, Zhaohui; Liu, Silin; Zhang, Weiyi; Liu, Yan'gai; Wu, Xiaowen; Fang, Minghao; Min, Xin

    2018-02-01

    Expanded graphite/β-SiC nanowires composites (ESNC) were prepared through chemical vapor deposition, and paraffin/expanded graphite/β-SiC nanowires composites (PESNC) were made through vacuum impregnation to overcome liquid leakage during phase transition and enhance the thermal conductivity of paraffin. Fourier transform infrared spectroscopy showed no chemical interactions between the paraffin and ESNC. Differential scanning calorimetry estimated the temperature and latent heat of PESNC during melting to 45.73 °C and 124.31 J g-1, respectively. The respective values of these quantities during freezing were recorded as 48.93 °C and 124.14 J g-1. The thermal conductivity of PESNC was estimated to 0.75 W mK-1, which was 3.26-folds that of pure paraffin (0.23 W mK-1). PESNC perfectly maintained its phase transition after 200 melting-freezing cycles. The resulting ideal thermal conductivity, good chemical stability, thermal properties and thermal reliability of PESNC are promising for use in energy efficient buildings and solar energy systems.

  10. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  11. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  12. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Piotr Piszczek

    2017-09-01

    Full Text Available Bioactivity investigations of titania nanotube (TNT coatings enriched with silver nanograins (TNT/Ag have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM, X-ray photoelectron spectroscopy (XPS, and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS. The metabolic activity assay (MTT was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9. The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface.

  13. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  14. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  15. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  17. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  18. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  19. Plasma-deposited hybrid silica membranes with a controlled retention of organic bridges

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Wienk, I.M.; Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-03-05

    Hybrid organically bridged silica membranes are suitable for energy-efficient molecular separations under harsh industrial conditions. Such membranes can be useful in organic solvent nanofiltration if they can be deposited on flexible, porous and large area supports. Here, we report the proof of concept for applying an expanding thermal plasma to the synthesis of perm-selective hybrid silica films from an organically bridged monomer, 1,2-bis(triethoxysilyl)ethane. This membrane is the first in its class to be produced by plasma enhanced chemical vapor deposition. By tuning the plasma and process parameters, the organic bridging groups could be retained in the separating layer. This way, a defect free film could be made with pervaporation performances of an n-butanol-water mixture comparable with those of conventional ceramic supported membranes made by sol-gel technology (i.e. a water flux of [similar]1.8 kg m'-{sup 2} h{sup -1}, a water concentration in the permeate higher than 98% and a separation factor of >1100). The obtained results show the suitability of expanding thermal plasma as a technology for the deposition of hybrid silica membranes for molecular separations.

  20. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  1. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  3. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  4. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  5. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  6. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  7. Plasma deposition of antimicrobial coating on organic polymer

    Science.gov (United States)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  8. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  9. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  10. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  11. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  12. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  13. Modeling high-density-plasma deposition of SiO{sub 2} in SiH{sub 4}/O{sub 2}/Ar

    Energy Technology Data Exchange (ETDEWEB)

    Meeks, E.; Larson, R.S. [Sandia National Labs., Livermore, CA (United States); Ho, P.; Apblett, C. [Sandia National Labs., Albuquerque, NM (United States); Han, S.M.; Edelberg, E.; Aydil, E. [Univ. of California, Santa Barbara, CA (United States)

    1997-03-01

    The authors have compiled sets of gas-phase and surface reactions for use in modeling plasma-enhanced chemical vapor deposition of silicon dioxide from silane, oxygen and argon gas mixtures in high-density-plasma reactors. They have applied the reaction mechanisms to modeling three different kinds of high-density plasma deposition chambers, and tested them by comparing model predictions to a variety of experimental measurements. The model simulates a well mixed reactor by solving global conservation equations averaged across the reactor volume. The gas-phase reaction mechanism builds from fundamental electron-impact cross section data available in the literature, and also includes neutral-molecule, ion-ion, and ion-molecule reaction paths. The surface reaction mechanism is based on insight from attenuated total-reflection Fourier-transform infrared spectroscopy experiments. This mechanism describes the adsorption of radical species on an oxide surface, ion-enhanced reactions leading to species desorption from the surface layer, radical abstractions competing for surface sites, and direct energy-dependent ion sputtering of the oxide material. Experimental measurements of total ion densities, relative radical densities as functions of plasma operating conditions, and net deposition-rate have been compared to model predictions to test and modify the chemical kinetics mechanisms. Results show good quantitative agreement between model predictions and experimental measurements.

  14. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  15. Oxygen source-oriented control of atmospheric pressure chemical vapor deposition of VO2 for capacitive applications

    Directory of Open Access Journals (Sweden)

    Dimitra Vernardou

    2016-06-01

    Full Text Available Vanadium dioxides of different crystalline orientation planes have successfully been fabricated by chemical vapor deposition at atmospheric pressure using propanol, ethanol and O2 gas as oxygen sources. The thick a-axis textured monoclinic vanadium dioxide obtained through propanol presented the best electrochemical response in terms of the highest specific discharge capacity of 459 mAh g-1 with a capacitance retention of 97 % after 1000 scans under constant specific current of 2 A g-1. Finally, the electrochemical impedance spectroscopy indicated that the charge transfer of Li+ through the vanadium dioxide / electrolyte interface was easier for this sample enhancing significantly its capacitance performance.

  16. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  17. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  18. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  19. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  20. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  1. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  2. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  3. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  4. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  5. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties.

    Science.gov (United States)

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-02-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive ( Enterococcus faecalis ) and -negative ( Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation.

  6. Plasma Deposition and Characterization of Copper-doped Cobalt Oxide Nanocatalysts

    Directory of Open Access Journals (Sweden)

    Jacek TYCZKOWSKI

    2013-09-01

    Full Text Available A series of pure and copper-doped cobalt oxide films was prepared by plasma-enhanced metalorganic chemical vapor deposition (PEMOCVD. The effect of Cu-doping on the chemical structure and morphology of the deposited films was investigated. Raman and FTIR spectroscopies were used to characterize the chemical structure and morphology of the produced films. The bulk composition and homogeneity of the samples were investigated by energy dispersive X-ray microanalysis (EDX, and X-ray photoelectron spectroscopy (XPS was employed to assess the surface chemical composition of pure and doped materials. The obtained results permit to affirm that the PEMOCVD technique is a simple, versatile and efficient method for providing homogeneous layers of cobalt oxides with a different content of copper. It has been found that pure cobalt oxide films mainly contain Co3O4 in the form of nanoclusters whereas the films doped with Cu are much more complex, and CoOx (also Co3O4, mixed Co-Cu oxides and CuOx nanoclusters are detected in them. Preliminary catalytical tests show that Cu-doped cobalt oxide films allow to initiate catalytic combustion of n-hexane at a lower temperature compared to the pure cobalt oxide (Co3O4 films. From what has been stated above, the plasma-deposited thin films of Cu-doped cobalt oxides pave the way towards a new class of nanomaterials with interesting catalytic properties. DOI: http://dx.doi.org/10.5755/j01.ms.19.3.2320

  7. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  8. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    Science.gov (United States)

    Li, Jing; Tian, Xiubo; Gong, Chunzhi; Yang, Shiqin; Fu, Ricky K. Y.; Chu, Paul K.

    2009-12-01

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  9. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    International Nuclear Information System (INIS)

    Li Jing; Gong Chunzhi; Yang Shiqin; Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2009-01-01

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  10. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    Energy Technology Data Exchange (ETDEWEB)

    Li Jing; Gong Chunzhi; Yang Shiqin [Institute of Plasma Surface Engineering and Equipment, State Key Laboratory of Advanced Welding Production and Technology, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Tian Xiubo [Institute of Plasma Surface Engineering and Equipment, State Key Laboratory of Advanced Welding Production and Technology, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong); Fu, Ricky K. Y.; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong)

    2009-12-15

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  11. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  12. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  13. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  14. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  15. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  16. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  17. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  18. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  19. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  20. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  1. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  2. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  3. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  4. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  5. High density gold nanoparticles immobilized on surface via plasma deposited APTES film for decomposing organic compounds in microchannels

    Science.gov (United States)

    Rao, Xi; Guyon, Cédric; Ognier, Stephanie; Da Silva, Bradley; Chu, Chenglin; Tatoulian, Michaël; Hassan, Ali Abou

    2018-05-01

    Immobilization of colloidal particles (e.g. gold nanoparticles (AuNps)) on the inner surface of micro-/nano- channels has received a great interest for catalysis. A novel catalytic ozonation setup using a gold-immobilized microchannel reactor was developed in this work. To anchor AuNps, (3-aminopropyl) triethoxysilane (APTES) with functional amine groups was deposited using plasma enhanced chemical vapor deposition (PECVD) process. The results clearly evidenced that PECVD processing exhibited relatively high efficiency for grafting amine groups and further immobilizing AuNPs. The catalytic activity of gold immobilized microchannel was evaluated by pyruvic acid ozonation. The decomposition rate calculated from High Performance Liquid Chromatography (HPLC) indicated a much better catalytic performance of gold in microchannel than that in batch. The results confirmed immobilizing gold nanoparticles on plasma deposited APTES for preparing catalytic microreactors is promising for the wastewater treatment in the future.

  6. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  7. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  8. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  9. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  10. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  11. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  12. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  13. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  14. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  15. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  16. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  17. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  18. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  19. Mechanical properties of ultrananocryslalline thin films deposited using dual frequency discharges

    Czech Academy of Sciences Publication Activity Database

    Buršíková, V.; Bláhová, O.; Karásková, M.; Zajíčková, L.; Jašek, O.; Franta, D.; Klapetek, P.; Buršík, Jiří

    2011-01-01

    Roč. 105, - (2011), s. 98-101 ISSN 0009-2770. [Lokální mechanické vlastnosti ´07. Brno, 07.11.2007-09.11.2007] Institutional research plan: CEZ:AV0Z20410507 Keywords : ultrananocryslalline diamond * plasma enhanced chemical vapor deposition * dual frequency discharge Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.529, year: 2011

  20. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  1. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  2. Effects of potassium hydroxide post-treatments on the field-emission properties of thermal chemical vapor deposited carbon nanotubes.

    Science.gov (United States)

    Lee, Li-Ying; Lee, Shih-Fong; Chang, Yung-Ping; Hsiao, Wei-Shao

    2011-12-01

    In this study, a simple potassium hydroxide treatment was applied to functionalize the surface and to modify the structure of multi-walled carbon nanotubes grown on silicon substrates by thermal chemical vapor deposition. Scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive spectrometry were employed to investigate the mechanism causing the modified field-emission properties of carbon nanotubes. From our experimental data, the emitted currents of carbon nanotubes after potassium hydroxide treatment are enhanced by more than one order of magnitude compared with those of untreated carbon nanotubes. The emitted current density of carbon nanotubes increases from 0.44 mA/cm2 to 7.92 mA/cm2 after 30 minutes KOH treatment. This technique provides a simple, economical, and effective way to enhance the field-emission properties of carbon nanotubes.

  3. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  4. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  5. Plasma deposition of Au-SiO2 multilayers for surface plasmon resonance based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Sanden, van de M.C.M.; Creatore, M.; Kondruweit, S.; Szyszka, B.; Pütz, J.

    2010-01-01

    Nanocomposite thin films with metallic nanoparticles embedded in a dielectric material show attractive plasmonic properties due to dielectric and quantum confinement effects. In this work. the expanding thermal plasma chemical vapor deposition in combination with radjo frequency magnetron sputtering

  6. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  7. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  9. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  10. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  11. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  12. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  13. Nanocrystalline-Si-dot multi-layers fabrication by chemical vapor deposition with H-plasma surface treatment and evaluation of structure and quantum confinement effects

    Directory of Open Access Journals (Sweden)

    Daisuke Kosemura

    2014-01-01

    Full Text Available 100-nm-thick nanocrystalline silicon (nano-Si-dot multi-layers on a Si substrate were fabricated by the sequential repetition of H-plasma surface treatment, chemical vapor deposition, and surface oxidation, for over 120 times. The diameter of the nano-Si dots was 5–6 nm, as confirmed by both the transmission electron microscopy and X-ray diffraction analysis. The annealing process was important to improve the crystallinity of the nano-Si dot. We investigated quantum confinement effects by Raman spectroscopy and photoluminescence (PL measurements. Based on the experimental results, we simulated the Raman spectrum using a phenomenological model. Consequently, the strain induced in the nano-Si dots was estimated by comparing the experimental and simulated results. Taking the estimated strain value into consideration, the band gap modulation was measured, and the diameter of the nano-Si dots was calculated to be 5.6 nm by using PL. The relaxation of the q ∼ 0 selection rule model for the nano-Si dots is believed to be important to explain both the phenomena of peak broadening on the low-wavenumber side observed in Raman spectra and the blue shift observed in PL measurements.

  14. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  15. Structure and gas-barrier properties of amorphous hydrogenated carbon films deposited on inner walls of cylindrical polyethylene terephthalate by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Jing; Gong Chunzhi; Tian Xiubo; Yang Shiqin; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    The influence of radio-frequency (RF) power on the structure and gas permeation through amorphous hydrogenated carbon films deposited on cylindrical polyethylene terephthalate (PET) samples is investigated. The results show that a higher radio-frequency power leads to a smaller sp 3 /sp 2 value but produces fewer defects with smaller size. The permeability of PET samples decreases significantly after a-C:H deposition and the RF only exerts a small influence. However, the coating uniformity, color, and wettability of the surface are affected by the RF power. A higher RF power results in to better uniformity and it may be attributed to the combination of the high-density plasma and sample heating.

  16. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  17. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  18. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  19. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  20. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  1. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition.

    Science.gov (United States)

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Nanocomposite SiO x particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiO x matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH 4 promotes reduction in the oxygen content x of SiO x , and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x  = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD.

  2. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  3. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  4. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  5. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  6. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  7. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  8. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  9. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  10. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  11. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  12. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  13. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  14. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  15. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  16. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  18. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  19. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  20. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  1. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  6. Role of defects in tuning the electronic properties of monolayer WS{sub 2} grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jie; Zheliuk, Oleksandr; Lu, Jianming; Ye, Jianting [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Gordiichuk, Pavlo [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Department of Chemistry, Northwestern University, Evanston, IL (United States); Herrmann, Andreas [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Molecular Biophysics, Department of Biology, Humboldt-Universitaet Berlin (Germany)

    2017-10-15

    Two-dimensional transition metal dichalcogenides have already attracted enormous research interest. To understand the dependence of electronic properties on the quality and defect morphology is vital for synthesizing high quality materials and the realization of functional devices. Here, we demonstrate the mapping of the conductive variations by conducting atomic force microscopy (C-AFM) in the monolayer tungsten disulfide (WS{sub 2}) grown by chemical vapor deposition. The electronic properties are strongly affected by the formation of vacancies in monolayer WS{sub 2} during growth, which is also verified by the photoluminescence. This spatial study of defects provides opportunities for optimization of the growth process for enhancing devices performance of TMDs monolayers. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  8. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  9. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  10. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Manaa, C., E-mail: chadlia.el.manaa@gmail.com [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Lejeune, M. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Kouki, F. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Durand-Drouhin, O. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Bouchriha, H. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); and others

    2014-06-02

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method.

  11. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    International Nuclear Information System (INIS)

    Manaa, C.; Lejeune, M.; Kouki, F.; Durand-Drouhin, O.; Bouchriha, H.

    2014-01-01

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method

  12. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  13. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  14. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  15. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  16. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  17. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  18. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  19. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  20. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  1. Fabrication of aligned carbon nanotubes on Cu catalyst by dc plasma-enhanced catalytic decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Zhejuan [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Shakerzadeh, Maziar; Tay, Beng Kang; Li Xiaocheng; Tan Chongwei [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue 50, 639798 Singapore (Singapore); Lin Lifeng; Guo Pingsheng; Feng Tao [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Sun Zhuo, E-mail: zsun@phy.ecnu.edu.cn [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China)

    2009-04-01

    Aligned multi-walled carbon nanotubes (ACNTs) are deposited using copper (Cu) catalyst on Chromium (Cr)-coated substrate by plasma-enhanced chemical vapor deposition at temperature of 700 deg. C. Acetylene gas has been used as the carbon source while ammonia is used for diluting and etching. The thicknesses of Cu films on Cr-coated Si (100) substrates are controlled by deposition time of magnetron sputtering. The growth behaviors and quality of ACNTs are investigated by scanning electron microscopy (SEM) and transmission electron microscopy. The different performance of ACNTs on various Cu films is explained by referring to the graphitic order as detected by Raman spectroscopy. The results indicate that the ACNTs are formed in tip-growth model where Cu is used as a novel catalyst, and the thickness of Cu films is responsible to the diameter and quality of synthesized CNTs.

  2. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    Science.gov (United States)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  3. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  4. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  5. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  6. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  7. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  8. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  9. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  10. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  11. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  12. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  13. Nitrogen doped germania glasses with enhanced optical and mechanical properties

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Poulsen, Christian; Leistiko, Otto

    1997-01-01

    A new type of ultraviolet photosensitive germanium doped glass has been developed for use in the fabrication of optical waveguide structures. By adding ammonia to the source gases during a plasma enhanced chemical vapor deposition of these glasses, ultraviolet induced refractive index changes of ...

  14. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  15. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  16. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  17. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  18. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  20. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  1. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  2. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  3. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  4. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  5. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  6. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  7. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  8. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  9. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  10. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  11. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  12. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  13. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  14. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  15. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  16. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  17. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  18. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  19. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  20. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  1. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  2. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  3. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  4. Synthesis and optical properties of BCxNy films deposited from N-triethylborazine and hydrogen mixture

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Rumyantsev, Yurii M.; Kesler, Valerii G.; Kosinova, Marina L.

    2015-01-01

    BC x N y films were obtained by plasma enhanced chemical vapor deposition method using a single-source precursor N-triethylborazine and hydrogen as plasma activating gas. The effect of synthesis temperature on the chemical composition and properties of the BC x N y films was investigated. The BC x N y films were examined by scanning electron microscopy, Fourier transform infrared and Raman spectroscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and ellipsometry and spectrophotometry techniques. These experimental results indicated that the BC x N y films produced at low temperatures (T dep ≤ 673 K) are the polymer-like hydrogenated films with high transparency up to 93% in the visible part of the spectrum. BC x N y films produced at high temperatures (> 673 K) contain additional phase of disordered carbon which has dramatically reduce transparency. The band gap of the films varied from 0.6 to 4.5 eV, with variation in deposition temperature. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-triethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • Optical band gap of the BC x N y layers varied from 0.6 to 4.5 eV

  5. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  6. Enhanced electrochemical activity using vertically aligned carbon nanotube electrodes grown on carbon fiber

    Directory of Open Access Journals (Sweden)

    Evandro Augusto de Morais

    2011-09-01

    Full Text Available Vertically aligned carbon nanotubes were successfully grown on flexible carbon fibers by plasma enhanced chemical vapor deposition. The diameter of the CNT is controllable by adjusting the thickness of the catalyst Ni layer deposited on the fiber. Vertically aligned nanotubes were grown in a Plasma Enhanced Chemical Deposition system (PECVD at a temperature of 630 ºC, d.c. bias of -600 V and 160 and 68 sccm flow of ammonia and acetylene, respectively. Using cyclic voltammetry measurements, an increase of the surface area of our electrodes, up to 50 times higher, was observed in our samples with CNT. The combination of VACNTs with flexible carbon fibers can have a significant impact on applications ranging from sensors to electrodes for fuel cells.

  7. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  8. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  9. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  10. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  11. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  12. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  13. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  14. Investigation of the composition-structure-property relationship of AsxTe100 - x films prepared by plasma deposition

    Science.gov (United States)

    Mochalov, Leonid; Dorosz, Dominik; Nezhdanov, Aleksey; Kudryashov, Mikhail; Zelentsov, Sergey; Usanov, Dmitry; Logunov, Alexandr; Mashin, Aleksandr; Gogova, Daniela

    2018-02-01

    AsxTe100 - x amorphous films of different chemical content were prepared by Plasma-Enhanced Chemical Vapor Deposition (PECVD). For the first time the optical properties of As-Te chalcogenide materials have been measured in UV-VIS-IR ranges (from 0.2 to 25 μm) for a very wide range of chemical compositions (20-80 at.% As). As-Te films have been tuned from 0.80 to 1.10 eV. The IR results obtained have been juxtaposed with the Raman spectroscopy findings to establish the correlation between optical and structural properties of the materials developed. Reversible and irreversible changes in the phase composition of the As-Te films under annealing of the surface by laser irradiation have been demonstrated and studied. In order to determine the potential areas of application of the prepared As-Te films the thermal and photo sensitivity has been also investigated.

  15. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  16. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  17. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  18. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  19. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  20. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  1. Fiber-reinforced ceramic matrix composites processed by a hybrid technique based on chemical vapor infiltration, slurry impregnation and spark plasma sintering

    International Nuclear Information System (INIS)

    Magnant, J.; Pailler, R.; Le Petitcorps, Y.; Maille, L.; Guette, A.; Marthe, J.

    2013-01-01

    Fabrication of multidirectional continuous carbon and silicon carbide fiber reinforced ceramic matrix composites (CMC) by a new short time hybrid process was studied. This process is based, first, on the deposition of fiber interphase and coating by chemical vapor infiltration, next, on the introduction of silicon nitride powders into the fibrous preform by slurry impregnation and, finally, on the densification of the composite by liquid phase spark plasma sintering (LP-SPS). The homogeneous introduction of the ceramic charges into the multidirectional fiber pre-forms was realized by slurry impregnation from highly concentrated and well-dispersed aqueous colloid suspensions. The chemical degradation of the carbon fibers during the fabrication was prevented by adapting the sintering pressure cycle. The composites manufactured are dense. Microstructural analyses were conducted to explain the mechanical properties achieved. One main important result of this study is that LP-SPS can be used in some hybrid processes to densify fiber reinforced CMC. (authors)

  2. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  3. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  4. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  5. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  6. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  7. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  8. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  9. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  10. Enhanced and uniform in-field performance in long (Gd, Y)-Ba-Cu-O tapes with zirconium doping fabricated by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Selvamanickam, V; Guevara, A; Zhang, Y; Kesgin, I; Xie, Y; Carota, G; Chen, Y; Dackow, J; Zhang, Y; Zuev, Y; Cantoni, C; Goyal, A; Coulter, J; Civale, L

    2010-01-01

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I c ) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 μm thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I c in the orientation of field parallel to the c-axis and retain 28% of their self-field I c value at 77 K and 1 T. BaZrO 3 (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of B || c-axis. A retention factor of 36% of the zero-field I c value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  11. Enhanced and uniform in-field performance in long (Gd, Y)-Ba-Cu-O tapes with zirconium doping fabricated by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V; Guevara, A; Zhang, Y; Kesgin, I [Texas Center for Superconductivity and Department of Mechanical Engineering, University of Houston, Houston, TX 77059 (United States); Xie, Y; Carota, G; Chen, Y; Dackow, J [SuperPower Incorporated, 450 Duane Avenue Schenectady, NY 12304 (United States); Zhang, Y; Zuev, Y; Cantoni, C; Goyal, A [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Coulter, J; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States)

    2010-01-15

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I{sub c}) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 {mu}m thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I{sub c} in the orientation of field parallel to the c-axis and retain 28% of their self-field I{sub c} value at 77 K and 1 T. BaZrO{sub 3} (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of B || c-axis. A retention factor of 36% of the zero-field I{sub c} value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  12. Enhanced and Uniform in-Field Performance in Long (Gd,Y)-Ba-Cu-O Tapes with Zirconium Doping Fabricated by Metal Organic Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Guevara, A. [University of Houston, Houston; Zhang, Y. [University of Houston, Houston; Kesign, I. [University of Houston, Houston; Xie, Y. Y. [SuperPower Incorporated, Schenectady, New York; Carota, G. [SuperPower Incorporated, Schenectady, New York; Chen, Y. [SuperPower Incorporated, Schenectady, New York; Dackow, J. [SuperPower Incorporated, Schenectady, New York; Zhang, Yifei [ORNL; Zuev, Yuri L [ORNL; Cantoni, Claudia [ORNL; Goyal, Amit [ORNL; Coulter, J. [Los Alamos National Laboratory (LANL); Civale, L. [Los Alamos National Laboratory (LANL)

    2010-01-01

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I{sub c}) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 {mu}m thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I{sub c} in the orientation of field parallel to the c-axis and retain 28% of their self-field I{sub c} value at 77 K and 1 T. BaZrO{sub 3} (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of {beta} {parallel} c-axis. A retention factor of 36% of the zero-field I{sub c} value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  13. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  14. The current of a particle along a microtubule in microscopic plasma

    International Nuclear Information System (INIS)

    Li Wei; Chen Junfang; Wang Teng; Lai Xiuqiong

    2008-01-01

    Transport of a particle along the axis of a microtubule in a plasma-enhanced chemical vapor deposition (PECVD) system is investigated. The current, respectively, as a function of the temperature, the magnetic field and the external force is obtained. The value and direction of the current may be controlled by changing the above parameters

  15. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  16. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  17. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  18. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  19. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  20. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.