WorldWideScience

Sample records for plasma surface treatment

  1. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  2. Surface modification of polymer nanofibres by plasma treatment

    International Nuclear Information System (INIS)

    Wei, Q.F.; Gao, W.D.; Hou, D.Y.; Wang, X.Q.

    2005-01-01

    Polymer nanofibres have great potential for technical applications in biomaterials, filtration, composites and electronics. The surface properties of nanofibres are of importance in these applications. In this study, cold gas plasma treatment was used to modify the surface of polyamide 6 nanofibres prepared by electrospinning. The chemical nature of the nanofibre surfaces was examined by X-ray photoelectron spectroscopy (XPS). Atomic force microscopy (AFM) was employed to study the surface characteristics of the fibres. The AFM results indicate a significant change in the morphology of the fibre surface before and after plasma treatment. A Philips Environmental Scanning Electron Microscopy (ESEM) was also used to study the wetting behaviour of the fibres. In the ESEM, relative humidity was raised to 100% to facilitate the water condensation onto fibre surfaces for wetting observation. The ESEM observation revealed that the plasma treatment significantly altered the surface wettability of the polyamide 6 nanofibres

  3. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  4. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  5. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  6. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Surface improvement of EPDM rubber by plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Moraes, J H [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Silva Sobrinho, A S da [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Maciel, H S [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Dutra, J C N [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Massi, M [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Mello, S A C [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Schreiner, W H [Physics Department, UFPR, Centro Politecnico, 80060-000 Curitiba, P.R. (Brazil)

    2007-12-21

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N{sub 2}/Ar and N{sub 2}/H{sub 2}/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  8. Surface improvement of EPDM rubber by plasma treatment

    International Nuclear Information System (INIS)

    Moraes, J H; Silva Sobrinho, A S da; Maciel, H S; Dutra, J C N; Massi, M; Mello, S A C; Schreiner, W H

    2007-01-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N 2 /Ar and N 2 /H 2 /Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber

  9. Surface improvement of EPDM rubber by plasma treatment

    Science.gov (United States)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  10. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  11. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  12. Influence of atmospheric pressure plasma treatment on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Zhang Ruiyun; Pan Xianlin; Jiang Muwen; Peng Shujing; Qiu Yiping

    2012-01-01

    Highlights: ► PBO fibers were treated with atmospheric pressure plasmas. ► When 1% of oxygen was added to the plasma, IFSS increased 130%. ► Increased moisture regain could enhance plasma treatment effect on improving IFSS with long treatment time. - Abstract: In order to improve the interfacial adhesion property between PBO fiber and epoxy, the surface modification effects of PBO fiber treated by atmospheric pressure plasma jet (APPJ) in different time, atmosphere and moisture regain (MR) were investigated. The fiber surface morphology, functional groups, surface wettability for control and plasma treated samples were analyzed by scanning electron microscope (SEM), X-ray photoelectron spectroscopy (XPS) and water contact angle measurements, respectively. Meanwhile, the fiber interfacial shear strength (IFSS), representing adhesion property in epoxy, was tested using micro-bond pull-out test, and single fiber tensile strength was also tested to evaluate the mechanical performance loss of fibers caused by plasma treatment. The results indicated that the fiber surface was etched during the plasma treatments, the fiber surface wettability and the IFSS between fiber and epoxy had much improvement due to the increasing of surface energy after plasma treatment, the contact angle decreased with the treatment time increasing, and the IFSS was improved by about 130%. The processing atmosphere could influence IFSS significantly, and moisture regains (MR) of fibers also played a positive role on improving IFSS but not so markedly. XPS analysis showed that the oxygen content on fiber surface increased after treatment, and C=O, O-C=O groups were introduced on fiber surface. On the other hand, the observed loss of fiber tensile strength caused by plasma treatment was not so remarkable to affect the overall performance of composite materials.

  13. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Science.gov (United States)

    Novotná, Zdeňka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdeňka; Hubáček, Tomáš; Borovec, Jakub; Švorčík, Václav

    2017-06-01

    Polyetheretherketone (PEEK) has good chemical and biomechanical properties that are excellent for biomedical applications. However, PEEK exhibits hydrophobic and other surface characteristics which cause limited cell adhesion. We have investigated the potential of Ar plasma treatment for the formation of a nanostructured PEEK surface in order to enhance cell adhesion. The specific aim of this study was to reveal the effect of the interface of plasma-treated and gold-coated PEEK matrices on adhesion and spreading of mouse embryonic fibroblasts. The surface characteristics (polarity, surface chemistry, and structure) before and after treatment were evaluated by various experimental techniques (gravimetry, goniometry, X-ray photoelectron spectroscopy (XPS), and electrokinetic analysis). Further, atomic force microscopy (AFM) was employed to examine PEEK surface morphology and roughness. The biological response of cells towards nanostructured PEEK was evaluated in terms of cell adhesion, spreading, and proliferation. Detailed cell morphology was evaluated by scanning electron microscopy (SEM). Compared to plasma treatment, gold coating improved PEEK wettability. The XPS method showed a decrease in the carbon concentration with increasing time of plasma treatment. Cell adhesion determined on the interface between plasma-treated and gold-coated PEEK matrices was directly proportional to the thickness of a gold layer on a sample. Our results suggest that plasma treatment in a combination with gold coating could be used in biomedical applications requiring enhanced cell adhesion.

  14. A Study of Atmospheric Plasma Treatment on Surface Energetics of Carbon Fibers

    International Nuclear Information System (INIS)

    Park, Soo Jin; Chang, Yong Hwan; Moon, Cheol Whan; Suh, Dong Hack; Im, Seung Soon; Kim, Yeong Cheol

    2010-01-01

    In this study, the atmospheric plasma treatment with He/O 2 was conducted to modify the surface chemistry of carbon fibers. The effects of plasma treatment parameters on the surface energetics of carbon fibers were experimentally investigated with respect to gas flow ratio, power intensity, and treatment time. Surface characteristics of the carbon fibers were determined by X-ray photoelectron spectroscopy (XPS), scanning electron microscope (SEM), Fourier transform infrared (FT-IR), Zeta-potential, and contact angle measurements. The results indicated that oxygen plasma treatment led to a large amount of reactive functional groups onto the fiber surface, and these groups can form together as physical intermolecular bonding to improve the surface wettability with a hydrophilic polymer matrix

  15. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  16. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  17. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  18. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  19. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo [Sungkyunkwan Univ., Suwon (Korea, Republic of); Shrestha, Shankar Prasad [Tribhuvan Univ., Kathmandu (Nepal)

    2014-03-15

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O{sub 2} flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O{sub 2} flow rate. Resistance changes only slightly with different O{sub 2} flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O{sub 2} or N{sub 2} plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance.

  20. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    International Nuclear Information System (INIS)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo; Shrestha, Shankar Prasad

    2014-01-01

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O 2 flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O 2 flow rate. Resistance changes only slightly with different O 2 flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O 2 or N 2 plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance

  1. Plasma surface treatment of Cu by nanosecond-pulse diffuse discharges in atmospheric air

    Science.gov (United States)

    Cheng, ZHANG; Jintao, QIU; Fei, KONG; Xingmin, HOU; Zhi, FANG; Yu, YIN; Tao, SHAO

    2018-01-01

    Nanosecond-pulse diffuse discharges could provide high-density plasma and high-energy electrons at atmospheric pressure. In this paper, the surface treatment of Cu by nanosecond-pulse diffuse discharges is conducted in atmospheric air. Factors influencing the water contact angle (WCA), chemical composition and microhardness, such as the gap spacing and treatment time, are investigated. The results show that after the plasma surface treatment, the WCA considerably decreases from 87° to 42.3°, and the surface energy increases from 20.46 mJ m-2 to 66.28 mJ m-2. Results of energy dispersive x-ray analysis show that the concentration of carbon decreases, but the concentrations of oxygen and nitrogen increase significantly. Moreover, the microhardness increases by approximately 30% after the plasma treatment. The aforementioned changes on the Cu surface indicate the plasma surface treatment enhances the hydrophilicity and microhardness, and it cleans the carbon and achieves oxidization on the Cu surface. Furthermore, by increasing the gap spacing and treatment time, better treatment effects can be obtained. The microhardness in the case of a 2.5 cm gap is higher than that in the case of a 3 cm gap. More oxygen and nitrogen species appear on the Cu surface for the 2.5 cm gap treatment than for the 3 cm gap treatment. The WCA significantly decreases with the treatment time when it is no longer than 90 s, and then it reaches saturation. In addition, more oxygen-containing and nitrogen-containing groups appear after extended plasma treatment time. They contribute to the improvement of the hydrophilicity and oxidation on the Cu surface.

  2. Argon plasma treatment to enhance the electrochemical reactivity of screen-printed carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ghamouss, F.; Luais, E. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Thobie-Gautier, C. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Tessier, P.-Y. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Boujtita, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France)], E-mail: mohammed.boujtita@univ-nantes.fr

    2009-04-15

    Radiofrequency argon plasma was used for screen-printed carbon electrodes (SPCE) surface treatment. The cyclic voltammetry of ferri/ferrocyanide as redox couple showed a remarkable improvement of the electrochemical reactivity of the SPCE after the plasma treatment. The effect of the plasma growth conditions on the efficiency of the treatment procedure was evaluated in term of electrochemical reactivity of the SPCE surface. The electrochemical study showed that the electrochemical reactivity of the treated electrodes was strongly dependant on radiofrequency power, treatment time and argon gas pressure. X-ray photoelectron spectroscopy (XPS) analysis showed a considerable evolution on the surface chemistry of the treated electrodes. Our results clearly showed that the argon plasma treatment induces a significant increase in the C{sub sp2}/C{sub sp3} ratio. The scanning electron micrograph (SEM) also showed a drastic change on the surface morphology of the treated SPCEs.

  3. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Tao [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Liu, Yong [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Zhu, Yan, E-mail: zhuyan@kmust.edu.cn [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Yang, De-Quan, E-mail: dequan.yang@gmail.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Sacher, Edward [Regroupement Québécois de Matériaux de Pointe, Department of Engineering Physics, École Polytechnique de Montréal, Case Postale 6079, succursale Centre-Ville, Montréal, Québec H3C 3A7 (Canada)

    2017-07-31

    Highlights: • A two-step process has been developed to enhance the adhesion of immobilized Ag NPs to the PET surface. • The method is simple, easy to use and low-cost for mass production. • The increased density of active sites (−OH, −CH=O and COOH) at the PET surface, after plasma treatment, permits increased reaction with 3-aminopropyltriethoxysilane (APTES). • The presence of APTES with high surface density permits −NH{sub 2}-Ag complex formation, increasing the adhesion of the Ag NPs. - Abstract: Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (−OH, −CH=O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose −NH{sub 2} groups were then able to form a bonding complex with the Ag NPs.

  4. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  5. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  6. Effects of air dielectric barrier discharge plasma treatment time on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Wang Qian; Chen Ping; Jia Caixia; Chen, Mingxin; Li Bin

    2011-01-01

    In this paper, the effects of air dielectric barrier discharge (DBD) plasma treatment time on surface properties of poly(p-phenylene benzobisoxazole) (PBO) fiber were investigated. The surface characteristics of PBO fiber before and after the plasma treatments were analyzed by dynamic contact angle (DCA) analysis, scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). DCA measurements indicated that the surface wettability of PBO fiber was improved significantly by increasing the fiber surface free energy via air DBD plasma treatments. The results were confirmed by the improvement of adhesion of a kind of thermoplastic resin to PBO fiber which was observed by SEM, showing that more resin was adhering evenly to the fiber surface. AFM measurement revealed that the surface topography of PBO fiber became more complicated and the surface roughness was greatly enhanced after the plasma treatments, and XPS analysis showed that some new polar groups (e.g. -O-C=O) were introduced on plasma treated PBO fiber surface. The results of this study also showed that the surface properties of PBO fiber changed with the elongation of plasma treatment time.

  7. Treatment of polymer surfaces in plasma Part I. Kinetic model

    International Nuclear Information System (INIS)

    Tabaliov, N A; Svirachev, D M

    2006-01-01

    The surface tension of the polymer materials depends on functional groups over its surface. As a result from the plasma treatment the kind and concentration of the functional groups can be changed. In the present work, the possible kinetic reactions are defined. They describe the interaction between the plasma and the polymer surface of polyethylene terephthalate (PET). Basing on these reactions, the systems of differential kinetic equations are suggested. The solutions are obtained analytically for the system kinetic equations at defined circumstances

  8. Surface treatment of aramid fiber by air dielectric barrier discharge plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Jia Caixia; Chen Ping; Liu Wei; Li Bin; Wang Qian

    2011-01-01

    Aramid fiber samples are treated by air dielectric barrier discharge (DBD) plasma at atmospheric pressure; the plasma treatment time is investigated as the major parameter. The effects of this treatment on the fiber surface physical and chemical properties are studied by using surface characterization techniques. Scanning electron microscopy (SEM) is performed to determine the surface morphology changes, X-ray photoelectron spectroscopy (XPS) is analyzed to reveal the surface chemical composition variations and dynamic contact angle analysis (DCAA) is used to examine the changes of the fiber surface wettability. In addition, the wetting behavior of a kind of thermoplastic resin, poly(phthalazinone ether sulfone ketone) (PPESK), on aramid fiber surface is also observed by SEM photos. The study shows that there seems to be an optimum treatment condition for surface modification of aramid fiber by the air DBD plasma. In this paper, after the 12 s, 27.6 W/cm 3 plasma treatment the aramid fiber surface roughness is significantly improved, some new oxygen-containing groups such as C-O, C=O and O=C-O are generated on the fiber surface and the fiber surface wettability is greatly enhanced, which results in the better wetting behavior of PPESK resin on the plasma-treated aramid fiber.

  9. Surface characterization of the chitosan membrane after oxygen plasma treatment and its aging effect

    International Nuclear Information System (INIS)

    Wang Yingjun; Yin Shiheng; Ren Li; Zhao Lianna

    2009-01-01

    Chitosan has received considerable attention for biomedical applications in recent years because of its biocompatibility and biodegradability. In this paper, angle-resolved x-ray photoelectron spectroscopy (ARXPS) was carried out to investigate the chemical groups' spatial orientation on the chitosan membrane surface. Oxygen plasma treatment was also employed to improve the surface hydrophilicity of the chitosan membrane. The results of ARXPS revealed the distribution of surface polar groups, such as-OH and O=CNH 2 toward the membrane bulk, which was the origin of the chitosan membrane surface hydrophobicity. The contact angle measurements and XPS results indicated that oxygen plasma treatment can markedly improve the surface hydrophilicity and surface energy of the chitosan membrane by incorporating oxygen-containing polar groups. With the existence of the aging process, the influence of plasma treatment was not permanent, it faded with storage time. The ARXPS result discovered that the reorientation of polar functional groups generated by plasma treatment toward the membrane bulk was primarily responsible for the aging effect.

  10. Microstructure evolution and tribological properties of acrylonitrile-butadiene rubber surface modified by atmospheric plasma treatment

    Science.gov (United States)

    Shen, Ming-xue; Zhang, Zhao-xiang; Peng, Xu-dong; Lin, Xiu-zhou

    2017-09-01

    For the purpose of prolonging the service life for rubber sealing elements, the frictional behavior of acrylonitrile-butadiene rubber (NBR) surface by dielectric barrier discharge plasma treatments was investigated in this paper. Surface microstructure and chemical composition were measured by atomic force microscopy, field-emission scanning electron microscopy, and X-ray photoelectron spectroscopy, respectively. Water contact angles of the modified rubber surface were also measured to evaluate the correlation between surface wettability and tribological properties. The results show that plasma treatments can improve the properties of the NBR against friction and wear effectively, the surface microstructure and roughness of plasma-modified NBR surface had an important influence on the surface tribological behavior, and the wear depth first decreased and then increased along with the change of plasma treatment time. It was found that the wettability of the modified surface was gradually improved, which was mainly due to the change of the chemical composition after the treatment. This study suggests that the plasma treatment could effectively improve the tribological properties of the NBR surface, and also provides information for developing wear-resistant NBR for industrial applications.

  11. Polymerization by plasma: surface treatment and plasma simulation

    International Nuclear Information System (INIS)

    Morales C, J.

    2001-01-01

    One of the general objectives that are developed by the group of polymers semiconductors in the laboratory of polymers of the UAM-Iztapalapa is to study the surface treatment for plasma of different materials. Framed in this general objective, in this work three lines of investigation have been developed, independent one of other that converge in the general objective. The first one tries about the modeling one and evaluation of the microscopic parameters of operation of the polymerization reactor. The second are continuation of the study of conductive polymers synthesized by plasma and the third are an application of the treatment for plasma on natural fibers. In the first one it lines it is carried out the characterization and simulation of the parameters of operation of the polymerization reactor for plasma. They are determined the microscopic parameters of operation of the reactor experimentally like they are the electronic temperature, the potential of the plasma and the density average of electrons using for it an electrostatic Langmuir probe. In the simulation, starting from the Boltzmann transport equation it thinks about the flowing pattern and the electronic temperature, the ions density is obtained and of electrons. The data are compared obtained experimentally with the results of the simulation. In second line a study is presented about the influence of the temperature on the electric conductivity of thin films doped with iodine, of poly aniline (P An/I) and poly pyrrole (P Py/I). The films underwent heating-cooling cycles. The conductivity of P An/I and P Py/I in function of the temperature it is discussed based on the Arrhenius model, showing that it dominates the model of homogeneous conductivity. It is also synthesized a polymer bi-layer of these two elements and a copolymer random poly aniline-poly pyrrole, of the first one it the behavior of its conductivity discusses with the temperature and of the second, the conductivity is discussed in

  12. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  13. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  14. Study on hydrophilicity of polymer surfaces improved by plasma treatment

    International Nuclear Information System (INIS)

    Lai Jiangnan; Sunderland, Bob; Xue Jianming; Yan, Sha; Zhao Weijiang; Folkard, Melvyn; Michael, Barry D.; Wang Yugang

    2006-01-01

    Surface properties of polycarbonate (PC), polypropylene (PP), polyethylene terephthalate (PET) samples treated by microwave-induced argon plasma have been studied with contact angle measurement, X-ray photoelectron spectroscopy (XPS) and scanned electron microscopy (SEM). It is found that plasma treatment modified the surfaces both in composition and roughness. Modification of composition makes polymer surfaces tend to be highly hydrophilic, which mainly depended on the increase of ratio of oxygen-containing group as same as other papers reported. And this experiment further revealed that C=O bond is Key factor to the improvement of the hydrophilicity of polymer surfaces. Our SEM observation on PET shown that the roughness of the surface has also been improved in micron scale and it has influence on the surface hydrophilicity

  15. Surface Treatment of PET Nonwovens with Atmospheric Plasma

    International Nuclear Information System (INIS)

    Li Shufang

    2013-01-01

    In this study, polyethylene-terephthalate (PET) nonwovens are treated using an atmospheric plasma and the effects of the treatment time, treatment power and discharge distance on the ability of water-penetration into the nonwovens are investigated. The result indicates that the method can improve the wettability of PET nonwovens remarkably, but the aging decay of the sample's wettability is found to be notable as a function of the storage time after treatment due to the internal rotation of the single bond of surface macromolecules. As shown by SEM and XPS analysis, the etching and surface reaction are significant, and water-penetration weight is found to increase remarkably with the increasing power. This variation can be attributed to momentum transfer and enhanced higher-energy particle excitation.

  16. Modification of SrTiO3 single-crystalline surface after plasma flow treatment

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A.; Weissbach, Torsten; Leisegang, Tilmann; Meyer, Dirk C. [Institut fuer Strukturphysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Kulagin, Nikolay A. [Kharkiv National University for Radioelectronics, av. Shakespeare 6-48, 61045 Kharkiv (Ukraine); Langer, Enrico [Institut fuer Festkoerperphysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2009-07-01

    Surface of pure and transition metal-doped SrTiO3(STO) single crystals before and after hydrogen plasma-flow treatment (energy of 5..20 J/cm2) is investigated by wide-angle X-ray diffraction (WAXRD), fluorescence X-ray absorption near edge structure (XANES) and scanning electron microscopy (SEM) techniques. Plasma treatment results in the formation of a textured polycrystalline layer at the surface of the single-crystalline samples with different orientation. The formation of the quasi-ordered structures consisting of nanoscale-sized pyramids is observed by SEM. XANES evidences the change of the valency of the part of Ti4+ to Ti3+ due to the plasma treatment. The data obtained together with results of X-ray spectroscopy measurements gives evidences of the change of stoichiometry of the STO samples resulting in a change of their physical properties after plasma treatment.

  17. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  18. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  19. Dentin surface treatment using a non-thermal argon plasma brush for interfacial bonding improvement in composite restoration

    Science.gov (United States)

    Ritts, Andy Charles; Li, Hao; Yu, Qingsong; Xu, Changqi; Yao, Xiaomei; Hong, Liang; Wang, Yong

    2010-01-01

    The objective of this study is to investigate the treatment effects of non-thermal atmospheric gas plasmas on dentin surfaces for composite restoration. Extracted unerupted human third molars were used by removing the crowns and etching the exposed dentin surfaces with 35% phosphoric acid gel. The dentin surfaces were treated by using a non-thermal atmospheric argon plasma brush for various durations. The molecular changes of the dentin surfaces were analyzed using FTIR/ATR and an increase in carbonyl groups on dentin surfaces was detected with plasma treated dentin. Adper Single Bond Plus adhesive and Filtek Z250 dental composite were applied as directed. To evaluate the dentin/composite interfacial bonding, the teeth thus prepared were sectioned into micro-bars as the specimens for tensile test. Student Newman Keuls tests showed that the bonding strength of the composite restoration to peripheral dentin was significantly increased (by 64%) after 30 s plasma treatment. However, the bonding strength to plasma treated inner dentin did not show any improvement. It was found that plasma treatment of peripheral dentin surface up to 100 s gave an increase in interfacial bonding strength, while a prolong plasma treatment of dentin surfaces, e.g., 5 min treatments, showed a decrease in interfacial bonding strength. PMID:20831586

  20. Comparison of the effect of plasma treatment and gamma ray irradiation on PS-Cu nanocomposite films surface

    Science.gov (United States)

    Farag, O. F.

    2018-06-01

    Polystyrene-copper (PS-Cu) nanocomposite films were treated with DC N2 plasma and gamma rays irradiations. The plasma treatment of PS-Cu film surface was carried out at different treatment times, gas pressure 0.4 Torr and the applied power 3.5 W. On the other hand, the treatment with gamma rays irradiation were carried out at irradiation doses 10, 30 and 50 kGy. The induced changes in surface properties of PS-Cu films were investigated with UV-viss spectroscopy, scanning electron microscopy (SEM) and FTIR spectroscopy techniques. In addition, the wettability property, surface free energy, spreading coefficient and surface roughness of the treated samples were studied by measuring the contact angle. The UV-viss spectroscopy analysis revealed that the optical band gap decreases with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. SEM observations showed that the particle size of copper particles was increased with increasing the treatment time and the irradiation dose, but gamma treatment changes the copper particles size from nano scale to micro scale. The contact angle measurements showing that the wettability property, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples were increased remarkably with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. The contact angle, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples are more influenced by plasma treatment than gamma treatment.

  1. Wettability and XPS analyses of nickel–phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    International Nuclear Information System (INIS)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K.L.; Morelle, J.M.; Etcheberry, A.; Chalumeau, L.

    2013-01-01

    Electroless nickel-high-phosphorus Ni–P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni–P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni–P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni–P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni–P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni–P surface preparation has been established. The sessile drop method can

  2. Wettability and XPS analyses of nickel-phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    Science.gov (United States)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K. L.; Morelle, J. M.; Etcheberry, A.; Chalumeau, L.

    2013-06-01

    Electroless nickel-high-phosphorus Ni-P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni-P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni-P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni-P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni-P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni-P surface preparation has been established. The sessile drop method can be

  3. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  4. Improvement of Polytetrafluoroethylene Surface Energy by Repetitive Pulse Non-Thermal Plasma Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Yang Guoqing; Zhang Guanjun; Zhang Wenyuan

    2011-01-01

    Improvement of polytetrafluoroethylene surface energy by non-thermal plasma treatment is presented, using a nanosecond-positive-edge repetitive pulsed dielectric barrier discharge generator in atmospheric air. The electrical parameters including discharging power, peak and density of micro-discharge current were calculated, and the electron energy was estimated. Surface treatment experiments of polytetrafluoroethylene films were conducted for both different applied voltages and different treating durations. Results show that the surface energy of polytetrafluoroethylene film could be improved to 40 mJ/m 2 or more by plasma treatment. Surface roughness measurement and surface X-ray photoelectron spectroscopy analysis indicate that there are chemical etching and implantation of polar oxygen groups in the sample surface treating process, resulting in the improvement of the sample surface energy. Compared with an AC source of 50 Hz, the dielectric barrier discharges generated by a repetitive pulsed source could provide higher peak power, lower mean power, larger micro-discharge current density and higher electron energy. Therefore, with the same applied peak voltage and treating duration, the improvement of polytetrafluoroethylene surface energy using repetitive pulsed plasma is more effective, and the plasma treatment process based on repetitive pulsed dielectric barrier discharges in air is thus feasible and applicable.

  5. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yoshihara, Y.; Furuta, E. [Ochanomizu University, Bunkyo-ku, Tokyo (Japan); Ohyama, R.I.; Yokota, S. [Tokai University, Hiratsuka-shi, Kanagawa (Japan); Kato, Y.; Yoshimura, T.; Ogiwara, K. [Hitachi Aloka Medical, Mure, Mitaka-shi, Tokyo (Japan)

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic which contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.

  6. Investigation of surface treatment of conductive wire in cylindrical atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ye Rubin; Kagohashi, Tsutomu; Zheng Wei

    2009-01-01

    Polyethylene insulated electric wire was treated in He and Ar dielectric barrier discharge atmospheric pressure plasmas generated in a quartz tube wound with tubular electrodes. The wire was put penetrating through the high voltage and the grounded electrodes, improving the discharge and facilitating uniform surface treatment. In this work, the influences of conductivity of the wire on the effects of surface treatment and discharge behavior were investigated. Surface properties of the wire samples were analyzed by means of surface energy measurement and X-ray photoelectron spectroscopy. In order to reveal the mechanism for treating the conductive wire, I-V discharge waveforms were measured and time-resolved plasma images were taken. It was demonstrated that the conductive wire was involved in the discharge process, reducing the breakdown voltage significantly and enhancing the discharge. It shows that the discharge mode was strongly dependent on the conductivity of a wire. Intensive surface discharges developed along the conductive wire were found to be mainly responsible for noticeable improvement in the treatment effect.

  7. Nearly Perfect Durable Superhydrophobic Surfaces Fabricated by a Simple One-Step Plasma Treatment.

    Science.gov (United States)

    Ryu, Jeongeun; Kim, Kiwoong; Park, JooYoung; Hwang, Bae Geun; Ko, YoungChul; Kim, HyunJoo; Han, JeongSu; Seo, EungRyeol; Park, YongJong; Lee, Sang Joon

    2017-05-16

    Fabrication of superhydrophobic surfaces is an area of great interest because it can be applicable to various engineering fields. A simple, safe and inexpensive fabrication process is required to fabricate applicable superhydrophobic surfaces. In this study, we developed a facile fabrication method of nearly perfect superhydrophobic surfaces through plasma treatment with argon and oxygen gases. A polytetrafluoroethylene (PTFE) sheet was selected as a substrate material. We optimized the fabrication parameters to produce superhydrophobic surfaces of superior performance using the Taguchi method. The contact angle of the pristine PTFE surface is approximately 111.0° ± 2.4°, with a sliding angle of 12.3° ± 6.4°. After the plasma treatment, nano-sized spherical tips, which looked like crown-structures, were created. This PTFE sheet exhibits the maximum contact angle of 178.9°, with a sliding angle less than 1°. As a result, this superhydrophobic surface requires a small external force to detach water droplets dripped on the surface. The contact angle of the fabricated superhydrophobic surface is almost retained, even after performing an air-aging test for 80 days and a droplet impacting test for 6 h. This fabrication method can provide superb superhydrophobic surface using simple one-step plasma etching.

  8. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  9. Plasma treatment of crane rails

    Directory of Open Access Journals (Sweden)

    Владислав Олександрович Мазур

    2016-07-01

    Full Text Available Crane operation results in wear and tear of rails and crane wheels. Renovation and efficiency of these details is therefore relevant. Modern technologies of wheels and rails restoration use surfacing or high-frequency currents treatment. Surface treatment with highly concentrated streams of energy- with a laser beam, plasma jet- is a promising direction.. It is proposed to increase the efficiency of crane rails by means of surface plasma treatment. The modes of treatment have been chosen.. Modelling of plasma jet thermal impact on a solid body of complex shape has been made. Plasma hardening regimes that meet the requirements of production have been defined. Structural transformation of the material in the crane rails on plasma treatment has been investigated. It has been concluded that for carbon and low alloy crane steels the plasma exposure zone is characterized by a high degree of hardened structure dispersion and higher hardness as compared to the hardness after high-frequency quenching. As this takes place phase transformations are both shift (in the upper zone of plasma influence and fluctuation (in the lower zone of the plasma. With high-speed plasma heating granular or lamellar pearlite mainly transforms into austenite. The level of service characteristics of hardened steel, which is achieved in this case is determined by the kinetics and completeness of pearlite → austenite transformation. For carbon and low alloy rail steels plasma hardening can replace bulk hardening, hardening by high-frequency currents, or surfacing. The modes for plasma treatment which make it possible to obtain a surface layer with a certain service characteristics have been defined

  10. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  11. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    International Nuclear Information System (INIS)

    Chen, Po-Hsun; Chang, Ting-Chang; Chang, Kuan-Chang; Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui; Zheng, Hao-Xuan; Chen, Min-Chen; Sze, Simon M.

    2017-01-01

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O_2 inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O_2 plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O_2 plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O_2 plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O_2 plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O_2 plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  12. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Po-Hsun [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Chang, Kuan-Chang, E-mail: kcchang@pkusz.edu.cn [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); School of Electronic and Computer Engineering, Peking University, Shenzhen 518055 (China); Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Zheng, Hao-Xuan; Chen, Min-Chen [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Sze, Simon M. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 300, Taiwan, ROC (China)

    2017-08-31

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O{sub 2} inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O{sub 2} plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O{sub 2} plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O{sub 2} plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O{sub 2} plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O{sub 2} plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  13. Orienting Block Copolymer Thin Films via Entropy and Surface Plasma Treatment

    Science.gov (United States)

    Ho, Rong-Ming; Lu, Kai-Yuan; Lo, Ting-Ya; Dehghan, Ashkan; Shi, An-Chang; Prokopios, Georgopanos; Avgeropoulos, Apostolos

    Controlling the orientation of nanostructured thin films of block copolymers (BCPs) is essential for next generation lithography. In the thin-film state, how to achieve the perpendicular orientation of the nanostructured microdomains remains challenging due to the interfacial effects from the air and also the substrate, especially for the blocks with silicon containing segments which usually have different surface energies, favoring parallel microdomain orientation. Here, we show that entropic effect can be used to control the orientation of BCP thin films. Specifically, we used the architecture of star-block copolymers consisting of polystyrene (PS) and poly(dimethylsiloxane) (PDMS) blocks to regulate the entropic contribution to the self-assembled nanostructures. Moreover, we aim to achieve the formation of perpendicular orientation from the air surface via surface plasma treatment to neutralize the interfacial energy difference. By combining the architecture effect (entropy effect) on BCP self-assembly and the surface plasma treatment (enthalpy effect), well-defined perpendicular PDMS microdomains in the PS-b-PDMS thin film can be formed from the bottom of non-neutral substrate and the top of the thin film surface, giving great potential for lithographic applications.

  14. High efficiency GaN-based LEDs using plasma selective treatment of p-GaN surface

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young-Bae; Naoi, Yoshiki; Sakai, Shiro [Department of Electrical and Electronic Engineering, University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Takaki, Ryohei; Sato, Hisao [Nitride Semiconductor Co., Ltd., 115-7 Itayajima, Akinokami, Seto-cho, Naruto, Tokushima 771-0360 (Japan)

    2003-11-01

    We have studied a new method of increasing the extraction efficiency of a GaN-based light-emitting diode (LED) using a plasma surface treatment. In this method, prior to the evaporation of a semitransparent p-metal, the surface of a p-GaN located beneath a p-pad is selectively exposed to a nitrogen plasma in a reactive ion etching (RIE) chamber. The electrical characteristics of the plasma treated p-GaN remarkably changes its resistivity into semi-insulator without any parasitic damage. Since the LEDs with a new method have no light absorption in a p-pad region, a higher optical power can be extracted compared to a conventional LEDs without plasma selective treatment on the p-GaN surface. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Hydrophilic property of 316L stainless steel after treatment by atmospheric pressure corona streamer plasma using surface-sensitive analyses

    Energy Technology Data Exchange (ETDEWEB)

    Al-Hamarneh, Ibrahim, E-mail: hamarnehibrahim@yahoo.com [Department of Physics, Faculty of Science, Al-Balqa Applied University, Salt 19117 (Jordan); Pedrow, Patrick [School of Electrical Engineering and Computer Science, Washington State University, Pullman, WA 99164 (United States); Eskhan, Asma; Abu-Lail, Nehal [Gene and Linda Voiland School of Chemical Engineering and Bioengineering, Washington State University, Pullman, WA 99164 (United States)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer Surface hydrophilic property of surgical-grade 316L stainless steel was enhanced by Ar-O{sub 2} corona streamer plasma treatment. Black-Right-Pointing-Pointer Hydrophilicity, surface morphology, roughness, and chemical composition before and after plasma treatment were evaluated. Black-Right-Pointing-Pointer Contact angle measurements and surface-sensitive analyses techniques, including XPS and AFM, were carried out. Black-Right-Pointing-Pointer Optimum plasma treatment conditions of the SS 316L surface were determined. - Abstract: Surgical-grade 316L stainless steel (SS 316L) had its surface hydrophilic property enhanced by processing in a corona streamer plasma reactor using O{sub 2} gas mixed with Ar at atmospheric pressure. Reactor excitation was 60 Hz ac high-voltage (0-10 kV{sub RMS}) applied to a multi-needle-to-grounded screen electrode configuration. The treated surface was characterized with a contact angle tester. Surface free energy (SFE) for the treated stainless steel increased measurably compared to the untreated surface. The Ar-O{sub 2} plasma was more effective in enhancing the SFE than Ar-only plasma. Optimum conditions for the plasma treatment system used in this study were obtained. X-ray photoelectron spectroscopy (XPS) characterization of the chemical composition of the treated surfaces confirms the existence of new oxygen-containing functional groups contributing to the change in the hydrophilic nature of the surface. These new functional groups were generated by surface reactions caused by reactive oxidation of substrate species. Atomic force microscopy (AFM) images were generated to investigate morphological and roughness changes on the plasma treated surfaces. The aging effect in air after treatment was also studied.

  16. Work function modifications of graphite surface via oxygen plasma treatment

    Science.gov (United States)

    Duch, J.; Kubisiak, P.; Adolfsson, K. H.; Hakkarainen, M.; Golda-Cepa, M.; Kotarba, A.

    2017-10-01

    The surface modification of graphite by oxygen plasma was investigated experimentally (X-ray diffraction, nanoparticle tracking analysis, laser desorption ionization mass spectrometry, thermogravimetry, water contact angle) and by molecular modelling (Density Functional Theory). Generation of surface functional groups (mainly sbnd OHsurf) leads to substantial changes in electrodonor properties and wettability gauged by work function and water contact angle, respectively. The invoked modifications were analyzed in terms of Helmholtz model taking into account the theoretically determined surface dipole moment of graphite-OHsurf system (μ = 2.71 D) and experimentally measured work function increase (from 0.75 to 1.02 eV) to determine the sbnd OH surface coverage (from 0.70 to 1.03 × 1014 groups cm-2). Since the plasma treatment was confined to the surface, the high thermal stability of the graphite material was preserved as revealed by the thermogravimetric analysis. The obtained results provide a suitable quantitative background for tuning the key operating parameters of carbon electrodes: electronic properties, interaction with water and thermal stability.

  17. Plasma surface treatment to improve surface charge accumulation and dissipation of epoxy resin exposed to DC and nanosecond-pulse voltages

    Science.gov (United States)

    Zhang, Cheng; Lin, Haofan; Zhang, Shuai; Xie, Qin; Ren, Chengyan; Shao, Tao

    2017-10-01

    In this paper, deposition by non-thermal plasma is used as a surface modification technique to change the surface characteristics of epoxy resin exposed to DC and nanosecond-pulse voltages. The corresponding surface characteristics in both cases of DC and nanosecond-pulse voltages before and after the modification are compared and investigated. The measurement of the surface potential provides the surface charge distribution, which is used to show the accumulation and dissipation process of the surface charges. Morphology observations, chemical composition and electrical parameters measurements are used to evaluate the treatment effects. The experimental results show that, before the plasma treatment, the accumulated surface charges in the case of the DC voltage are more than that in the case of the nanosecond-pulse voltage. Moreover, the decay rate of the surface charges for the DC voltage is higher than that for the nanosecond-pulse voltage. However, the decay rate is no more than 41% after 1800 s for both types of voltages. After the plasma treatment, the maximum surface potentials decrease to 57.33% and 32.57% of their values before treatment for the DC and nanosecond-pulse voltages, respectively, indicating a decrease in the accumulated surface charges. The decay rate exceeds 90% for both types of voltages. These changes are mainly attributed to a change in the surface nanostructure, an increase in conductivity, and a decrease in the depth of energy level.

  18. Plasma surface treatment to improve surface charge accumulation and dissipation of epoxy resin exposed to DC and nanosecond-pulse voltages

    International Nuclear Information System (INIS)

    Zhang, Cheng; Lin, Haofan; Zhang, Shuai; Ren, Chengyan; Shao, Tao; Xie, Qin

    2017-01-01

    In this paper, deposition by non-thermal plasma is used as a surface modification technique to change the surface characteristics of epoxy resin exposed to DC and nanosecond-pulse voltages. The corresponding surface characteristics in both cases of DC and nanosecond-pulse voltages before and after the modification are compared and investigated. The measurement of the surface potential provides the surface charge distribution, which is used to show the accumulation and dissipation process of the surface charges. Morphology observations, chemical composition and electrical parameters measurements are used to evaluate the treatment effects. The experimental results show that, before the plasma treatment, the accumulated surface charges in the case of the DC voltage are more than that in the case of the nanosecond-pulse voltage. Moreover, the decay rate of the surface charges for the DC voltage is higher than that for the nanosecond-pulse voltage. However, the decay rate is no more than 41% after 1800 s for both types of voltages. After the plasma treatment, the maximum surface potentials decrease to 57.33% and 32.57% of their values before treatment for the DC and nanosecond-pulse voltages, respectively, indicating a decrease in the accumulated surface charges. The decay rate exceeds 90% for both types of voltages. These changes are mainly attributed to a change in the surface nanostructure, an increase in conductivity, and a decrease in the depth of energy level. (paper)

  19. Surface modification of a natural zeolite by treatment with cold oxygen plasma: Characterization and application in water treatment

    Science.gov (United States)

    De Velasco-Maldonado, Paola S.; Hernández-Montoya, Virginia; Montes-Morán, Miguel A.; Vázquez, Norma Aurea-Rangel; Pérez-Cruz, Ma. Ana

    2018-03-01

    In the present work the possible surface modification of natural zeolite using cold oxygen plasma was studied. The sample with and without treatment was characterized using nitrogen adsorption isotherms at -196 °C, FT-IR spectroscopy, SEM/EDX analysis and X-Ray Diffraction. Additionally, the two samples were used for the removal of lead and acid, basic, reactive and food dyes in batch systems. The natural zeolite was found to be a mesoporous material with a low specific surface area (23 m2/g). X-ray patterns confirmed that clinoptilolite was the main crystal structure present in the natural zeolite. The molecular properties of dyes and the zeolitic structure were studied using molecular simulation, with the purpose to understand the adsorption mechanism. The results pointed out that only the roughness of the clinoptilolite was affected by the plasma treatment, whereas the specific surface area, chemical functionality and crystal structure remained constant. Finally, adsorption results confirmed that the plasma treatment had no significant effects on the dyes and lead retention capacities of the natural zeolite.

  20. The Effect of Plasma Surface Treatment on a Porous Green Ceramic Film with Polymeric Binder Materials

    International Nuclear Information System (INIS)

    Yun Jeong Woo

    2013-01-01

    To reduce time and energy during thermal binder removal in the ceramic process, plasma surface treatment was applied before the lamination process. The adhesion strength in the lamination films was enhanced by oxidative plasma treatment of the porous green ceramic film with polymeric binding materials. The oxygen plasma characteristics were investigated through experimental parameters and weight loss analysis. The experimental results revealed the need for parameter analysis, including gas material, process time, flow rate, and discharge power, and supported a mechanism consisting of competing ablation and deposition processes. The weight loss analysis was conducted for cyclic plasma treatment rather than continuous plasma treatment for the purpose of improving the film's permeability by suppressing deposition of the ablated species. The cyclic plasma treatment improved the permeability compared to the continuous plasma treatment.

  1. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    Science.gov (United States)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  2. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  3. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  4. RF atmospheric plasma jet surface treatment of paper

    Science.gov (United States)

    Pawlat, Joanna; Terebun, Piotr; Kwiatkowski, Michał; Diatczyk, Jaroslaw

    2016-09-01

    A radio frequency RF atmospheric pressure plasma jet was used to enhance the wettability of cellulose-based paper of 90 g m-2 and 160 g m-2 grammage as a perspective platform for antibiotic sensitivity tests. Helium and argon were the carrier gases for oxygen and nitrogen; pure water and rapeseed oil were used for goniometric tests. The influence of the flow rate and gas type, the power of the discharge, and distance from the nozzle was examined. The surface structure was observed using an optical microscope. Attenuated total reflection Fourier transform infrared (ATR-FTIR) spectra were investigated in order to determine whether cellulose degradation processes occurred. The RF plasma jet allowed us to decrease the surface contact angle without drastic changes in other features of the tested material. Experiments confirmed the significant influence of the distance between the treated sample and reactor nozzle, especially for treatment times longer than 15 s due to the greater concentration of reactive species at the surface of the sample, which decreases with distance—and their accumulation effect with time. The increase of discharge power plays an important role in decreasing the surface contact angle for times longer than 10 s. Higher power had a positive effect on the amount of generated active particles and facilitated the ignition of discharge. However, a too high value can cause a rise in temperature of the material and heat-caused damage.

  5. Reduction of a thin chromium oxide film on Inconel surface upon treatment with hydrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka, E-mail: alenka.vesel@guest.arnes.si [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Mozetic, Miran [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Balat-Pichelin, Marianne [PROMES-CNRS Laboratory, 7 Rue du four solaire, 66120 Font Romeu Odeillo (France)

    2016-11-30

    Highlights: • Oxidized Inconel alloy was exposed to hydrogen at temperatures up to 1500 K. • Oxide reduction in hydrogen plasma started at approximately 1300 K. • AES depth profiling revealed complete reduction of oxides in plasma. • Oxides were not reduced, if the sample was heated just in hydrogen atmosphere. • Surface of reduced Inconel preserved the same composition as the bulk material. - Abstract: Inconel samples with a surface oxide film composed of solely chromium oxide with a thickness of approximately 700 nm were exposed to low-pressure hydrogen plasma at elevated temperatures to determine the suitable parameters for reduction of the oxide film. The hydrogen pressure during treatment was set to 60 Pa. Plasma was created by a surfaguide microwave discharge in a quartz glass tube to allow for a high dissociation fraction of hydrogen molecules. Auger electron depth profiling (AES) was used to determine the decay of the oxygen in the surface film and X-ray diffraction (XRD) to measure structural modifications. During hydrogen plasma treatment, the oxidized Inconel samples were heated to elevated temperatures. The reduction of the oxide film started at temperatures of approximately 1300 K (considering the emissivity of 0.85) and the oxide was reduced in about 10 s of treatment as revealed by AES. The XRD showed sharper substrate peaks after the reduction. Samples treated in hydrogen atmosphere under the same conditions have not been reduced up to approximately 1500 K indicating usefulness of plasma treatment.

  6. Influence of aramid fiber moisture regain during atmospheric plasma treatment on aging of treatment effects on surface wettability and bonding strength to epoxy

    International Nuclear Information System (INIS)

    Ren Yu; Wang Chunxia; Qiu Yiping

    2007-01-01

    One of the main differences between a low-pressure plasma treatment and an atmospheric pressure plasma treatment is that in atmosphere, the substrate material may absorb significant amount of water which may potentially influence the plasma treatment effects. This paper investigates how the moisture absorbed by aramid fibers during the atmospheric pressure plasma treatment influences the aging behavior of the modified surfaces. Kevlar 49 fibers with different moisture regains (MR) (0.5, 3.5 and 5.5%, respectively) are treated with atmospheric pressure plasma jet (APPJ) with helium as the carrier gas and oxygen as the treatment gas. Surface wettability and chemical compositions, and interfacial shear strengths (IFSS) to epoxy for the aramid fibers in all groups are determined using water contact angle measurements, X-ray photoelectron spectroscopy (XPS), and micro-bond pull out tests, respectively. Immediately after the plasma treatment, the treated fibers have substantially lower water contact angles, higher surface oxygen and nitrogen contents, and larger IFSS to epoxy than those of the control group. At the end of 30 day aging period, the fibers treated with 5.5% moisture regain had a lower water contact angle and more polar groups on the fiber surface, leading to 75% improvement of IFSS over the control fibers, while those for the 0.5 and 3.5% moisture regain groups were only 30%

  7. Plasma treatment of polyethylene tubes in continuous regime using surface dielectric barrier discharge with water electrodes

    Science.gov (United States)

    Galmiz, Oleksandr; Zemánek, Miroslav; Pavliňák, David; Černák, Mirko

    2018-05-01

    Combining the surface dielectric barrier discharges generated in contact with water based electrolytes, as the discharge electrodes, we have designed a new type of surface electric discharge, generating thin layers of plasma which propagate along the treated polymer surfaces. The technique was aimed to achieve uniform atmospheric pressure plasma treatment of polymeric tubes and other hollow bodies. The results presented in this work show the possibility of such system to treat outer surface of polymer materials in a continuous mode. The technical details of experimental setup are discussed as well as results of treatment of polyethylene tubes are shown.

  8. Adhesive Stretchable Printed Conductive Thin Film Patterns on PDMS Surface with an Atmospheric Plasma Treatment.

    Science.gov (United States)

    Li, Chun-Yi; Liao, Ying-Chih

    2016-05-11

    In this study, a plasma surface modification with printing process was developed to fabricate printed flexible conductor patterns or devices directly on polydimethylsiloxane (PDMS) surface. An atmospheric plasma treatment was first used to oxidize the PDMS surface and create a hydrophilic silica surface layer, which was confirmed with photoelectron spectra. The plasma operating parameters, such as gas types and plasma powers, were optimized to obtain surface silica layers with the longest lifetime. Conductive paste with epoxy resin was screen-printed on the plasma-treated PDMS surface to fabricate flexible conductive tracks. As a result of the strong binding forces between epoxy resin and the silica surface layer, the printed patterns showed great adhesion on PDMS and were undamaged after several stringent adhesion tests. The printed conductive tracks showed strong mechanical stability and exhibited great electric conductivity under bending, twisting, and stretching conditions. Finally, a printed pressure sensor with good sensitivity and a fast response time was fabricated to demonstrate the capability of this method for the realization of printed electronic devices.

  9. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  10. SEM observations of particle track membrane surfaces modificated using plasma treatment

    International Nuclear Information System (INIS)

    Sartowska, B.; Buczkowski, M.; Starosta, W.

    2003-01-01

    This work presents results of scanning electron microscopy (SEM) observations of 0.4 μm membranes after plasma treatment with different parameters. The morphology changes at the surfaces and at the pore walls were observed. The character of changes in the membrane parameters according to the process conditions was determined

  11. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    Science.gov (United States)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  12. Plasma treatments of wool fiber surface for microfluidic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, So-Hyoun; Hwang, Ki-Hwan; Lee, Jin Su [Department of Chemistry, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of); Boo, Jin-Hyo, E-mail: jhboo@skku.edu [Department of Chemistry, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of); Yun, Sang H., E-mail: shy@kth.se [Institute of Basic Science, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of)

    2015-09-15

    Highlights: • We used atmospheric plasma for tuning the wettability of wool fibers. • The wicking rates of the wool fibers increased with increasing treatment time. • The increasing of wettability results in removement of fatty acid on the wool surface. - Abstract: Recent progress in health diagnostics has led to the development of simple and inexpensive systems. Thread-based microfluidic devices allow for portable and inexpensive field-based technologies enabling medical diagnostics, environmental monitoring, and food safety analysis. However, controlling the flow rate of wool thread, which is a very important part of thread-based microfluidic devices, is quite difficult. For this reason, we focused on thread-based microfluidics in the study. We developed a method of changing the wettability of hydrophobic thread, including wool thread. Thus, using natural wool thread as a channel, we demonstrate herein that the manipulation of the liquid flow, such as micro selecting and micro mixing, can be achieved by applying plasma treatment to wool thread. In addition to enabling the flow control of the treated wool channels consisting of all natural substances, this procedure will also be beneficial for biological sensing devices. We found that wools treated with various gases have different flow rates. We used an atmospheric plasma with O{sub 2}, N{sub 2} and Ar gases.

  13. Changing the surface properties on naval steel as result of non-thermal plasma treatment

    Science.gov (United States)

    Hnatiuc, B.; Sabău, A.; Dumitrache, C. L.; Hnatiuc, M.; Crețu, M.; Astanei, D.

    2016-08-01

    The problem of corrosion, related to Biofouling formation, is an issue with very high importance in the maritime domain. According to new rules, the paints and all the technologies for the conditioning of naval materials must fulfil more restrictive environmental conditions. In order to solve this issue, different new clean technologies have been proposed. Among them, the use of non-thermal plasmas produced at atmospheric pressure plays a very important role. This study concerns the opportunity of plasma treatment for preparation or conditioning of naval steel OL36 type. The plasma reactors chosen for the experiments can operate at atmospheric pressure and are easy to use in industrial conditions. They are based on electrical discharges GlidArc and Spark, which already proved their efficiency for the surface activation or even for coatings of the surface. The non-thermal character of the plasma is ensured by a gas flow blown through the electrical discharges. One power supply has been used for reactors that provide a 5 kV voltage and a maximum current of 100 mA. The modifications of the surface properties and composition have been studied by XPS technique (X-ray Photoelectron Spectroscopy). There were taken into consideration 5 samples: 4 of them undergoing a Mini-torch plasma, a Gliding Spark, a GlidArc with dry air and a GlidArc with CO2, respectively the fifth sample which is the untreated witness. Before the plasma treatment, samples of naval steel were processed in order to obtain mechanical gloss. The time of treatment was chosen to 12 minutes. In the spectroscopic analysis, done on a ULVAC-PHI, Inc. PHI 5000 Versa Probe scanning XPS microprobe, a monocromated Al Kα X-ray source with a spot size of 100 μm2 was used to scan each sample while the photoelectrons were collected at a 45-degree take-off angle. Differences were found between atomic concentrations in each individual case, which proves that the active species produced by each type of plasma affects

  14. Plasma treatment of polymers for improved adhesion

    International Nuclear Information System (INIS)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer sufaces for improved adhesion are reviewed: noble and reactive has treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changer are discussed, as are the mechanisms of adhersion to polymeric adhesives, particularly epoxy. Noble has plasma eching of fluoropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhsion to epoxy. Reactive has plasma also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble and reactive gas (except for hydrogen) etching of polyolefins results in surface oxidation and imrprove adhesion via hydrogen bonding of these exygen containing groups across the interface. The introduction of amine groups to a polymer surface by ammonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical group surrounding the amine

  15. Effects O2 plasma surface treatment on the electrical properties of the ITO substrate

    International Nuclear Information System (INIS)

    Hong, Jin-Woong; Oh, Dong-Hoon; Shim, Sang-Min; Lee, Young-Sang; Kang, Yong-Gil; Shin, Jong-Yeol

    2012-01-01

    The indium-tin-oxide (ITO) substrate is used as a transparent electrode in organic light-emitting diodes (OLEDs) and organic photovoltaic cells. The effect of an O 2 plasma surface treatment on the electrical properties of the ITO substrate was examined. The four-point probe method, an atomic force microscope (AFM), a LCR meter, a Cole-Cole plot, and a conductive mechanism analysis were used to assess the properties of the treated ITO substrates. The four-point probe method and the AFM study revealed a lower ITO surface resistance of 17.6 Ω/sq and an average roughness of 2 nm, respectively, for a substrate treated by a plasma at 250 W for 40 s. The lower surface resistance of the ITO substrate treated at 250 W for 40 s was confirmed by using a LCR meter. An amorphous fluoropolymer (AF) was deposited on an ITO substrate treated under the optimal conditions and on a non-plasma treated ITO substrate as well. The potential barriers for charge injection in these devices were 0.25 eV and 0.15 eV, respectively, indicating a 0.1-eV decrease due to the plasma treatment.

  16. Impact of plasma treatment under atmospheric pressure on surface chemistry and surface morphology of extruded and injection-molded wood-polymer composites (WPC)

    Science.gov (United States)

    Hünnekens, Benedikt; Avramidis, Georg; Ohms, Gisela; Krause, Andreas; Viöl, Wolfgang; Militz, Holger

    2018-05-01

    The influence of plasma treatment performed at atmospheric pressure and ambient air as process gas by a dielectric barrier discharge (DBD) on the morphological and chemical surface characteristics of wood-polymer composites (WPC) was investigated by applying several surface-sensitive analytical methods. The surface free energy showed a distinct increase after plasma treatment for all tested materials. The analyzing methods for surface topography-laser scanning microscopy (LSM) and atomic force microscopy (AFM)-revealed a roughening induced by the treatment which is likely due to a degradation of the polymeric surface. This was accompanied by the formation of low-molecular-weight oxidized materials (LMWOMs), appearing as small globular structures. With increasing discharge time, the nodules increase in size and the material degradation proceeds. The surface degradation seems to be more serious for injection-molded samples, whereas the formation of nodules became more apparent and were evenly distributed on extruded surfaces. These phenomena could also be confirmed by scanning electron microscopy (SEM). In addition, differences between extruded and injection-molded surfaces could be observed. Besides the morphological changes, the chemical composition of the substrates' surfaces was affected by the plasma discharge. Infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS) indicated the formation of new oxygen containing polar groups on the modified surfaces.

  17. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  18. Effect of hydrogen plasma treatment on the surface morphology, microstructure and electronic transport properties of nc-Si:H

    International Nuclear Information System (INIS)

    Dutta, P.; Paul, S.; Galipeau, D.; Bommisetty, V.

    2010-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films, deposited by reactive radio-frequency sputtering with 33% hydrogen dilution in argon at 200 o C, were treated with low-power hydrogen plasma at room temperature at various power densities (0.1-0.5 W/cm 2 ) and durations (10 s-10 min). Plasma treatment reduced the surface root mean square roughness and increased the average grain size. This was attributed to the mass transport of Si atoms on the surface by surface and grain boundary diffusion. Plasma treatment under low power density (0.1 W/cm 2 ) for short duration (10 s) caused a significant enhancement of crystalline volume fraction and electrical conductivity, compared to as-deposited film. While higher power (0.5 W/cm 2 ) hydrogen plasma treatment for longer durations (up to 10 min) caused moderate improvement in crystalline fraction and electrical properties; however, the magnitude of improvement is not significant compared to low-power (0.1 W/cm 2 )/short-duration (10 s) plasma exposure. The results indicate that low-power hydrogen plasma treatment at room temperature can be an effective tool to improve the structural and electrical properties of nc-Si:H.

  19. Reversible switching of wetting properties and erasable patterning of polymer surfaces using plasma oxidation and thermal treatment

    Science.gov (United States)

    Rashid, Zeeshan; Atay, Ipek; Soydan, Seren; Yagci, M. Baris; Jonáš, Alexandr; Yilgor, Emel; Kiraz, Alper; Yilgor, Iskender

    2018-05-01

    Polymer surfaces reversibly switchable from superhydrophobic to superhydrophilic by exposure to oxygen plasma and subsequent thermal treatment are demonstrated. Two inherently different polymers, hydrophobic segmented polydimethylsiloxane-urea copolymer (TPSC) and hydrophilic poly(methyl methacrylate) (PMMA) are modified with fumed silica nanoparticles to prepare superhydrophobic surfaces with roughness on nanometer to micrometer scale. Smooth TPSC and PMMA surfaces are also used as control samples. Regardless of their chemical structure and surface topography, all surfaces display completely reversible wetting behavior changing from hydrophobic to hydrophilic and back for many cycles upon plasma oxidation followed by thermal annealing. Influence of plasma power, plasma exposure time, annealing temperature and annealing time on the wetting behavior of polymeric surfaces are investigated. Surface compositions, textures and topographies are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and white light interferometry (WLI), before and after oxidation and thermal annealing. Wetting properties of the surfaces are determined by measuring their static, advancing and receding water contact angle. We conclude that the chemical structure and surface topography of the polymers play a relatively minor role in reversible wetting behavior, where the essential factors are surface oxidation and migration of polymer molecules to the surface upon thermal annealing. Reconfigurable water channels on polymer surfaces are produced by plasma treatment using a mask and thermal annealing cycles. Such patterned reconfigurable hydrophilic regions can find use in surface microfluidics and optofluidics applications.

  20. Surface Modification of Electrospun PVDF/PAN Nanofibrous Layers by Low Vacuum Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Fatma Yalcinkaya

    2016-01-01

    Full Text Available Nanofibres are very promising for water remediation due to their high porosity and small pore size. Mechanical properties of nanofibres restrict the application of pressure needed water treatments. Various PAN, PVDF, and PVDF/PAN nanofibre layers were produced, and mechanical properties were improved via a lamination process. Low vacuum plasma treatment was applied for the surface modification of nanofibres. Atmospheric air was used to improve hydrophilicity while sulphur hexafluoride gas was used to improve hydrophobicity of membranes. Hydrophilic membranes showed higher affinity to attach plasma particles compared to hydrophobic membranes.

  1. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  2. Antimicrobial and cold plasma treatments for inactivation of listeria monocytogenes on whole apple surface

    Science.gov (United States)

    Introduction: Produce and bacterial cell surface structure play an important role as to where and how bacteria attach to produce surfaces. The efficacy of a novel antimicrobial solution developed in our laboratory was investigated in combination with cold plasma treatments for inactivation of Liste...

  3. Molecular-level removal of proteinaceous contamination from model surfaces and biomedical device materials by air plasma treatment.

    Science.gov (United States)

    Banerjee, K K; Kumar, S; Bremmell, K E; Griesser, H J

    2010-11-01

    Established methods for cleaning and sterilising biomedical devices may achieve removal of bioburden only at the macroscopic level while leaving behind molecular levels of contamination (mainly proteinaceous). This is of particular concern if the residue might contain prions. We investigated at the molecular level the removal of model and real-life proteinaceous contamination from model and practical surfaces by air plasma (ionised air) treatment. The surface-sensitive technique of X-ray photoelectron spectroscopy (XPS) was used to assess the removal of proteinaceous contamination, with the nitrogen (N1s) photoelectron signal as its marker. Model proteinaceous contamination (bovine serum albumin) adsorbed on to a model surface (silicon wafer) and the residual proteinaceous contamination resulting from incubating surgical stainless steel (a practical biomaterial) in whole human blood exhibited strong N1s signals [16.8 and 18.5 atomic percent (at.%), respectively] after thorough washing. After 5min air plasma treatment, XPS detected no nitrogen on the sample surfaces, indicating complete removal of proteinaceous contamination, down to the estimated XPS detection limit 10ng/cm(2). Applying the same plasma treatment, the 7.7at.% nitrogen observed on a clinically cleaned dental bur was reduced to a level reflective of new, as-received burs. Contact angle measurements and atomic force microscopy also indicated complete molecular-level removal of the proteinaceous contamination upon air plasma treatment. This study demonstrates the effectiveness of air plasma treatment for removing proteinaceous contamination from both model and practical surfaces and offers a method for ensuring that no molecular residual contamination such as prions is transferred upon re-use of surgical and dental instruments. Crown Copyright © 2010. Published by Elsevier Ltd. All rights reserved.

  4. Improvement of Surface Wettability and Hydrophilization of Poly-paraphenylene benzobisoxazole Fiber with Fibrillation Combined Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Xiwen Wang

    2012-01-01

    Full Text Available A new surface modification method fibrillation combined with oxygen plasma treatment to improve the wettability and hydrophily of PBO fiber was studied in this paper. The surface chemical structure and morphology of PBO fiber were characterized by the methods of FTIR, XPS and SEM. The wettability and hydrophlic characters changes on the surface were evaluated by the dynamic contact angle system and image analysis. The results show that the increase surface roughness by fibrillation could improve the wettability. Fibrillation combined oxygen plasma treatment has a better effect than oxygen plasma treatment to improve the wettability and hdyrophlization of PBO fiber. The specific area of PBO fiber increased to 10.7 m2/g from 0.7 m2/g, contact angle decreased to 43.2° from 84.4° and WRV increased to 208.4% from 13.7%. The modified fibers have a good dispersion in water for hydrophilization improvement.

  5. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun; Kim, Gyu-Tae, E-mail: gtkim@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Joo, Min-Kyu [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); IMEP-LAHC, Grenoble INP, Minatec, CS 50257, 38016 Grenoble (France); Ahn, Seung-Eon [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Samsung Advanced Institute of Technology, Samsung Electronics Corporations, Yongin, Gyeonggi-Do 446-712 (Korea, Republic of); Choi, Yong-Hee [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Semiconductor R and D Center, Samsung Electronics, Hwasung, Gyeonggi-do 445-701 (Korea, Republic of)

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring traps on the surface and bulk channel are presented.

  6. Plasma treatment induces internal surface modifications of electrospun poly(L-lactic) acid scaffold to enhance protein coating

    International Nuclear Information System (INIS)

    Jin Seo, Hyok; Hee Lee, Mi; Kwon, Byeong-Ju; Kim, Hye-Lee; Park, Jong-Chul; Jin Lee, Seung; Kim, Bong-Jin; Wang, Kang-Kyun; Kim, Yong-Rok

    2013-01-01

    Advanced biomaterials should also be bioactive with regard to desirable cellular responses, such as selective protein adsorption and cell attachment, proliferation, and differentiation. To enhance cell-material interactions, surface modifications have commonly been performed. Among the various surface modification approaches, atmospheric pressure glow discharge plasma has been used to change a hydrophobic polymer surface to a hydrophilic surface. Poly(L-lactic acid) (PLLA)-derived scaffolds lack cell recognition signals and the hydrophobic nature of PLLA hinders cell seeding. To make PLLA surfaces more conducive to cell attachment and spreading, surface modifications may be used to create cell-biomaterial interfaces that elicit controlled cell adhesion and maintain differentiated phenotypes. In this study, (He) gaseous atmospheric plasma glow discharge was used to change the characteristics of a 3D-type polymeric scaffold from hydrophobic to hydrophilic on both the outer and inner surfaces of the scaffold and the penetration efficiency with fibronectin was investigated. Field-emission scanning electron microscope images showed that some grooves were formed on the PLLA fibers after plasma treatment. X-ray photoelectron spectroscopy data also showed chemical changes in the PLLA structure. After plasma treatment, -CN (285.76 eV) was increased in C1s and -NH 2 (399.70 eV) was increased significantly and –N=CH (400.80 eV) and –NH 3 + (402.05 eV) were newly appeared in N1s. These changes allowed fibronectin to penetrate into the PLLA scaffold; this could be observed by confocal microscopy. In conclusion, helium atmospheric pressure plasma treatment was effective in modifying the polymeric scaffold, making it hydrophilic, and this treatment can also be used in tissue engineering research as needed to make polymers hydrophilic

  7. Production of atmospheric pressure microwave plasma with dielectric half-mirror resonator and its application to polymer surface treatment

    Science.gov (United States)

    Sasai, Kensuke; Keyamura, Kazuki; Suzuki, Haruka; Toyoda, Hirotaka

    2018-06-01

    For the surface treatment of a polymer tube, a ring-shaped atmospheric pressure microwave plasma (APMP) using a coaxial waveguide is studied. In this APMP, a dielectric plate is used not only as a partial mirror for cavity resonation but also for the precise alignment of the discharge gap for ring-shaped plasma production. The optimum position of the dielectric plate is investigated by electromagnetic wave simulation. On the basis of simulation results, a ring-shaped plasma with good uniformity along the ring is produced. The coaxial APMP is applied to the surface treatment of ethylene tetrafluoroethylene. A very fast surface modification within 3 s is observed.

  8. Modification of surface properties of LLDPE by water plasma discharge

    International Nuclear Information System (INIS)

    Chantara Thevy Ratnam; Hill, D.J.T.; Firas Rasoul; Whittaker, A.K.; Imelda Keen

    2007-01-01

    Linear low density polyethylene (LLDPE) surface was modified by water plasma treatment. The LLDPE surface was treated at 10 and 20 W discharge power at various exposure times. A laboratory scale Megatherm radio frequency (RF) plasma apparatus that operates at 27 MHz was used to generate the water plasmas. The changes in chemical structure of the LLDPE polymeric chain upon plasma treatment were characterized by FTIR and XPS techniques. The selectivity of trifluoroacetic anhydride (TFAA) toward hydroxyl groups is used to quantify the hydroxyl groups formed on the polymer surface upon plasma treatment. After exposition to the plasma discharge a decline in water contact angle were observed. FTIR and XPS measurements indicate an oxidation of degraded polymeric chains and creation of hydroxyl, carbonyl, ether, ester and carboxyl groups. Chemical derivatization with TFAA of water plasma treated polymer surfaces has shown that under the conditions employed, a very small (less than 5%) of the oxygen introduced by the water plasma treatment was present as hydroxyl group. (Author)

  9. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane.

    Science.gov (United States)

    Tupinambá, Rogerio Amaral; Claro, Cristiane Aparecida de Assis; Pereira, Cristiane Aparecida; Nobrega, Celestino José Prudente; Claro, Ana Paula Rosifini Alves

    2017-01-01

    Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Hexamethyldisiloxane (HMDSO) polymer films were deposited on conventional (n = 10) and self-ligating (n = 10) stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD) radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM) analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region) and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI) and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Significant statistical differences (pbrackets after surface treatment and between conventional and self-ligating brackets; no significant statistical differences were observed between self-ligating groups (p> 0.05). Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film.

  10. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    Science.gov (United States)

    da Maia, J. V.; Pereira, F. P.; Dutra, J. C. N.; Mello, S. A. C.; Becerra, E. A. O.; Massi, M.; Sobrinho, A. S. da Silva

    2013-11-01

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (CO, COC and CO) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  11. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    International Nuclear Information System (INIS)

    Maia, J.V. da; Pereira, F.P.; Dutra, J.C.N.; Mello, S.A.C.; Becerra, E.A.O.; Massi, M.; Sobrinho, A.S. da Silva

    2013-01-01

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (C-O, C-O-C and C=O) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  12. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Maia, J.V. da, E-mail: jaisondamaia@hotmail.com [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil); Department of Physics, Federal Institute of Santa Catarina, 89251-000 Jaraguá do Sul, SC (Brazil); Pereira, F.P. [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil); Dutra, J.C.N.; Mello, S.A.C. [EBO, Chemistry Division, IAE, CTA, 12228-900 S. J. dos Campos, SP (Brazil); Becerra, E.A.O. [Department of Physics, Pontifical Catholic University of Rio de Janeiro, Rio de Janeiro, RJ (Brazil); Massi, M.; Sobrinho, A.S. da Silva [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil)

    2013-11-15

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (C-O, C-O-C and C=O) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  13. Surface plasma functionalization influences macrophage behavior on carbon nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Ion, Raluca [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Vizireanu, Sorin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Stancu, Claudia Elena [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Leibniz Institute for Plasma Science and Technology (INP Greifswald), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Luculescu, Catalin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Cimpean, Anisoara, E-mail: anisoara.cimpean@bio.unibuc.ro [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Dinescu, Gheorghe [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania)

    2015-03-01

    The surfaces of carbon nanowall samples as scaffolds for tissue engineering applications were treated with oxygen or nitrogen plasma to improve their wettability and to functionalize their surfaces with different functional groups. X-ray photoelectron spectroscopy and water contact angle results illustrated the effective conversion of the carbon nanowall surfaces from hydrophobic to hydrophilic and the incorporation of various amounts of carbon, oxygen and nitrogen functional groups during the treatments. The early inflammatory responses elicited by un-treated and modified carbon nanowall surfaces were investigated by quantifying tumor necrosis factor-alpha and macrophage inflammatory protein-1 alpha released by attached RAW 264.7 macrophage cells. Scanning electron microscopy and fluorescence studies were employed to investigate the changes in macrophage morphology and adhesive properties, while MTT assay was used to quantify cell proliferation. All samples sustained macrophage adhesion and growth. In addition, nitrogen plasma treatment was more beneficial for cell adhesion in comparison with un-modified carbon nanowall surfaces. Instead, oxygen plasma functionalization led to increased macrophage adhesion and spreading suggesting a more activated phenotype, confirmed by elevated cytokine release. Thus, our findings showed that the chemical surface alterations which occur as a result of plasma treatment, independent of surface wettability, affect macrophage response in vitro. - Highlights: • N{sub 2} and O{sub 2} plasma treatments alter the CNW surface chemistry and wettability. • Cells seeded on CNW scaffolds are viable and metabolically active. • Surface functional groups, independent of surface wettability, affect cell response. • O{sub 2} plasma treatment of CNW leads to a more activated macrophage phenotype.

  14. Cell treatment and surface functionalization using a miniature atmospheric pressure glow discharge plasma torch

    International Nuclear Information System (INIS)

    Yonson, S; Coulombe, S; Leveille, V; Leask, R L

    2006-01-01

    A miniature atmospheric pressure glow discharge plasma torch was used to detach cells from a polystyrene Petri dish. The detached cells were successfully transplanted to a second dish and a proliferation assay showed the transplanted cells continued to grow. Propidium iodide diffused into the cells, suggesting that the cell membrane had been permeabilized, yet the cells remained viable 24 h after treatment. In separate experiments, hydrophobic, bacteriological grade polystyrene Petri dishes were functionalized. The plasma treatment reduced the contact angle from 93 0 to 35 0 , and promoted cell adhesion. Two different torch nozzles, 500 μm and 150 μm in internal diameter, were used in the surface functionalization experiments. The width of the tracks functionalized by the torch, as visualized by cell adhesion, was approximately twice the inside diameter of the nozzle. These results indicate that the miniature plasma torch could be used in biological micropatterning, as it does not use chemicals like the present photolithographic techniques. Due to its small size and manouvrability, the torch also has the ability to pattern complex 3D surfaces

  15. Plasma Rich in Growth Factors for the Treatment of Ocular Surface Diseases.

    Science.gov (United States)

    Anitua, Eduardo; Muruzabal, Francisco; de la Fuente, María; Merayo, Jesús; Durán, Juan; Orive, Gorka

    2016-07-01

    The purpose of this work is to describe and review the technology of plasma rich in growth factors (PRGF), a novel blood derivative product, in the treatment of ocular surface disorders. To demonstrate the importance of this technology in the treatment of ocular pathologies, a thorough review of the preclinical and clinical literature results obtained following use of the different therapeutic formulations of PRGF was carried out. A literature search for applications of PGRF plasma in the ophthalmology field was carried out using the PubMed database. PRGF involves the use of patient's own biologically active proteins, growth factors, and biomaterial scaffolds for therapeutic purposes. This procedural technology is gaining interest in regenerative medicine due to its potential to stimulate and accelerate the tissue healing processes. The versatility and biocompatibility of this technology opens the door to a personalized medicine on ocular tissue regeneration. This review discusses the state of the art of the new treatments and technologies developed to promote ocular surface tissue regeneration. The standardized protocol that has been developed to source eye drops from PRGF technology is also described. The preclinical research, together with the most relevant clinical applications are summarized and discussed. The preliminary results suggest that the use of PRGF to enhance ocular tissue regeneration is safe and efficient.

  16. Modification of polycarbonate surface in oxidizing plasma

    Science.gov (United States)

    Ovtsyn, A. A.; Smirnov, S. A.; Shikova, T. G.; Kholodkov, I. V.

    2017-11-01

    The properties of the surface of the film polycarbonate Lexan 8010 were experimentally studied after treatment in a DC discharge plasma in oxygen and air at pressures of 50-300 Pa and a discharge current of 80 mA. The contact angles of wetting and surface energies are measured. The topography of the surface was investigated by atomic force microscopy. The chemical composition of the surface was determined from the FT-IR spectroscopy data in the variant of total internal reflection, as well as X-ray photoelectron spectroscopy. Treatment in the oxidizing plasma leads to a change in morphology (average roughness increases), an increase in the surface energy, and the concentration of oxygen-containing groups (hydroxyl groups, carbonyl groups in ketones or aldehydes and in oxyketones) on the surface of the polymer. Possible reasons for the difference in surface properties of polymer under the action of oxygen and air plasma on it are discussed.

  17. Surface modification of biphasic calcium phosphate scaffolds by non-thermal atmospheric pressure nitrogen and air plasma treatment for improving osteoblast attachment and proliferation

    International Nuclear Information System (INIS)

    Choi, Yu-Ri; Kwon, Jae-Sung; Song, Doo-Hoon; Choi, Eun Ha; Lee, Yong-Keun; Kim, Kyoung-Nam; Kim, Kwang-Mahn

    2013-01-01

    Surface modifications induced by non-thermal plasma have been used extensively in biomedical applications. The attachment and proliferation of osteoblast cells are important in bone tissue engineering using scaffolds. Hence the effect of non-thermal plasma on hydroxyapatite/β-tri-calcium phosphate (HA/β-TCP) scaffolds in terms of improving osteoblast attachment and proliferation was investigated. Experimental groups were treated with non-thermal plasma for 10 min and 20 min and a control group was not treated with non-thermal plasma. For surface chemistry analysis, X-ray photoelectron spectroscopy (XPS) analysis was carried out. The hydrophilicity was determined from contact angle measurement on the surface. Atomic force microscopy analysis (AFM) was used to test the change in surface roughness and cell attachment and proliferation were evaluated using MC3T3-E1 osteoblast cells. XPS spectra revealed a decreased amount of carbon on the surface of the plasma-treated sample. The contact angle was also decreased following plasma treatment, indicating improved hydrophilicity of plasma-treated surfaces compared to the untreated disc. A significant increase in MC3T3E-1 cell attachment and proliferation was noted on plasma-treated samples as compared to untreated specimens. The results suggest that non-thermal atmospheric pressure nitrogen and air plasma treatments provide beneficial surface characteristics on HA/β-TCP scaffolds. - Highlights: ► Non-thermal plasma increased OH- and decreased C on biphasic scaffold. ► Non-thermal plasma had no effect on surface roughness. ► Non-thermal plasma resulted in hydrophilic surface. ► Non-thermal plasma resulted in better cell attachment and proliferation. ► Non-thermal plasma treatment on biphasic scaffold is useful for tissue engineering

  18. Surface treatment in a cathodic arc plasma. Key step for interface engineering

    International Nuclear Information System (INIS)

    Schoenjahn, C.

    2001-02-01

    The effect of substrate surface treatment (substrate sputter cleaning) in a cathodic arc plasma prior to unbalanced magnetron deposition of transition metal nitride coatings on the performance of the coated components has been investigated. In particular the influence of parameters such as ion species, ion energy and exposure time on the changes in substrate surface topography, microstructure and microchemistry were studied employing transmission electron microscopy, energy dispersive X-ray analysis, electron energy loss spectroscopy, X-ray diffraction, atomic force microscopy and optical microscopy. The consequences for both the microstructure of subsequently grown transition metal nitride coatings and their adhesion were elucidated. The relevance for practical applications was demonstrated using the example of dry high-speed milling tests, which showed that an appropriate choice of substrate surface pre-treatment parameters can double the life time of the coated tools. This was found to be due to an improved adhesion as a result of a combination of reduced oxygen incorporation at the interface between coating and substrate and local epitaxial growth of the coating. The latter is promoted by certain substrate surface pre-treatment procedures, which provide clean surfaces with preserved crystallographic order. (author)

  19. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  20. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  1. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  2. Surface characterization of polyethylene terephthalate films treated by ammonia low-temperature plasma

    International Nuclear Information System (INIS)

    Zheng Zhiwen; Ren Li; Feng Wenjiang; Zhai Zhichen; Wang Yingjun

    2012-01-01

    In order to study the surface characterization and protein adhesion behavior of polyethylene terephthalate film, low temperature ammonia plasma was used to modify the film. Effects of plasma conditions of the surface structures and properties were investigated. Results indicated that surface hydrophilicity of polyethylene terephthalate was significantly improved by ammonia plasma treatment. Ammonia plasma played the role more important than air treatment in the process of modification. Furthermore, by Fourier Transform Infrared spectra some new bonds such as -N=O and N-H which could result in the improvement of the surface hydrophilicity were successfully grafted on the film surface. Atom force microscope experiments indicated that more protein adsorbed on hydrophobic surfaces than hydrophilic ones, and the blobs arranged in a straight line at etching surface by plasma. Modified membrane after ammonia plasma treatment had a good cell affinity and could be effective in promoting the adhesion and growth of cells on the material surface. Timeliness experiments showed that the plasma treatment gave the material a certain performance only in a short period of time and the hydrophobicity recovered after 12 days.

  3. Low-temperature plasma techniques in surface modification of biomaterials

    International Nuclear Information System (INIS)

    Feng Xiangfen; Xie Hankun; Zhang Jing

    2002-01-01

    Since synthetic polymers usually can not meet the biocompatibility and bio-functional demands of the human body, surface treatment is a prerequisite for them to be used as biomaterials. A very effective surface modification method, plasma treatment, is introduced. By immobilizing the bio-active molecules with low temperature plasma, polymer surfaces can be modified to fully satisfy the requirements of biomaterials

  4. Fibroblastic response and surface characterization of O2-plasma-treated thermoplastic polyetherurethane

    International Nuclear Information System (INIS)

    Schlicht, Henning; Wintermantel, Erich; Haugen, Haavard J; Sabetrasekh, Roya

    2010-01-01

    Injection-molded samples of thermoplastic polyetherurethane (TPU) were treated with low-temperature oxygen plasma for different processing times in order to enhance cellular attachment for a gastric implant. Its effects were investigated by contact angle measurement, surface topography, cytotoxicity and cell colonization tests. No significant changes were found in the surface roughness of plasma treatment with plasma treatment time of less than 5 min. Longer treatment showed significantly higher surface roughness. It seems that there was a link between the changes in contact angle and enhanced cell growth on the treated surface, although only for the range up to plasma treatment times of 3 min. Prolonged treatment times did not cause any major changes in the water contact angle, but strongly improved the number of growing cells on the surface. Plasma treatment for 3-7 min led to a twofold increase in the number of cells compared to untreated samples and did not significantly alter the WST-1 nor worsened the lactate dehydrogenase activity compared to the control. Thus, it appears that O 2 plasma treatment is a suitable surface modification method for a gastric implant made of TPU in order to improve surface cell attachment where 3-7 min is the recommended treatment time.

  5. Ambient plasma treatment of silicon wafers for surface passivation recovery

    Science.gov (United States)

    Ge, Jia; Prinz, Markus; Markert, Thomas; Aberle, Armin G.; Mueller, Thomas

    2017-08-01

    In this work, the effect of an ambient plasma treatment powered by compressed dry air on the passivation quality of silicon wafers coated with intrinsic amorphous silicon sub-oxide is investigated. While long-time storage deteriorates the effective lifetime of all samples, a short ambient plasma treatment improves their passivation qualities. By studying the influence of the plasma treatment parameters on the passivation layers, an optimized process condition was identified which even boosted the passivation quality beyond its original value obtained immediately after deposition. On the other hand, the absence of stringent requirement on gas precursors, vacuum condition and longtime processing makes the ambient plasma treatment an excellent candidate to replace conventional thermal annealing in industrial heterojunction solar cell production.

  6. Investigation of the surface chemical and electronic states of pyridine-capped CdSe nanocrystal films after plasma treatments using H2, O2, and Ar gases

    International Nuclear Information System (INIS)

    Wang, Seok-Joo; Kim, Hyuncheol; Park, Hyung-Ho; Lee, Young-Su; Jeon, Hyeongtag; Chang, Ho Jung

    2010-01-01

    Surface chemical bonding and the electronic states of pyridine-capped CdSe nanocrystal films were evaluated using x-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy before and after plasma treatments using H 2 , O 2 , and Ar gases from the viewpoint of studying the effects of surface capping organic molecules and surface oxidation. Surface capping organic molecules could be removed during the plasma treatment due to the chemical reactivity, ion energy transfer, and vacuum UV (VUV) of the plasma gases. With O 2 plasma treatment, surface capping organic molecules were effectively removed but substantial oxidation of CdSe occurred during the plasma treatment. The valence band maximum energy (E VBM ) of CdSe nanocrystal films mainly depends on the apparent size of pyridine-capped CdSe nanocrystals, which controls the interparticle distance, and also on the oxidation of CdSe nanocrystals. Cd-rich surface in O 2 and H 2 plasma treatments partially would compensate for the decrease in E VBM . After Ar plasma treatment, the smallest value of E VBM resulted from high VUV photon flux, short wavelength, and ion energy transfer. The surface bonding states of CdSe had a strong influence on the electronic structure with the efficient strip of capping molecules as well as different surface oxidations and surface capping molecule contents.

  7. Influences of the cold atmospheric plasma jet treatment on the properties of the demineralized dentin surfaces

    Science.gov (United States)

    Xiaoming, ZHU; Heng, GUO; Jianfeng, ZHOU; Xiaofei, ZHANG; Jian, CHEN; Jing, LI; Heping, LI; Jianguo, TAN

    2018-04-01

    Improvement of the bonding strength and durability between the dentin surface and the composite resin is a challenging job in dentistry. In this paper, a radio-frequency atmospheric-pressure glow discharge (RF-APGD) plasma jet is employed for the treatment of the acid-etched dentin surfaces used for the composite restoration. The properties of the plasma treated dentin surfaces and the resin-dentin interfaces are analyzed using the x-ray photoemission spectroscopy, contact angle goniometer, scanning electron microscope and microtensile tester. The experimental results show that, due to the abundant chemically reactive species existing in the RF-APGD plasma jet under a stable and low energy input operating mode, the contact angle of the plasma-treated dentin surfaces decreases to a stable level with the increase of the atomic percentage of oxygen in the specimens; the formation of the long resin tags in the scattered clusters and the hybrid layers at the resin-dentin interfaces significantly improve the bonding strength and durability. These results indicate that the RF-APGD plasma jet is an effective tool for modifying the chemical properties of the dentin surfaces, and for improving the immediate bonding strength and the durability of the resin-dentin bonding in dentistry.

  8. Effect of nonthermal plasma treatment on surface chemistry of commercially-pure titanium and shear bond strength to autopolymerizing acrylic resin

    Energy Technology Data Exchange (ETDEWEB)

    Vechiato-Filho, Aljomar José, E-mail: aljomarvechiatoflo@gmail.com [Department of Dental Materials and Prosthodontics, Aracatuba Dental School, Univ. Estadual Paulista — UNESP, Aracatuba, Sao Paulo (Brazil); Silva Vieira Marques, Isabella da [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Piracicaba, Sao Paulo (Brazil); Santos, Daniela Micheline dos [Department of Dental Materials and Prosthodontics, Aracatuba Dental School, Univ. Estadual Paulista — UNESP, Aracatuba, Sao Paulo (Brazil); Oliveira Matos, Adaias [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Piracicaba, Sao Paulo (Brazil); Rangel, Elidiane Cipriano; Cruz, Nilson Cristino da [Laboratory of Technological Plasmas (LaPTec), Engineering College, Univ. Estadual Paulista — UNESP, Sorocaba, Sao Paulo (Brazil); Barão, Valentim Adelino Ricardo [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Piracicaba, Sao Paulo (Brazil)

    2016-03-01

    The effect of nonthermal plasma on the surface characteristics of commercially pure titanium (cp-Ti), and on the shear bond strength between an autopolymerizing acrylic resin and cp-Ti was investigated. A total of 96 discs of cp-Ti were distributed into four groups (n = 24): Po (no surface treatment), SB (sandblasting), Po + NTP and SB + NTP (methane plasma). Surface characterization was performed through surface energy, surface roughness, scanning microscopy, energy dispersive spectroscopy, and X-ray diffraction tests. Shear bond strength test was conducted immediately and after thermocycling. Surface treatment affected the surface energy and roughness of cp-Ti discs (P < .001). SEM–EDS showed the presence of the carbide thin film. XRD spectra revealed no crystalline phase changes. The SB + NTP group showed the highest bond strength values (6.76 ± 0.70 MPa). Thermocycling reduced the bond strength of the acrylic resin/cp-Ti interface (P < .05), except for Po group. NTP is an effective treatment option for improving the shear bond strength between both materials. - Highlights: • We tested the bond strength between two widely used materials in dentistry (acrylic and titanium). • We performed an innovative surface treatment with nonthermal plasma. • Increasing adhesion will avoid complications of full-arch implant-retained prostheses.

  9. UV and plasma treatment of thin silver layers and glass surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hluschi, J.H. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Helmke, A. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Roth, P. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Boewer, R. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Herlitze, L. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Vioel, W. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany)]. E-mail: vioel@hawk-hhg.de

    2006-11-10

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of {lambda}=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers.

  10. UV and plasma treatment of thin silver layers and glass surfaces

    International Nuclear Information System (INIS)

    Hluschi, J.H.; Helmke, A.; Roth, P.; Boewer, R.; Herlitze, L.; Vioel, W.

    2006-01-01

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of λ=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers

  11. Cathode material and pulsed plasma treatment influence on the microstructure and microhardness of high-chromium cast iron surface

    Directory of Open Access Journals (Sweden)

    Юлія Геннадіївна Чабак

    2016-11-01

    Full Text Available The article presents an analysis of the cathode material and the pulse plasma treatment mode influence on the surface microstructure and microhardness of high chrome (15% Cr cast iron. The methods of metallographic analysis and microhardness measurements were used. It has been shown that pulsed plasma treatment at 4 kV voltage with the use of the electro-axial thermal accelerator results in surface modification with high microhardness 950-1050 HV50, and in the formation of the coating due to the transfer of the electrodes material. The specific features of using different cathode materials have been systematized. It has been found that graphite electrodes are not recommended to be used due to their low strength and fracture under plasma pulses. In case of using tungsten cathode a coating of small thickness (20-30 microns and having cracks has been formed on the specimen surface. The most expedient is to apply the electrodes with low melting point (such as killed St.3, which provides a high-quality state of treated surface and formation the protective crack-free coating of 80-100 microns thick. It has been found that as a result of the plasma pulsed treatment the enrichment of coating with carbon is likely to occur that results in microhardness increase. The prospects of this technology as well as its shortcomings have been described

  12. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  13. Surface modification of Ti-_6Al-_4V titanium alloy by combined ion-plasma treatment

    International Nuclear Information System (INIS)

    Cherenda, N.N.; Shimanskij, V.I.; Laskovnev, A.P.; Basalaj, A.V.; Astashinskij, V.M.; Kuz'mitskij, A.M.

    2015-01-01

    Investigation results of phase and elemental composition, microhardness and friction coefficient of Ti-_6Al-_4V alloy samples precoated by titanium subjected to compression plasma flows treatment have been presented in this work. It has been established that the combined effect of ion-plasma flows diminishes aluminum and vanadium concentration in the surface layer, leads to the growth of its microhardness and decrease of the friction coefficient. (authors)

  14. Surface modification of thermoplastic poly(vinyl alcohol)/saponite nanocomposites via surface-initiated atom transfer radical polymerization enhanced by air dielectric discharges barrier plasma treatment

    International Nuclear Information System (INIS)

    Zhen Weijun; Lu Canhui

    2012-01-01

    To improve the water resistance of thermoplastic poly(vinyl alcohol)/saponite nanocomposites (TPVA), a simple two-step method was developed for the covalent immobilization of atom transfer radical polymerization (ATRP) initiators on the TPVA surfaces enhanced by air dielectric barrier discharges (DBD) plasma treatment, and hydrophobic poly(methyl methacrylate) (PMMA) brushes were then grafted onto the surface of TPVA via surface-initiated atom transfer radical polymerization (SI-ATRP). The chemical composition, morphology and hydrophobicity of the modified TPVA surfaces were characterized by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM), respectively. The water resistance of the surface-functionalized PMMA was evaluated by the contact angle and water adsorption method. It was shown that air DBD plasma treatment activated the TPVA surface and accelerated the immobilization of ATRP initiator on the TPVA surface. Compared with TPVA control, TPVA modified by SI-ATRP can be grafted well-defined and covalently tethered network PMMA brushes onto the surface and the hydrophobicity of TPVA were significantly enhanced.

  15. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  16. Downstream microwave ammonia plasma treatment of polydimethylsiloxane

    International Nuclear Information System (INIS)

    Pruden, K.G.; Beaudoin, S.P.

    2005-01-01

    To control the interactions between surfaces and biological systems, it is common to attach polymers, proteins, and other species to the surfaces of interest. In this case, surface modification of polydimethylsiloxane (PDMS) was performed by exposing PDMS films to the effluent from a microwave ammonia plasma, with a goal of creating primary amine groups on the PDMS. These amine sites were to be used as binding sites for polymer attachment. Chemical changes to the surface of the PDMS were investigated as a function of treatment time, microwave power, and PDMS temperature during plasma treatment. Functional groups resulting from this treatment were characterized using attenuated total reflectance infrared spectroscopy. Plasma treatment resulted in the incorporation of oxygen- and nitrogen-containing groups, including primary amine groups. In general, increasing the treatment time, plasma power and substrate temperature increased the level of oxidation of the films, and led to the formation of imines and nitriles. PDMS samples treated at 100 W and 23 deg. C for 120 s were chosen for proof-of-concept dextran coating. Samples treated at this condition contained primary amine groups and few oxygen-containing groups. To test the viability of the primary amines for attachment of biopolymers, functionalized dextran was successfully attached to primary amine sites on the PDMS films

  17. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kan, C.W., E-mail: tccwk@polyu.edu.hk [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Kwong, C.H. [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Ng, S.P. [Hong Kong Community College, The Hong Kong Polytechnic University (Hong Kong)

    2015-08-15

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  18. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kan, C.W.; Kwong, C.H.; Ng, S.P.

    2015-01-01

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment

  19. Modification of ink-jet paper by oxygen-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, A [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Mozetic, M [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Hladnik, A [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Dolenc, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Zule, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Milosevic, S [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Krstulovic, N [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Klanjsek-Gunde, M [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia); Hauptmann, N [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia)

    2007-06-21

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10{sup 15} m{sup -3} and a density of neutral oxygen atoms of 5 x 10{sup 21} m{sup -3}. Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment.

  20. Modification of ink-jet paper by oxygen-plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A; Mozetic, M; Hladnik, A; Dolenc, J; Zule, J; Milosevic, S; Krstulovic, N; Klanjsek-Gunde, M; Hauptmann, N

    2007-01-01

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10 15 m -3 and a density of neutral oxygen atoms of 5 x 10 21 m -3 . Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment

  1. Fluorine-plasma surface treatment for gate forward leakage current reduction in AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Chen Wanjun; Zhang Jing; Zhang Bo; Chen, Kevin Jing

    2013-01-01

    The gate forward leakage current in AlGaN/GaN high electron mobility transistors (HEMTs) is investigated. It is shown that the current which originated from the forward biased Schottky-gate contributed to the gate forward leakage current. Therefore, a fluorine-plasma surface treatment is presented to induce the negative ions into the AlGaN layer which results in a higher metal—semiconductor barrier. Consequently, the gate forward leakage current shrinks. Experimental results confirm that the gate forward leakage current is decreased by one order magnitude lower than that of HEMT device without plasma treatment. In addition, the DC characteristics of the HEMT device with plasma treatment have been studied. (semiconductor devices)

  2. Validation of cold plasma treatment for protein inactivation: a surface plasmon resonance-based biosensor study

    International Nuclear Information System (INIS)

    Bernard, C; Leduc, A; Barbeau, J; Saoudi, B; Yahia, L'H; Crescenzo, G De

    2006-01-01

    Gas plasma is being proposed as an interesting and promising tool to achieve sterilization. The efficacy of gas plasma to destroy bacterial spores (the most resistant living microorganisms) has been demonstrated and documented over the last ten years. In addition to causing damage to deoxyribonucleic acid by UV radiation emitted by excited species originating from the plasma, gas plasma has been shown to promote erosion of the microorganism in addition to possible oxidation reactions within the microorganism. In this work, we used lysozyme as a protein model to assess the effect of gas plasma on protein inactivation. Lysozyme samples have been subjected to the flowing afterglow of a gas discharge achieved in a nitrogen-oxygen mixture. The efficiency of this plasma treatment on lysozyme has been tested by two different assays. These are an enzyme-linked immunosorbent assay (ELISA) and a surface plasmon resonance (SPR)-based biosensor assay. The two methods showed that exposure to gas plasma can abrogate lysozyme interactions with lysozyme-specific antibodies, more likely by destroying the epitopes responsible for the interaction. More specifically, two SPR-based assays were developed since our ELISA approach did not allow us to discriminate between background and low, but still intact, quantities of lysozyme epitope after plasma treatment. Our SPR results clearly demonstrated that significant protein destruction or desorption was achieved when amounts of lysozyme less than 12.5 ng had been deposited in polystyrene 96-well ELISA plates. At higher lysozyme amounts, traces of available lysozyme epitopes were detected by SPR through indirect measurements. Finally, we demonstrated that a direct SPR approach in which biosensor-immobilized lysozyme activity is directly measured prior and after plasma treatment is more sensitive, and thus, more appropriate to define plasma treatment efficacy with more certainty

  3. Validation of cold plasma treatment for protein inactivation: a surface plasmon resonance-based biosensor study

    Science.gov (United States)

    Bernard, C.; Leduc, A.; Barbeau, J.; Saoudi, B.; Yahia, L'H.; DeCrescenzo, G.

    2006-08-01

    Gas plasma is being proposed as an interesting and promising tool to achieve sterilization. The efficacy of gas plasma to destroy bacterial spores (the most resistant living microorganisms) has been demonstrated and documented over the last ten years. In addition to causing damage to deoxyribonucleic acid by UV radiation emitted by excited species originating from the plasma, gas plasma has been shown to promote erosion of the microorganism in addition to possible oxidation reactions within the microorganism. In this work, we used lysozyme as a protein model to assess the effect of gas plasma on protein inactivation. Lysozyme samples have been subjected to the flowing afterglow of a gas discharge achieved in a nitrogen-oxygen mixture. The efficiency of this plasma treatment on lysozyme has been tested by two different assays. These are an enzyme-linked immunosorbent assay (ELISA) and a surface plasmon resonance (SPR)-based biosensor assay. The two methods showed that exposure to gas plasma can abrogate lysozyme interactions with lysozyme-specific antibodies, more likely by destroying the epitopes responsible for the interaction. More specifically, two SPR-based assays were developed since our ELISA approach did not allow us to discriminate between background and low, but still intact, quantities of lysozyme epitope after plasma treatment. Our SPR results clearly demonstrated that significant protein destruction or desorption was achieved when amounts of lysozyme less than 12.5 ng had been deposited in polystyrene 96-well ELISA plates. At higher lysozyme amounts, traces of available lysozyme epitopes were detected by SPR through indirect measurements. Finally, we demonstrated that a direct SPR approach in which biosensor-immobilized lysozyme activity is directly measured prior and after plasma treatment is more sensitive, and thus, more appropriate to define plasma treatment efficacy with more certainty.

  4. Effect of argon plasma treatment on the output performance of triboelectric nanogenerator

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Guang-Gui, E-mail: ggcheng@ujs.edu.cn [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Jiang, Shi-Yu; Li, Kai [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Zhang, Zhong-Qiang [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Wang, Ying; Yuan, Ning-Yi [Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Ding, Jian-Ning, E-mail: dingjn@ujs.edu.cn [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Zhang, Wei [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China)

    2017-08-01

    Highlights: • Two different kinds of PDMS films were prepared by spin-coated. • The PDMS surface was plasma treated with different power and time. • The output performance of TENG was significantly enhanced by plasma treatment. • Plasma treatment effect has time-efficient, the output declines with store time. - Abstract: Physical and chemical properties of the polymer surface play great roles in the output performance of triboelectric nanogenerator (TENG). Specific texture on the surface of polymer can enlarge the contact area and enhance the power output performance of TENG. In this paper, polydimethylsiloxane (PDMS) films with smooth and micro pillar arrays on the surface were prepared respectively. The surfaces were treated by argon plasma before testing their output performance. By changing treatment parameters such as treating time and plasma power, surfaces with different roughness and their relationship were achieved. The electrical output performances of the assembled TENG for each specimen showed that argon plasma treatment has a significant etching effect on the PDMS surface and greatly strengthen its output performance. The average surface roughness of PDMS film increases with the etching time from 5 mins to 15 mins when the argon plasma power is 60 W. Nevertheless, the average surface roughness is inversely proportional to the treatment time for the power of 90W. When treated with 90 W and 5 mins, many uniform micro pillars appeared on the both PDMS surface, and the output performance of the TENG for plasma treated smooth surface is 2.6 times larger than that before treatment. The output voltage increases from 42 V to 72 V, and the short circuit current increases from 4.2 μA to 8.3 μA after plasma treatment of the micro pillar array surface. However, this plasma treatment has time-efficient due to the hydrophobic recovery property of Ar plasma treated PDMS surface, both output voltage and short circuit current decrease significantly after 3

  5. Improvement of bonding properties of laser transmission welded, dissimilar thermoplastics by plasma surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Hopmann, Ch.; Weber, M.; Schöngart, M.; Sooriyapiragasam, S.; Behm, H.; Dahlmann, R. [Institute of Plastics Processing (IKV), RWTH Aachen University, Pontstrasse 49, 52062 Aachen (Germany)

    2015-05-22

    Compared to different welding methods such as ultrasonic welding, laser transmission welding is a relatively new technology to join thermoplastic parts. The most significant advantages over other methods are the contactless energy input which can be controlled very precisely and the low mechanical loads on the welded parts. Therefore, laser transmission welding is used in various areas of application, for example in medical technology or for assembling headlights in the automotive sector. However, there are several challenges in welding dissimilar thermoplastics. This may be due to different melting points on the one hand and different polarities on the other hand. So far these problems are faced with the intermediate layer technique. In this process a layer bonding together the two components is placed between the components. This means that an additional step in the production is needed to apply the extra layer. To avoid this additional step, different ways of joining dissimilar thermoplastics are investigated. In this regard, the improvement in the weldability of the dissimilar thermoplastics polyamide 6 (PA 6) and polypropylene (PP) by means of plasma surface modification and contour welding is examined. To evaluate the influence of the plasma surface modification process on the subsequent welding process of the two dissimilar materials, the treatment time as well as the storage time between treatment and welding are varied. The treatment time in pulsed micro wave excited oxygen plasmas with an electron density of about 1x10{sup 17} m{sup −3} is varied from 0.5 s to 120 s and the time between treatment and welding is varied from a few minutes up to a week. As reference, parts being made of the same polymer (PP and PA 6) are welded and tested. For the evaluation of the results of the welding experiments, short-time tensile tests are used to determine the bond strength. Without plasma treatment the described combination of PA 6/PP cannot be welded with

  6. Improvement of bonding properties of laser transmission welded, dissimilar thermoplastics by plasma surface treatment

    International Nuclear Information System (INIS)

    Hopmann, Ch.; Weber, M.; Schöngart, M.; Sooriyapiragasam, S.; Behm, H.; Dahlmann, R.

    2015-01-01

    Compared to different welding methods such as ultrasonic welding, laser transmission welding is a relatively new technology to join thermoplastic parts. The most significant advantages over other methods are the contactless energy input which can be controlled very precisely and the low mechanical loads on the welded parts. Therefore, laser transmission welding is used in various areas of application, for example in medical technology or for assembling headlights in the automotive sector. However, there are several challenges in welding dissimilar thermoplastics. This may be due to different melting points on the one hand and different polarities on the other hand. So far these problems are faced with the intermediate layer technique. In this process a layer bonding together the two components is placed between the components. This means that an additional step in the production is needed to apply the extra layer. To avoid this additional step, different ways of joining dissimilar thermoplastics are investigated. In this regard, the improvement in the weldability of the dissimilar thermoplastics polyamide 6 (PA 6) and polypropylene (PP) by means of plasma surface modification and contour welding is examined. To evaluate the influence of the plasma surface modification process on the subsequent welding process of the two dissimilar materials, the treatment time as well as the storage time between treatment and welding are varied. The treatment time in pulsed micro wave excited oxygen plasmas with an electron density of about 1x10 17 m −3 is varied from 0.5 s to 120 s and the time between treatment and welding is varied from a few minutes up to a week. As reference, parts being made of the same polymer (PP and PA 6) are welded and tested. For the evaluation of the results of the welding experiments, short-time tensile tests are used to determine the bond strength. Without plasma treatment the described combination of PA 6/PP cannot be welded with sufficient bond

  7. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane

    Science.gov (United States)

    Tupinambá, Rogerio Amaral; Claro, Cristiane Aparecida de Assis; Pereira, Cristiane Aparecida; Nobrega, Celestino José Prudente; Claro, Ana Paula Rosifini Alves

    2017-01-01

    ABSTRACT Introduction: Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Methods: Hexamethyldisiloxane (HMDSO) polymer films were deposited on conventional (n = 10) and self-ligating (n = 10) stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD) radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM) analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region) and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI) and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Results: Significant statistical differences (p 0.05). Conclusion: Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film. PMID:28902253

  8. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane

    Directory of Open Access Journals (Sweden)

    Rogerio Amaral Tupinambá

    Full Text Available ABSTRACT Introduction: Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Methods: Hexamethyldisiloxane (HMDSO polymer films were deposited on conventional (n = 10 and self-ligating (n = 10 stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Results: Significant statistical differences (p 0.05. Conclusion: Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film.

  9. Plasma technology of the surface polymer activation

    International Nuclear Information System (INIS)

    Dutra, Jorge C.N.; Mello, Sandra C.; Massi, Marcos; Otani, Choyu; Maciel, Homero S.; Bittencourt, Edison

    2005-01-01

    A number of polymers, especially rubbers, require surface treatment to achieve a satisfactory level of adhesion. The surface of EPDM rubber vulcanized is high hydrophobicity and is not suited for a number of potential applications, in particular, for adhering to the polyurethane liner of solid rocket propellants. In this case, plasma treatment can be a very attractive process because it can efficiently increase the surface energy attributed to surface oxidation with the introduction of polar groups 1, 2. In order to investigate the influence of the parameters on the modifications of the treated surface samples of EPDM rubber by plasma generated by gas oxygen and argon, the water and methylene iodide contact angles were measured at room temperature with an image analyzing using the sessile drop technique 3 - 6 . (author)

  10. Plasma treatment of onychomycosis

    Science.gov (United States)

    Xiong, Zilan; Roe, Jeff; Grammer, Tim; Him, Yeon-Ho; Graves, David B.

    2015-09-01

    Onychomycosis or fungal infection of the toenail or fingernail is a common affliction. Approximately 10% of the world's adult population is estimated to suffer from onychomycosis. Current treatment options such as topical creams, oral drugs, or laser treatments are generally limited by a variety of problems. We present results for an alternative onychomycosis treatment scheme using atmospheric pressure cold air plasmas. Using thinned cow hoof as a model nail material, we tested the ability of various plasma sources to act through the model nail to eradicate either bacteria or fungus deposited on the opposite side. Following 20 minute exposure to a surface microdischarge (SMD) device operating in room air, we observed a ~ 2 log reduction of E. coli. A similar result was obtained against T. rubrum after 45 min plasma treatment. NOx species concentration penetrating through the model nail as well as uptake into the nail were measured as a function of nail thickness. We propose that these plasma-generated species, or perhaps their reaction products, are responsible for at least part of the observed anti-microbial effect. We also explore the use of ultraviolet light acting in synergy with plasma-generated chemical species.

  11. Plasma-oxidation of Ge(100)-surfaces characterized by MIES, UPS and XPS

    Energy Technology Data Exchange (ETDEWEB)

    Wegewitz, Lienhard; Dahle, Sebastian; Maus-Friedrichs, Wolfgang [Institut fuer Energieforschung und Physikalische Technologien, Technische Universitaet Clausthal, Leibnizstr. 4, 38678 Clausthal-Zellerfeld (Germany); Hoefft, Oliver; Endres, Frank [Institut fuer Mechanische Verfahrenstechnik, Technische Universitaet Clausthal, Arnold-Sommerfeld-Str. 6, 38678 Clausthal-Zellerfeld (Germany); Vioel, Wolfgang [HAWK Goettingen, Fakultaet Naturwissenschaften und Technik, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2011-07-01

    Cleaning and passivation of Germanium surfaces is of tremendous technological interest. Germanium has various applications, for example in complementary metal-oxide-semiconductor elements. It turned out to be difficult to prepare contamination free Germanium surfaces by methods of wet chemistry. Several attempts have been made preparing such surfaces by different plasma treatments. We report cleaning and passivation of Ge(100)-surfaces by dielectric barrier discharge plasma at ambient temperature in oxygen and in air studied by Metastable Induced Electron Spectroscopy (MIES) and Photoelectron Spectroscopy (UPS(He I) and XPS). The plasma treatment is carried out in a special high-vacuum chamber which operates up to ambient pressure and is directly connected to the ultra-high vacuum chamber including the analysis equipment. In summary the air plasma treatment as well as the oxygen plasma treatment result in contamination free GeO{sub 2} covered surfaces.

  12. Surface pre-treatment for barrier coatings on polyethylene terephthalate

    Science.gov (United States)

    Bahre, H.; Bahroun, K.; Behm, H.; Steves, S.; Awakowicz, P.; Böke, M.; Hopmann, Ch; Winter, J.

    2013-02-01

    Polymers have favourable properties such as light weight, flexibility and transparency. Consequently, this makes them suitable for food packaging, organic light-emitting diodes and flexible solar cells. Nonetheless, raw plastics do not possess sufficient barrier functionality against oxygen and water vapour, which is of paramount importance for most applications. A widespread solution is to deposit thin silicon oxide layers using plasma processes. However, silicon oxide layers do not always fulfil the requirements concerning adhesion and barrier performance when deposited on films. Thus, plasma pre-treatment is often necessary. To analyse the influence of a plasma-based pre-treatment on barrier performance, different plasma pre-treatments on three reactor setups were applied to a very smooth polyethylene terephthalate film before depositing a silicon oxide barrier layer. In this paper, the influence of oxygen and argon plasma pre-treatments towards the barrier performance is discussed examining the chemical and topological change of the film. It was observed that a short one-to-ten-second plasma treatment can reduce the oxygen transmission rate by a factor of five. The surface chemistry and the surface topography change significantly for these short treatment times, leading to an increased surface energy. The surface roughness rises slowly due to the development of small spots in the nanometre range. For very long treatment times, surface roughness of the order of the barrier layer's thickness results in a complete loss of barrier properties. During plasma pre-treatment, the trade-off between surface activation and roughening of the surface has to be carefully considered.

  13. Surface pre-treatment for barrier coatings on polyethylene terephthalate

    International Nuclear Information System (INIS)

    Bahre, H; Böke, M; Winter, J; Bahroun, K; Behm, H; Hopmann, Ch; Steves, S; Awakowicz, P

    2013-01-01

    Polymers have favourable properties such as light weight, flexibility and transparency. Consequently, this makes them suitable for food packaging, organic light-emitting diodes and flexible solar cells. Nonetheless, raw plastics do not possess sufficient barrier functionality against oxygen and water vapour, which is of paramount importance for most applications. A widespread solution is to deposit thin silicon oxide layers using plasma processes. However, silicon oxide layers do not always fulfil the requirements concerning adhesion and barrier performance when deposited on films. Thus, plasma pre-treatment is often necessary. To analyse the influence of a plasma-based pre-treatment on barrier performance, different plasma pre-treatments on three reactor setups were applied to a very smooth polyethylene terephthalate film before depositing a silicon oxide barrier layer. In this paper, the influence of oxygen and argon plasma pre-treatments towards the barrier performance is discussed examining the chemical and topological change of the film. It was observed that a short one-to-ten-second plasma treatment can reduce the oxygen transmission rate by a factor of five. The surface chemistry and the surface topography change significantly for these short treatment times, leading to an increased surface energy. The surface roughness rises slowly due to the development of small spots in the nanometre range. For very long treatment times, surface roughness of the order of the barrier layer's thickness results in a complete loss of barrier properties. During plasma pre-treatment, the trade-off between surface activation and roughening of the surface has to be carefully considered. (paper)

  14. Blood compatibility of gas plasma-treated diamond-like carbon surface-Effect of physicochemical properties of DLC surface on blood compatibility

    International Nuclear Information System (INIS)

    Mochizuki, Akira; Ogawa, Tatsuhisa; Okamoto, Keishi; Nakatani, Tatsuyuki; Nitta, Yuki

    2011-01-01

    From the knowledge that zwitterion-type polymers show good blood compatibility, the introduction of both cationic and anionic functional groups onto diamond-like carbon (DLC) surface is expected to improve blood compatibility. Thus, DLC films were treated with oxygen and ammonia gas plasmas. The surfaces were characterized in terms of chemical composition by XPS, contact angle, and zeta potential. XPS analysis showed the introductions of a carboxyl group by oxygen plasma treatment and nitrogen atoms by ammonia plasma treatment. The evaluation of blood compatibility for the DLC surfaces was carried out in terms of platelets and the coagulation system. Excellent improvement of platelet compatibility was observed by the treatment with the gas plasmas, regardless of the plasma species. As for the compatibility with the coagulation system, DLC surfaces with a high concentration of carboxyl groups (COOH) markedly activated the system via the intrinsic pathway. However, the surfaces treated with ammonia plasma did not activate the system even though they had high COOH concentration. Measurement of the zeta potential revealed that the ammonia plasma treatment raised the potential from a negative value to a positive one. Though the introduction of amino groups to the surface was not detected directly, the treatment of ammonia plasma changed the electrical state of the DLC surface having COOH group, causing a difference in blood compatibility among the DLCs obtained by various plasma conditions.

  15. Atmospheric-pressure plasma activation and surface characterization on polyethylene membrane separator

    Science.gov (United States)

    Tseng, Yu-Chien; Li, Hsiao-Ling; Huang, Chun

    2017-01-01

    The surface hydrophilic activation of a polyethylene membrane separator was achieved using an atmospheric-pressure plasma jet. The surface of the atmospheric-pressure-plasma-treated membrane separator was found to be highly hydrophilic realized by adjusting the plasma power input. The variations in membrane separator chemical structure were confirmed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Chemical analysis showed newly formed carbonyl-containing groups and high surface concentrations of oxygen-containing species on the atmospheric-pressure-plasma-treated polymeric separator surface. It also showed that surface hydrophilicity primarily increased from the polar component after atmospheric-pressure plasma treatment. The surface and pore structures of the polyethylene membrane separator were examined by scanning electron microscopy, revealing a slight alteration in the pore structure. As a result of the incorporation of polar functionalities by atmospheric-pressure plasma activation, the electrolyte uptake and electrochemical impedance of the atmospheric-pressure-plasma-treated membrane separator improved. The investigational results show that the separator surface can be controlled by atmospheric-pressure plasma surface treatment to tailor the hydrophilicity and enhance the electrochemical performance of lithium ion batteries.

  16. Surface monofunctionalized polymethyl pentene hollow fiber membranes by plasma treatment and hemocompatibility modification for membrane oxygenators

    Science.gov (United States)

    Huang, Xin; Wang, Weiping; Zheng, Zhi; Fan, Wenling; Mao, Chun; Shi, Jialiang; Li, Lei

    2016-01-01

    The hemocompatibility of polymethyl pentene (PMP) hollow fiber membranes (HFMs) was improved through surface modification for membrane oxygenator applications. The modification was performed stepwise with the following: (1) oxygen plasma treatment, (2) functionalization of monosort hydroxyl groups through NaBH4 reduction, and (3) grafting 2-methacryloyloxyethyl phosphorylcholine (MPC) or heparin. SEM, ATR-FTIR, and XPS analyses were conducted to confirm successful grafting during the modification. The hemocompatibility of PMP HFMs was analyzed and compared through protein adsorption, platelet adhesion, and coagulation tests. Pure CO2 and O2 permeation rates, as well as in vitro gas exchange rates, were determined to evaluate the mass transfer properties of PMP HFMs. SEM results showed that different nanofibril topographies were introduced on the HFM surface. ATR-FTIR and XPS spectra indicated the presence of functionalization of monosort hydroxyl group and the grafting of MPC and heparin. Hemocompatibility evaluation results showed that the modified PMP HFMs presented optimal hemocompatibility compared with pristine HFMs. Gas permeation results revealed that gas permeation flux increased in the modified HFMs because of dense surface etching during the plasma treatment. The results of in vitro gas exchange rates showed that all modified PMP HFMs presented decreased gas exchange rates because of potential surface fluid wetting. The proposed strategy exhibits a potential for fabricating membrane oxygenators for biomedical applications to prevent coagulation formation and alter plasma-induced surface topology and composition.

  17. Surface modification of fluorosilicone acrylate RGP contact lens via low-temperature argon plasma

    International Nuclear Information System (INIS)

    Yin Shiheng; Wang Yingjun; Ren Li; Zhao Lianna; Kuang Tongchun; Chen Hao; Qu Jia

    2008-01-01

    A fluorosilicone acrylate rigid gas permeable (RGP) contact lens was modified via argon plasma to improve surface hydrophilicity and resistance to protein deposition. The influence of plasma treatment on surface chemical structure, hydrophilicity and morphology of RGP lens was investigated by X-ray photoelectron spectrometer (XPS), contact angle measurements and scanning electron microscope (SEM), respectively. The contact angle results showed that the hydrophilicity of the contact lens was improved after plasma treatment. XPS results indicated that the incorporation of oxygen-containing groups on surface and the transformation of silicone into hydrophilic silicate after plasma treatment are the main reasons for the surface hydrophilicity improvement. SEM results showed that argon plasma with higher power could lead to surface etching

  18. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  19. Plasma treatment of polymers for modifying haemocompatibility

    International Nuclear Information System (INIS)

    Wilson, D.J.

    2000-03-01

    The primary objective of this study was to investigate changes in the thrombogenicity of four materials, PTFE, PDMS, PEU and UHMW-PE induced by plasma treatments. In particular, correlations were sought between the chemical and topographical alterations to the materials surface caused by exposure to plasmas and the observed changes of blood response. Each material was treated in O 2 , Ar, N 2 and NH 3 discharges, the system pressure, treatment times, gas flow rates and plasma power ( 51 Cr labelled platelets and (ii) platelet aggregation and release of microparticles by flow cytometry, after labelling with anti-CD62 and anti-CD41 antibodies, in whole blood perfused in a cone and plate viscometer at a physiologically relevant shear rate (500 s -1 ). In addition, quasi-static evaluation was carried out by contact phase activation and assessed by PTT assays. Contact with the 'as-received' materials resulted in activation of the blood. Moreover, plasma treatment resulted in further modifications of both the surface and fluid phase responses for example, a reduction in the number of adhered platelets and a expression of p-selectin compared with the as-received surfaces attributed to changes in surface chemistry. (author)

  20. Plasma treatment of expanded PTFE offers a way to a biofunctionalization of its surface

    International Nuclear Information System (INIS)

    Baquey, Ch.; Palumbo, F.; Porte-Durrieu, M.C.; Legeay, G.; Tressaud, A.; D'Agostino, R.

    1999-01-01

    Biointegration is the ideal outcome which is expected for an artificial implant. That means that the phenomena which seats at the interface between the implant and the host tissues does not induce neither any deleterious effect, such as chronic inflammatory response, nor the formation of unusual tissues. Thus it is of paramount importance to design biomaterials, used for the fabrication of implants, with the best appropriate surface properties. At the same time these biomaterials must feature bulk properties which meet other requirements, especially mechanical properties, deriving from the intended function of the implant in which they are involved. As it is quite impossible to design biomaterials which fulfil at the same time both types of requirements, it is commonly agreed that the solution to this issue goes through the selection or the design of biomaterials with adequate bulk properties, and a further treatment of the surface which would improve the properties of the latter. In this respect ionizing radiations and plasma based treatments, offer a wide panel of possibilities; as an example we describe here how the surface of expanded poly(tetrafluoroethylene) samples can be activated using cold plasma, in order to open a way to chemical modifications of such a surface. Subsequently, Radio Frequency Glow Discharge (RFGD) containing oligopeptides, known for their role in mediating the adhesion of cells to the extracellular matrix, were bound to the modified surface, and the affinity of endothelial cells for the latter was investigated

  1. Enhanced Hydrophilicity and Biocompatibility of Dental Zirconia Ceramics by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Chou Wu

    2015-02-01

    Full Text Available Surface properties play a critical role in influencing cell responses to a biomaterial. The objectives of this study were (1 to characterize changes in surface properties of zirconia (ZrO2 ceramic after oxygen plasma treatment; and (2 to determine the effect of such changes on biological responses of human osteoblast-like cells (MG63. The results indicated that the surface morphology was not changed by oxygen plasma treatment. In contrast, oxygen plasma treatment to ZrO2 not only resulted in an increase in hydrophilicity, but also it retained surface hydrophilicity after 5-min treatment time. More importantly, surface properties of ZrO2 modified by oxygen plasma treatment were beneficial for cell growth, whereas the surface roughness of the materials did not have a significant efficacy. It is concluded that oxygen plasma treatment was certified to be effective in modifying the surface state of ZrO2 and has the potential in the creation and maintenance of hydrophilic surfaces and the enhancement of cell proliferation and differentiation.

  2. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  3. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  4. Surface properties of activated carbon treated by cold plasma heating

    Energy Technology Data Exchange (ETDEWEB)

    Norikazu, Kurano [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yamada, Hiroshi [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yajima, Tatsuhiko [Faculty of Engineering, Saitama Institute of Technology, 1690 Fusoiji, Okabe 3690293 (Japan); Sugiyama, Kazuo [Faculty of Engineering, Saitama University, 255 Shimo-okubo, Sakura-Ku, Saitama 3388570 (Japan)]. E-mail: sugi@apc.saitama-u.ac.jp

    2007-03-12

    To modify the surface properties of activated carbon powders, we have applied the cold plasma treatment method. The cold plasma was used to be generated in the evacuated reactor vessel by 2.45 GHz microwave irradiation. In this paper, changes of surface properties such as distribution of acidic functional groups and roughness morphology were examined. By the cold plasma treatment, activated carbons with large specific surface area of ca. 2000 m{sup 2}/g or more could be prepared in a minute. The amount of every gaseous organic compound adsorbed on the unit gram of treated activated carbons was more increased that on the unit gram of untreated carbons. Especially, the adsorbed amount of carbon disulfide was remarkably increased even if it was compared by the amount per unit surface area. These results suggest that the surface property of the sample was modified by the plasma treatment. It became apparent by observing SEM photographs that dust and impure particles in macropores of activated carbons were far more reduced by the plasma treatment than by the conventional heating in an electric furnace under vacuum. In addition, a bubble-like surface morphology of the sample was observed by AEM measurement. The amount of acidic functional groups at the surface was determined by using the Boehm's titration method. Consequently, the increase of lactone groups and the decrease of carboxyl groups were also observed.

  5. Nanomechanical and nanotribological properties of plasma nanotextured superhydrophilic and superhydrophobic polymeric surfaces

    International Nuclear Information System (INIS)

    Skarmoutsou, A; Charitidis, C A; Gnanappa, A K; Tserepi, A; Gogolides, E

    2012-01-01

    Oxygen plasma-induced surface modification of polymethylmethacrylate (PMMA), under plasma conditions favouring (maximizing) roughness formation, has been shown to create textured surfaces of roughness size and morphology dependent on the plasma-treatment time and subsequent morphology stabilization procedure. Superhydrophobic or superhydrophilic surfaces can thus be obtained, with potential applications in antireflective self-cleaning surfaces, microfluidics, wetting–dewetting control, anti-icing etc, necessitating determination of their mechanical properties. In this study, nanoindentation is used to determine the reduced modulus and hardness of the surface, while nanoscratch tests are performed to measure the coefficient of friction. The data are combined to assess the wear behaviour of such surfaces as a first guide for their practical applications. Short-time plasma treatment slightly changes mechanical, tribological and wear properties compared to untreated PMMA. However, a significant decrease in the reduced modulus and hardness and an increase in the coefficient of friction are observed after long plasma-treatment times. The C 4 F 8 plasma deposited thin hydrophobic layer on the polymeric surfaces (untreated and treated) reveals good adhesion, while its mechanical properties are greatly influenced by the substrate; it is also found that it effectively protects the polymeric surfaces, reducing plastic deformation. (paper)

  6. Surface Texturing-Plasma Nitriding Duplex Treatment for Improving Tribological Performance of AISI 316 Stainless Steel

    Directory of Open Access Journals (Sweden)

    Naiming Lin

    2016-10-01

    Full Text Available Surface texturing-plasma nitriding duplex treatment was conducted on AISI 316 stainless steel to improve its tribological performance. Tribological behaviors of ground 316 substrates, plasma-nitrided 316 (PN-316, surface-textured 316 (ST-316, and duplex-treated 316 (DT-316 in air and under grease lubrication were investigated using a pin-on-disc rotary tribometer against counterparts of high carbon chromium bearing steel GCr15 and silicon nitride Si3N4 balls. The variations in friction coefficient, mass loss, and worn trace morphology of the tested samples were systemically investigated and analyzed. The results showed that a textured surface was formed on 316 after electrochemical processing in a 15 wt % NaCl solution. Grooves and dimples were found on the textured surface. As plasma nitriding was conducted on a 316 substrate and ST-316, continuous and uniform nitriding layers were successfully fabricated on the surfaces of the 316 substrate and ST-316. Both of the obtained nitriding layers presented thickness values of more than 30 μm. The nitriding layers were composed of iron nitrides and chromium nitride. The 316 substrate and ST-316 received improved surface hardness after plasma nitriding. When the tribological tests were carried out under dry sliding and grease lubrication conditions, the tested samples showed different tribological behaviors. As expected, the DT-316 samples revealed the most promising tribological properties, reflected by the lowest mass loss and worn morphologies. The DT-316 received the slightest damage, and its excellent tribological performance was attributed to the following aspects: firstly, the nitriding layer had high surface hardness; secondly, the surface texture was able to capture wear debris, store up grease, and then provide continuous lubrication.

  7. Nitrogen plasma immersion ion implantation for surface treatment and wear protection of austenitic stainless steel X6CrNiTi1810

    International Nuclear Information System (INIS)

    Blawert, C.; Mordike, B.L.

    1999-01-01

    Plasma immersion ion implantation is an effective surface treatment for stainless steels. The influence of treatment parameters (temperature, plasma density and pressure) on the sliding wear resistance are studied here. At moderate temperatures, nitrogen remains in solid solution without forming nitrides. This increases the surface hardness and the wear resistance without affecting the passivation of the steel. This may allow the use of such steels in applications where their poor wear resistance would normally prohibit their use. (orig.)

  8. Atmospheric pressure plasma jets : properties of plasma bullets and the dynamics of the interaction with dielectric surfaces

    NARCIS (Netherlands)

    Sobota, A.; Slikboer, E.; Guaitella, O.Y.N.

    2015-01-01

    Cold atmospheric pressure plasma jets, although mostly researched for applications in surface treatment, are rarely investigated in the presence of a surface. This paper presents the properties of plasma bullets formed in the capillary as well as the dynamics of the propagation of the plasma on

  9. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  10. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  11. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  12. A Nanosecond Pulsed Plasma Brush for Surface Decontamination

    Science.gov (United States)

    Neuber, Johanna; Malik, Muhammad; Song, Shutong; Jiang, Chunqi

    2015-11-01

    This work optimizes a non-thermal, atmospheric pressure plasma brush for surface decontamination. The generated plasma plumes with a maximum length of 2 cm are arranged in a 5 cm long, brush-like array. The plasma was generated in ambient air with Acinetobacter baumannii. Laminate surfaces inoculated with over-night cultured bacteria were subject to the plasma treatment for varying water concentrations in He, flow rates and discharge voltages. It was found that increasing the water content of the feed gas greatly enhanced the bactericidal effect. Emission spectroscopy was performed to identify the reactive plasma species that contribute to this variation. Additional affiliation: Frank Reidy Research Center for Bioelectrics

  13. Plasma treatment of polypropylene fabric for improved dyeability with soluble textile dyestuff

    International Nuclear Information System (INIS)

    Yaman, Necla; Ozdogan, Esen; Seventekin, Necdet; Ayhan, Hakan

    2009-01-01

    The impact of plasma treatment parameters on the surface morphology, physical-chemical, and dyeing properties of polypropylene (PP) using anionic and cationic dyestuffs were investigated in this study. Argon plasma treatment was used to activate PP fabric surfaces. Activated surfaces were grafted different compounds: 6-aminohexanoic acid (6-AHA), acrylic acid (AA), ethylendiamine (EDA), acryl amide (AAMID) and hexamethyldisiloxane (HMDS). Compounds were applied after the plasma treatment and the acid and basic dyeing result that was then observed, were quite encouraging in certain conditions. The possible formed oxidizing groups were emphasized by FTIR and ATR and the surface morphology of plasma treated PP fibers was also investigated with scanning electron microscopy (SEM). PP fabric could be dyed with acid and basic dyestuffs after only plasma treatment and plasma induced grafting, and fastnesses of the dyed samples were satisfactory.

  14. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    Science.gov (United States)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  15. Effects of atmospheric air plasma treatment on interfacial properties of PBO fiber reinforced composites

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chengshuang, E-mail: cszhang83@163.com; Li, Cuiyun; Wang, Baiya; Wang, Bin; Cui, Hong

    2013-07-01

    Poly(p-phenylene benzobisoxazole) (PBO) fiber was modified by atmospheric air plasma treatment. The effects of plasma treatment power and speed on both surface properties of PBO fibers and interfacial properties of PBO/epoxy composites were investigated. Surface chemical composition of PBO fibers were analyzed by X-ray photoelectron spectroscopy (XPS). Surface morphologies of the fibers and interface structures of the composites were examined using scanning electron microscopy (SEM). Interfacial adhesion property of the composites was evaluated by interlaminar shear strength (ILSS). Mechanical properties of PBO multifilament were measured by universal testing machine. The results indicate that atmospheric air plasma treatment introduced some polar or oxygen-containing groups to PBO fiber surfaces, enhanced surface roughness and changed surface morphologies of PBO fibers by plasma etching and oxidative reactions. The plasma treatment also improved interfacial adhesion of PBO/epoxy composites but has little effect on tensile properties of PBO multifilament. The ILSS of PBO/epoxy composites increased to 40.0 MPa after atmospheric air plasma treatment with plasma treatment power of 300 W and treatment speed of 6 m/min.

  16. Effect of Low-Pressure Nitrogen DC Plasma Treatment on the Surface Properties of Biaxially Oriented Polypropylene, Poly (Methyl Methacrylate) and Polyvinyl Chloride Films

    International Nuclear Information System (INIS)

    Mortazavi, S. Hamideh; Ghoranneviss, Mahmood; Pilehvar, Soheil; Esmaeili, Sina; Zargham, Shamim; Hashemi, S. Ebrahim; Jodat, Hamzeh

    2013-01-01

    In this study, commercial biaxially oriented polypropylene (BOPP), polyvinyl chloride (PVC) and poly (methyl methacrylate) (PMMA) films were treated with nitrogen plasma over different exposure times in a Pyrex tube surrounded by a DC variable magnetic field. The chemical changes that appeared on the surface of the samples were investigated using Fourier transform infrared (FT-IR) spectroscopy and attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy after treatment for 2 min, 4 min and 6 min in a nitrogen plasma chamber. Effects of the plasma treatment on the surface topographies and contact angles of the untreated and plasma treated films were also analyzed by atomic force microscopy (AFM) and a contact angle measuring system. The results show that the plasma treated films become more hydrophilic with an enhanced wettability due to the formation of some new polar groups on the surface of the treated films. Moreover, at higher exposure times, the total surface energy in all treated films increased while a reduction in contact angle occurred. The behavior of surface roughness in each sample was completely different at higher exposure times. (plasma technology)

  17. Strategies to improve the adhesion of rubbers to adhesives by means of plasma surface modification

    Science.gov (United States)

    Martín-Martínez, J. M.; Romero-Sánchez, M. D.

    2006-05-01

    The surface modifications produced by treatment of a synthetic sulfur vulcanized styrene-butadiene rubber with oxidizing (oxygen, air, carbon dioxide) and non oxidizing (nitrogen, argon) RF low pressure plasmas, and by treatment with atmospheric plasma torch have been assessed by ATR-IR and XPS spectroscopy, SEM, and contact angle measurements. The effectiveness of the low pressure plasma treatment depended on the gas atmosphere used to generate the plasma. A lack of relationship between surface polarity and wettability, and peel strength values was obtained, likely due to the cohesive failure in the rubber obtained in the adhesive joints. In general, acceptable adhesion values of plasma treated rubber were obtained for all plasmas, except for nitrogen plasma treatment during 15 minutes due to the creation of low molecular weight moieties on the outermost rubber layer. A toluene wiping of the N{2 } plasma treated rubber surface for 15 min removed those moieties and increased adhesion was obtained. On the other hand, the treatment of the rubber with atmospheric pressure by means of a plasma torch was proposed. The wettability of the rubber was improved by decreasing the rubber-plasma torch distance and by increasing the duration because a partial removal of paraffin wax from the rubber surface was produced. The rubber surface was oxidized by the plasma torch treatment, and the longer the duration of the plasma torch treatment, the higher the degree of surface oxidation (mainly creation of C O moieties). However, although the rubber surface was effectively modified by the plasma torch treatment, the adhesion was not greatly improved, due to the migration of paraffin wax to the treated rubber-polyurethane adhesive interface once the adhesive joint was produced. On the other hand, the extended treatment with plasma torch facilitated the migration of zinc stearate to the rubber-adhesive interface, also contributing to deteriorate the adhesion in greater extent. Finally

  18. Use of Atmospheric-Pressure Plasma Jet for Polymer Surface Modification: An Overview

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-16

    Atmospheric-pressure plasma jets (APPJs) are playing an increasingly important role in materials processing procedures. Plasma treatment is a useful tool to modify surface properties of materials, especially polymers. Plasma reacts with polymer surfaces in numerous ways thus the type of process gas and plasma conditions must be explored for chosen substrates and materials to maximize desired properties. This report discusses plasma treatments and looks further into atmospheric-pressure plasma jets and the effects of gases and plasma conditions. Following the short literature review, a general overview of the future work and research at Los Alamos National Laboratory (LANL) is discussed.

  19. Time-resolved investigation of the surface chemical modification of poly(ethylene naphthalate) by nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Grace, J.M.; Zhuang, H.K.; Gerenser, L.J.; Freeman, D.R.

    2003-01-01

    A low rf nitrogen plasma source was used to treat poly(ethylene-2, 6-naphthalate) web material. Nitrogen uptake, the N 1s centroid, the N 1s peak width, rearrangement of the ester (from the O 1s spectrum), and oxygen level were measured using x-ray photoelectron spectroscopy as a function of treatment time at several treatment conditions. The observed changes in surface chemistry with treatment time are roughly consistent with a dose response having a linear regime at low doses and a saturation regime at high doses (where dose is power/width/web speed). Hence, to a first approximation, the flux densities (to the web) of relevant species scale with power. As the dose increases, the distribution of nitrogen groups formed broadens and shifts toward higher N 1s binding energy, suggesting that a larger fraction of the nitrogen-containing species are amines at low doses and amides at higher doses. The breadth of the distribution of nitrogen-containing species appears to be strongly correlated with the amount of nitrogen incorporated in the PEN surface. A surface sites model was applied to the measured nitrogen uptake as a function of treatment time. Phenomenological nitrogen incorporation and loss terms were determined in the context of this model. Both terms increase with treatment power and treatment pressure. The ratio, however, varies to a much smaller degree as a function of process conditions, with high powers and pressures giving the highest ratio. Further elucidation of the incorporation and loss processes should be possible with plasma diagnostic data related to species concentrations

  20. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  1. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  2. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    International Nuclear Information System (INIS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  3. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    Energy Technology Data Exchange (ETDEWEB)

    Basak, Ganesh C. [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bandyopadhyay, Abhijit [Department of Polymer Science and Technology, University of Calcutta, Calcutta 700 009 (India); Neogi, Sudarsan [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India); Bhowmick, Anil K., E-mail: anilkb@rtc.iitkgp.ernet.in [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India)

    2011-01-15

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  4. Study on surface adhesion of Plasma modified Polytetrafluoroethylene hollow fiber membrane

    Science.gov (United States)

    Chen, Jiangrong; Zhang, Huifeng; Liu, Guochang; Guo, Chungang; Lv, Jinglie; Zhangb, Yushan

    2018-01-01

    Polytetrafluoroethylene (PTFE) is popular membrane material because of its excellent thermal stability, chemical stability and mechanical stability. However, the low surface energy and non-sticky property of PTFE present challenges for modification. In the present study, plasma treatment was performed to improve the surface adhesion of PTFE hollow fiber membrane. The effect of discharge voltage, treatment time on the adhesion of PTFE hollow fiber membrane was symmetrically evaluated. Results showed that the plasma treatment method contributed to improve the surface activity and roughness of PTFE hollow fiber membrane, and the adhesion strength depend significantly on discharge voltage, which was beneficial to seepage pressure of PTFE hollow fiber membrane module. The adhesion strength of PTFE membrane by plasma treated at 220V for 3min reached as high as 86.2 N, far surpassing the adhesion strength 12.7 N of pristine membrane. Furthermore, improvement of content of free radical and composition analysis changes of the plasma modified PTFE membrane were investigated. The seepage pressure of PTFE membrane by plasma treated at 220V for 3min was 0.375 MPa, which means that the plasma treatment is an effective technique to improve the adhesion strength of membrane.

  5. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  6. Note: A single-chamber tool for plasma activation and surface functionalization in microfabrication

    Energy Technology Data Exchange (ETDEWEB)

    Bowman, Adam J.; Scherrer, Joseph R.; Reiserer, Ronald S., E-mail: ron.reiserer@vanderbilt.edu [Vanderbilt Institute for Integrative Biosystems Research and Education and Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States)

    2015-06-15

    We present a simple apparatus for improved surface modification of polydimethylsiloxane (PDMS) microfluidic devices. A single treatment chamber for plasma activation and chemical/physical vapor deposition steps minimizes the time-dependent degradation of surface activation that is inherent in multi-chamber techniques. Contamination and deposition irregularities are also minimized by conducting plasma activation and treatment phases in the same vacuum environment. An inductively coupled plasma driver allows for interchangeable treatment chambers. Atomic force microscopy confirms that silane deposition on PDMS gives much better surface quality than standard deposition methods, which yield a higher local roughness and pronounced irregularities in the surface.

  7. The Impact of Plasma Treatment of Cercon® Zirconia Ceramics on Adhesion to Resin Composite Cements and Surface Properties.

    Science.gov (United States)

    Tabari, Kasra; Hosseinpour, Sepanta; Mohammad-Rahimi, Hossein

    2017-01-01

    Introduction: In recent years, the use of ceramic base zirconia is considered in dentistry for all ceramic restorations because of its chemical stability, biocompatibility, and good compressive as well as flexural strength. However, due to its chemical stability, there is a challenge with dental bonding. Several studies have been done to improve zirconia bonding but they are not reliable. The purpose of this research is to study the effect of plasma treatment on bonding strength of zirconia. Methods: In this in vitro study, 180 zirconia discs' (thickness was 0.85-0.9 mm) surfaces were processed with plasma of oxygen, argon, air and oxygen-argon combination with 90-10 and 80-20 ratio (n=30 for each group) after being polished by sandblast. Surface modifications were assessed by measuring the contact angle, surface roughness, and topographical evaluations. Cylindrical Panavia f2 resin-cement and Diafill were used for microshear strength bond measurements. The data analysis was performed by SPSS 20.0 software and one-way analysis of variance (ANOVA) and Tukey test as the post hoc. Results: Plasma treatment in all groups significantly reduces contact angle compare with control ( P =0.001). Topographic evaluations revealed coarseness promotion occurred in all plasma treated groups which was significant when compared to control ( P <0.05), except argon plasma treated group that significantly decreased surface roughness ( P <0.05). In all treated groups, microshear bond strength increased, except oxygen treated plasma group which decreased this strength. Air and argon-oxygen combination (both groups) significantly increased microshear bond strength ( P <0.05). Conclusion: According to this research, plasmatic processing with dielectric barrier method in atmospheric pressure can increase zirconia bonding strength.

  8. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  9. Plasma treatment for influence of cold in different phases of formation of calcium phosphate on the surface of nanocomposite Al_2O_3/ZrO_2

    International Nuclear Information System (INIS)

    Santos, K.H.; Ferreira, J.A.; Osiro, D.; Nascimento, L.I.S.; Pallone, E.M.J.A.; Alves Junior, C.

    2016-01-01

    Among the different techniques used in surface treatment of biomaterials, the plasma has been noted for its ability to promote changes in surface roughness of the treated material. The objective of this study was to evaluate the influence of treatment by plasma in the formation of calcium phosphate nanocomposite on the surface of Al2O3/ZrO2 (5% by vol.). For this, samples were formed, calcined, sintered, surface treated and coated biomimeticamente plasma for 14 days. The surface characterization was performed by confocal microscopy and spectroscopy, Fourier transform infrared (FTIR). After coating, the samples were characterized by FTIR and X-ray diffraction X-ray (XRD). It was observed that the treatments improved surface roughness. Furthermore, regardless of the surface treatment were observed only three phases of calcium phosphates: HA α -TCP and -β-TCP. It is worth noting that depending on the composition, there are variations in the amount of phosphates, as well as the percentages of the different phases. (author)

  10. Plasma treatment of heat-resistant materials

    International Nuclear Information System (INIS)

    Vlasov, V A; Kosmachev, P V; Skripnikova, N K; Bezukhov, K A

    2015-01-01

    Refractory lining of thermal generating units is exposed to chemical, thermal, and mechanical attacks. The degree of fracture of heat-resistant materials depends on the chemical medium composition, the process temperature and the material porosity. As is known, a shortterm exposure of the surface to low-temperature plasma (LTP) makes possible to create specific coatings that can improve the properties of workpieces. The aim of this work is to produce the protective coating on heat-resistant chamotte products using the LTP technique. Experiments have shown that plasma treatment of chamotte products modifies the surface, and a glass-ceramic coating enriched in mullite is formed providing the improvement of heat resistance. For increasing heat resistance of chamotte refractories, pastes comprising mixtures of Bacor, alumina oxide, and chamot were applied to their surfaces in different ratios. It is proved that the appropriate coating cannot be created if only one of heat-resistant components is used. The required coatings that can be used and recommended for practical applications are obtained only with the introduction of powder chamot. The paste composition of 50% chamot, 25% Bacor, and 25% alumina oxide exposed to plasma treatment, has demonstrated the most uniform surface fusion. (paper)

  11. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  12. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  13. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  14. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  15. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Science.gov (United States)

    Kan, C. W.; Kwong, C. H.; Ng, S. P.

    2015-08-01

    Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  16. Surface tailoring of newly developed amorphous Znsbnd Sisbnd O thin films as electron injection/transport layer by plasma treatment: Application to inverted OLEDs and hybrid solar cells

    Science.gov (United States)

    Yang, Hongsheng; Kim, Junghwan; Yamamoto, Koji; Xing, Xing; Hosono, Hideo

    2018-03-01

    We report a unique amorphous oxide semiconductor Znsbnd Sisbnd O (a-ZSO) which has a small work function of 3.4 eV for as-deposited films. The surface modification of a-ZSO thin films by plasma treatments is examined to apply it to the electron injection/transport layer of organic devices. It turns out that the energy alignment and exciton dissociation efficiency at a-ZSO/organic semiconductor interface significantly changes by choosing different gas (oxygen or argon) for plasma treatments (after a-ZSO was exposed to atmospheric environment for 5 days). In situ ultraviolet photoelectron spectroscopy (UPS) measurement reveals that the work function of a-ZSO is increased to 4.0 eV after an O2-plasma treatment, while the work function of 3.5 eV is recovered after an Ar-plasma treatment which indicates this treatment is effective for surface cleaning. To study the effects of surface treatments to device performance, OLEDs and hybrid polymer solar cells with O2-plasma or Ar-plasma treated a-ZSO are compared. Effects of these surface treatments on performance of inverted OLEDs and hybrid polymer solar cells are examined. Ar-plasma treated a-ZSO works well as the electron injection layer in inverted OLEDs (Alq3/a-ZSO) because the injection barrier is small (∼ 0.1 eV). On the other hands, O2-plasma treated a-ZSO is more suitable for application to hybrid solar cells which is benefiting from higher exciton dissociation efficiency at polymer (P3HT)/ZSO interface.

  17. Cleaning of niobium surface by plasma of diffuse discharge at atmospheric pressure

    Science.gov (United States)

    Tarasenko, V. F.; Erofeev, M. V.; Shulepov, M. A.; Ripenko, V. S.

    2017-07-01

    Elements composition of niobium surface before and after plasma treatment by runaway electron preionized diffuse discharge was investigated in atmospheric pressure nitrogen flow by means of an Auger electron spectroscopy. Surface characterizations obtained from Auger spectra show that plasma treatment by diffuse discharge after exposure of 120000 pulses provides ultrafine surface cleaning from carbon contamination. Moreover, the surface free energy of the treated specimens increased up to 3 times, that improve its adhesion property.

  18. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O_2/H_2O low-temperature plasma treatment

    International Nuclear Information System (INIS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    Graphical abstract: - Highlights: • O_2/H_2O can increase oxygen concentration in the plasma compared to the pure O_2 atmosphere. • Pores at the surface of natural leather became larger and deeper with enhanced permeability of water. • The initial water contact angle was about 21°. • Its preferable surface hydrophilicity kept for 3 days, which gives guidance for next process. • The elongation of the treated sample for 10 min was twice as large as that of the untreated sample. - Abstract: The natural leather was modified through O_2/H_2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O_2/H_2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  19. Surface chemistry and fundamental limitations on the plasma cleaning of metals

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Bin, E-mail: bindong@my.unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Driver, M. Sky, E-mail: Marcus.Driver@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Emesh, Ismail, E-mail: Ismail_Emesh@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Shaviv, Roey, E-mail: Roey_Shaviv@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Kelber, Jeffry A., E-mail: Jeffry.Kelber@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States)

    2016-10-30

    Highlights: • O{sub 2}-free plasma treatment of air-exposed Co or Cu surfaces yields remnant C layers inert to further plasma cleaning. • The formation of the remnant C layer is graphitic (Cu) or carbidic (Co). • The formation of a remnant C layer is linked to plasma cleaning of a metal surface. - Abstract: In-situ X-ray photoelectron spectroscopy (XPS) studies reveal that plasma cleaning of air-exposed Co or Cu transition metal surfaces results in the formation of a remnant C film 1–3 monolayers thick, which is not reduced upon extensive further plasma exposure. This effect is observed for H{sub 2} or NH{sub 3} plasma cleaning of Co, and He or NH{sub 3} plasma cleaning of Cu, and is observed with both inductively coupled (ICP) and capacitively-coupled plasma (CCP). Changes in C 1 s XPS spectra indicate that this remnant film formation is accompanied by the formation of carbidic C on Co and of graphitic C on Cu. This is in contrast to published work showing no such remnant carbidic/carbon layer after similar treatments of Si oxynitride surfaces. The observation of the remnant carbidic C film on Co and graphitic film on Cu, but not on silicon oxynitride (SiO{sub x}N{sub y}), regardless of plasma chemistry or type, indicates that this effect is due to plasma induced secondary electron emission from the metal surface, resulting in transformation of sp{sup 3} adventitious C to either a metal carbide or graphite. These results suggest fundamental limitations to plasma-based surface cleaning procedures on metal surfaces.

  20. Tailoring properties of reduced graphene oxide by oxygen plasma treatment

    Science.gov (United States)

    Kondratowicz, Izabela; Nadolska, Małgorzata; Şahin, Samet; Łapiński, Marcin; Prześniak-Welenc, Marta; Sawczak, Mirosław; Yu, Eileen H.; Sadowski, Wojciech; Żelechowska, Kamila

    2018-05-01

    We report an easily controllable, eco-friendly method for tailoring the properties of reduced graphene oxide (rGO) by means of oxygen plasma. The effect of oxygen plasma treatment time (1, 5 and 10 min) on the surface properties of rGO was evaluated. Physicochemical characterization using microscopic, spectroscopic and thermal techniques was performed. The results revealed that different oxygen-containing groups (e.g. carboxyl, hydroxyl) were introduced on the rGO surface enhancing its wettability. Furthermore, upon longer treatment time, other functionalities were created (e.g. quinones, lactones). Moreover, external surface of rGO was partially etched resulting in an increase of the material surface area and porosity. Finally, the oxygen plasma-treated rGO electrodes with bilirubin oxidase were tested for oxygen reduction reaction. The study showed that rGO treated for 10 min exhibited twofold higher current density than untreated rGO. The oxygen plasma treatment may improve the enzyme adsorption on rGO electrodes by introduction of oxygen moieties and increasing the porosity.

  1. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  2. Investigation of Plasma Treatment on Micro-Injection Moulded Microneedle for Drug Delivery

    Directory of Open Access Journals (Sweden)

    Karthik Nair

    2015-10-01

    Full Text Available Plasma technology has been widely used to increase the surface energy of the polymer surfaces for many industrial applications; in particular to increase in wettability. The present work was carried out to investigate how surface modification using plasma treatment modifies the surface energy of micro-injection moulded microneedles and its influence on drug delivery. Microneedles of polyether ether ketone and polycarbonate and have been manufactured using micro-injection moulding and samples from each production batch have been subsequently subjected to a range of plasma treatment. These samples were coated with bovine serum albumin to study the protein adsorption on these treated polymer surfaces. Sample surfaces structures, before and after treatment, were studied using atomic force microscope and surface energies have been obtained using contact angle measurement and calculated using the Owens-Wendt theory. Adsorption performance of bovine serum albumin and release kinetics for each sample set was assessed using a Franz diffusion cell. Results indicate that plasma treatment significantly increases the surface energy and roughness of the microneedles resulting in better adsorption and release of BSA.

  3. Development of an Organosilicon-Based Superhydrophobic/Icephobic Surface Using an Atmospheric Pressure Plasma Jet =

    Science.gov (United States)

    Asadollahi, Siavash

    During the past few decades, plasma-based surface treatment methods have gained a lot of interest in various applications such as thin film deposition, surface etching, surface activation and/or cleaning, etc. Generally, in plasma-based surface treatment methods, high-energy plasma-generated species are utilized to modify the surface structure or the chemical composition of a substrate. Unique physical and chemical characteristics of the plasma along with the high controllability of the process makes plasma treatment approaches very attractive in several industries. Plasma-based treatment methods are currently being used or investigated for a number of practical applications, such as adhesion promotion in auto industry, wound management and cancer treatment in biomedical industry, and coating development in aerospace industry. In this study, a two-step procedure is proposed for the development of superhydrophobic/icephobic coatings based on atmospheric-pressure plasma treatment of aluminum substrates using air and nitrogen plasma. The effects of plasma parameters on various surface properties are studied in order to identify the optimum conditions for maximum coating efficiency against icing and wetting. In the first step, the interactions between air or nitrogen plasma and the aluminum surface are studied. It is shown that by reducing jet-to-substrate distance, air plasma treatment, unlike nitrogen plasma treatment, is capable of creating micro-porous micro-roughened structures on the surface, some of which bear a significant resemblance to the features observed in laser ablation of metals with short and ultra-short laser pulses. The formation of such structures in plasma treatment is attributed to a transportation of energy from the jet to the surface over a very short period of time, in the range of picoseconds to microseconds. This energy transfer is shown to occur through a streamer discharge from the rotating arc source in the jet body to a close proximity of

  4. UV excimer laser and low temperature plasma treatments of polyamide materials

    Science.gov (United States)

    Yip, Yiu Wan Joanne

    Polyamides have found widespread application in various industrial sectors, for example, they are used in apparel, home furnishings and similar uses. However, the requirements for high quality performance products are continually increasing and these promote a variety of surface treatments for polymer modification. UV excimer laser and low temperature plasma treatments are ideally suited for polyamide modification because they can change the physical and chemical properties of the material without affecting its bulk features. This project aimed to study the modification of polyamides by UV excimer laser irradiation and low temperature plasma treatment. The morphological changes in the resulting samples were analysed by scanning electron microscopy (SEM) and tapping mode atomic force microscopy (TM-AFM). The chemical modifications were studied by x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (ToF-SIMS) and chemical force microscopy (CFM). Change in degree of crystallinity was examined by differential scanning calorimetry (DSC). After high-fluence laser irradiation, topographical results showed that ripples of micrometer size form on the fibre surface. By contrast, sub-micrometer size structures form on the polyamide surface when the applied laser energy is well below its ablation threshold. After high-fluence laser irradiation, chemical studies showed that the surface oxygen content of polyamide is reduced. A reverse result is obtained with low-fluence treatment. The DSC result showed no significant change in degree of crystallinity in either high-fluence or low-fluence treated samples. The same modifications in polyamide surfaces were studied after low temperature plasma treatment with oxygen, argon or tetrafluoromethane gas. The most significant result was that the surface oxygen content of polyamide increased after oxygen and argon plasma treatments. Both treatments induced many hydroxyl (-OH) and carboxylic acid (-COOH

  5. Surface characterization of alloy Ti-6Al-7Nb treated plasma

    International Nuclear Information System (INIS)

    Moura, J.K.L.; Macedo, H.R.A.; Brito, E.M.; Brandim, A.S.

    2014-01-01

    Plasma surface modifications are subject of numerous studies to improve the quality of a given material. Titanium and its alloys are widely used in biomedical applications and plasma treatment technique is increasingly used to improve the surface properties thereof. The research have a objective in the comparative analysis of the change in microstructure of Ti-6Al-7Nb alloys after treatment of plasma nitriding. The technical are: nitriding with cathode cage (NGC) and planar discharge. The characterization was obtained by MEV (Scanning Electronic Microscope) and hardness. The results was compared about the better surface modification that meets future prospects of the biocompatibility of the alloy.(author)

  6. Surface wettability and energy effects on the biological performance of poly-3-hydroxybutyrate films treated with RF plasma

    Energy Technology Data Exchange (ETDEWEB)

    Syromotina, D.S. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Surmenev, R.A., E-mail: rsurmenev@gmail.com [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Surmeneva, M.A. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Boyandin, A.N.; Nikolaeva, E.D. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation); Prymak, O.; Epple, M. [Inorganic Chemistry and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45117 Essen (Germany); Ulbricht, M. [Technical Chemistry II and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45141 Essen (Germany); Oehr, C. [Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Volova, T.G. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation)

    2016-05-01

    The surface properties of poly-3-hydroxybutyrate (P3HB) membranes were modified using oxygen and an ammonia radio-frequency (RF, 13.56 MHz) plasma. The plasma treatment procedures used in the study only affected the surface properties, including surface topography, without inducing any significant changes in the crystalline structure of the polymer, with the exception being a power level of 250 W. The wettability of the modified P3HB surfaces was significantly increased after the plasma treatment, irrespective of the treatment procedure used. It was revealed that both surface chemistry and surface roughness changes caused by the plasma treatment affected surface wettability. A treatment-induced surface aging effect was observed and resulted in an increase in the water contact angle and a decrease in the surface free energy. However, the difference in the water contact angle between the polymers that had been treated for 4 weeks and the untreated polymer surfaces was still significant. A dependence between cell adhesion and proliferation and the polar component of the surface energy was revealed. The increase in the polar component after the ammonia plasma modification significantly increased cell adhesion and proliferation on biodegradable polymer surfaces compared to the untreated P3HB and the P3HB modified using an oxygen plasma. - Highlights: • Plasma treatment affected the topography of poly(3-hydroxybutyrate) (P3HB). • Plasma treatment resulted in improvement of the surface wettability. • No alteration of the bulk properties of the polymers was observed. • The ammonia plasma treatment at 150 W improved the cell adhesion and proliferation.

  7. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  8. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  9. Apparent Surface Free Energy of Polymer/Paper Composite Material Treated by Air Plasma

    Directory of Open Access Journals (Sweden)

    Konrad Terpiłowski

    2017-01-01

    Full Text Available Surface plasma treatment consists in changes of surface properties without changing internal properties. In this paper composite polymer/paper material is used for production of packaging in cosmetic industry. There are problems with bonding this material at the time of packaging production due to its properties. Composite surface was treated by air plasma for 1, 10, 20, and 30 s. The advancing and receding contact angles of water, formamide, and diiodomethane were measured using both treated and untreated samples. Apparent surface free energy was estimated using the hysteresis (CAH and Van Oss, Good, Chaudhury approaches (LWAB. Surface roughness was investigated using optical profilometry and identification of after plasma treatment emerging chemical groups was made by means of the XPS (X-ray photoelectron spectroscopy technique. After plasma treatment the values of contact angles decreased which is particularly evident for polar liquids. Apparent surface free energy increased compared to that of untreated samples. Changes of energy value are due to the electron-donor parameter of energy. This parameter increases as a result of adding polar groups at the time of surface plasma activation. Changes of surface properties are combination of increase of polar chemical functional groups, increase on the surface, and surface roughness increase.

  10. Surface Modification of Metals using Plasma Torch

    International Nuclear Information System (INIS)

    Hassan, A.

    2009-01-01

    Low temperature plasma nitriding of 304L stainless steel is performed using a home made low power direct-current plasma torch. Plasma nitriding is carried out in temperature range of 300-550 degree C for 1 to 4 hours, in various N 2 H 2 gas mixture ratios at about 5 Torr pressure and torch power 300 Watts. The effect of treatment time, temperature and working gas composition on the microstructure and mechanical properties of plasma nitrided surface layers is investigated. The microstructure, phase composition and micro hardness profile of the nitrided surface layers are characterized by optical microscopy, scanning electron microscope (SEM), X-ray diffraction (XRD) and Vickers micro hardness tester. The results show that plasma treatment for 14 h over a temperature range of 300 - 550 degree C yields nitride case depth of 20 - 50 μm and the hardness of the nitrided layer is in the range of 700-1250 HV. Plasma nitriding of stainless steel samples at about 475 degree C in 70 % of nitrogen admixed with hydrogen at 5 torr shows the maximum increase of hardness 1220 HV which is about four times that of untreated layers. The XRD pattern confirmed the formation of an expanded austenite .N phase, due to the nitrogen incorporation into original lattice and forms supersaturated face center cubic phase. In addition preliminary results for aluminum nitriding is also shown

  11. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  12. Formation of hydrophobic coating on glass surface using atmospheric pressure non-thermal plasma in ambient air

    International Nuclear Information System (INIS)

    Fang, Z; Qiu, Y; Kuffel, E

    2004-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in material surface processing because of their convenience, effectiveness and low cost. In this paper, the treatment of a glass surface for improving hydrophobicity using a non-thermal plasma generated by a dielectric barrier corona discharge (DBCD) with a needle array-to-plane electrode arrangement in atmospheric air is conducted, and the surface properties of the glass before and after the DBCD treatment are studied using contact angle measurement, surface resistance measurement and the wet flashover voltage test. The effects of the plasma dose (the product of average discharge power and treatment time) of DBCD on the surface modification are studied, and the mechanism of interaction between the plasma and glass surface is discussed. It is found that a layer of hydrophobic coating is formed on the glass surface through DBCD treatment, and the improvement of hydrophobicity depends on the plasma dose of the DBCD. It seems that there is an optimum plasma dose for the surface treatment. The test results of thermal ageing and chemical ageing show that the hydrophobic layer has quite stable characteristics

  13. Surface characterization of plasma treated polymers for applications as biocompatible carriers

    Directory of Open Access Journals (Sweden)

    L. Bacakova

    2013-06-01

    Full Text Available The objective of this work was to determine surface properties of polymer surfaces after plasma treatment with the aim of further cytocompatibility tests. Examined polymers were poly(ethyleneterephthalate (PET, high-density polyethylene (HDPE, poly(tetrafluoro-ethylene (PTFE and poly(L-lactic acid (PLLA. Goniometry has shown that the plasma treatment was immediately followed by a sharp decrease of contact angle of the surface. In the course of ageing the contact angle increased due to the reorientation of polar groups into the surface layer of polymer. Ablation of polymer surfaces was observed during the degradation. Decrease of weight of polymer samples was measured by gravimetry. Surface morphology and roughness was studied by atomic force microscopy (AFM. The PLLA samples exhibited saturation of wettability (aged surface after approximately 100 hours, while the PET and PTFE achieved constant values of contact angle after 336 hours. Irradiation by plasma leads to polymer ablation, the highest mass loss being observed for PLLA. The changes in the surface roughness and morphology were observed, a lamellar structure being induced on PTFE. Selected polymer samples were seeded with VSMC (vascular smooth muscle cells and the adhesion and proliferation of cells was studied. It was proved that certain combination of input treatment parameters led to improvement of polymer cytocompatibility. The plasma exposure was confirmed to significantly improve the PTFE biocompatibility.

  14. Fabrication of anti-adhesion surfaces on aluminium substrates of rubber plastic moulds using electrolysis plasma treatment

    Science.gov (United States)

    Meng, Jianbing; Dong, Xiaojuan; Wei, Xiuting; Yin, Zhanmin

    2015-04-01

    An anti-adhesion surface with a water contact angle of 167° was fabricated on aluminium samples of rubber plastic moulds by electrolysis plasma treatment using mixed electrolytes of C6H5O7(NH4)3 and Na2SO4, followed by fluorination. To optimise the fabrication conditions, several important processing parameters such as the discharge voltage, discharge time, concentrations of supporting electrolyte and stearic acid ethanol solution were examined systematically. Using scanning electron microscopy (SEM) to analyse surfaces morphology, micrometer scale pits, and protrusions were found on the surface, with numerous nanometer mastoids contained in the protrusions. These binary micro/nano-scale structures, which are similar to the micro-structures of soil-burrowing animals, play a critical role in achieving low adhesion properties. Otherwise, the anti-adhesion behaviours of the resulting samples were analysed by the atomic force microscope (AFM), Fourier-transform infrared spectrophotometer (FTIR), electrons probe micro-analyzer (EPMA), optical contact angle meter, digital Vickers microhardness (Hv) tester, and electronic universal testing. The results show that the electrolysis plasma treatment does not require complex processing parameters, using a simple device, and is an environment-friendly and effective method. Under the optimised conditions, the contact angle (CA) for the modified anti-adhesion surface is up to 167°, the sliding angle (SA) is less than 2°, roughness of the sample surface is only 0.409μm. Moreover, the adhesion force and Hv are 0. 9KN and 385, respectively.

  15. Fabrication of anti-adhesion surfaces on aluminium substrates of rubber plastic moulds using electrolysis plasma treatment

    Directory of Open Access Journals (Sweden)

    Jianbing Meng

    2015-04-01

    Full Text Available An anti-adhesion surface with a water contact angle of 167° was fabricated on aluminium samples of rubber plastic moulds by electrolysis plasma treatment using mixed electrolytes of C6H5O7(NH43 and Na2SO4, followed by fluorination. To optimise the fabrication conditions, several important processing parameters such as the discharge voltage, discharge time, concentrations of supporting electrolyte and stearic acid ethanol solution were examined systematically. Using scanning electron microscopy (SEM to analyse surfaces morphology, micrometer scale pits, and protrusions were found on the surface, with numerous nanometer mastoids contained in the protrusions. These binary micro/nano-scale structures, which are similar to the micro-structures of soil-burrowing animals, play a critical role in achieving low adhesion properties. Otherwise, the anti-adhesion behaviours of the resulting samples were analysed by the atomic force microscope (AFM, Fourier-transform infrared spectrophotometer (FTIR, electrons probe micro-analyzer (EPMA, optical contact angle meter, digital Vickers microhardness (Hv tester, and electronic universal testing. The results show that the electrolysis plasma treatment does not require complex processing parameters, using a simple device, and is an environment-friendly and effective method. Under the optimised conditions, the contact angle (CA for the modified anti-adhesion surface is up to 167°, the sliding angle (SA is less than 2°, roughness of the sample surface is only 0.409μm. Moreover, the adhesion force and Hv are 0. 9KN and 385, respectively.

  16. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  17. Atmospheric pressure plasma jet's characterization and surface wettability driven by neon transformer

    Science.gov (United States)

    Elfa, R. R.; Nafarizal, N.; Ahmad, M. K.; Sahdan, M. Z.; Soon, C. F.

    2017-03-01

    Atmospheric pressure plasma driven by Neon transformer power supply argon is presented in this paper. Atmospheric pressure plasma system has attracted researcher interest over low pressure plasma as it provides a flexibility process, cost-efficient, portable device and vacuum-free device. Besides, another golden key of this system is the wide promising application in the field of work cover from industrial and engineering to medical. However, there are still numbers of fundamental investigation that are necessary such as device configuration, gas configuration and its effect. Dielectric barrier discharge which is also known as atmospheric pressure plasma discharge is created when there is gas ionization process occur which enhance the movement of atom and electron and provide energetic particles. These energetic particles can provide modification and cleaning property to the sample surface due to the bombardment of the high reactive ion and radicals to the sample surface. In order to develop atmospheric pressure plasma discharge, a high voltage and high frequency power supply is needed. In this work, we used a neon transformer power supply as the power supply. The flow of the Ar is feed into 10 mm cylinder quartz tube with different treatment time in order to investigate the effect of the plasma discharge. The analysis of each treatment time is presented by optical emission spectroscopy (OES) and water contact angle (WCA) measurement. The increase of gas treatment time shows increases intensity of reactive Ar and reduces the angle of water droplets in water contact angle. Treatment time of 20 s microslide glass surface shows that the plasma needle discharges have modified the sample surface from hydrophilic surface to superhydrophilic surface. Thus, this leads to another interesting application in reducing sample surface adhesion to optimize productivity in the industry of paintings, semiconductor and more.

  18. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  19. The Fracture of Plasma-Treated Polyurethane Surface under Fatigue Loading

    Directory of Open Access Journals (Sweden)

    Ilya A. Morozov

    2018-02-01

    Full Text Available Plasma treatment of soft polymers is a promising technique to improve biomedical properties of the materials. The response to the deformation of such materials is not yet clear. Soft elastic polyurethane treated with plasma immersion ion implantation is subjected to fatigue uniaxial loading. The influence of the strain amplitude and the plasma treatment regime on damage character is discussed. Surface defects are studied in unloaded and stretched states of the material. As a result of fatigue loading, transverse cracks (with closed overlapping edges as well as with open edges deeply propagating into the polymer and longitudinal folds which are break and bend inward, appear on the surface. Hard edges of cracks cut the soft polymer which is squeezed from the bulk to the surface. The observed damages are related to the high stiffness of the modified surface and its transition to the polymer substrate.

  20. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  1. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    Electron-ion-plasma treatment of materials and products, including surface clearing and activation, formation surface layers with changed chemical and phase structure, increased hardness and corrosion resistance; deposition of various functional coatings, has received a wide distribution in a science and industry. Widespread methods of ion-plasma modification of material and product surfaces are ion etching and activation, ion-plasma nitriding, arc or magnetron deposition of functional coatings, including nanostructured. The combination of above methods of surface modification allows essentially to improve exploitation properties of treated products and to optimize the characteristics of modified surfaces for concrete final requirements. For the purpose of a combination of various methods of ion-plasma treatment in a single work cycle at Institute of High Current Electronics of SB RAS (IHCE SB RAS) specialized technological equipment 'DUET', 'TRIO' and 'QUADRO' and 'KVINTA' have been developed. This equipment allow generating uniform low-temperature gas plasma at pressures of (0.1-1) Pa with concentration of (10 9 -10 11 ) cm -3 in volume of (0.1-1) m 3 . In the installations consistent realization of several various operations of materials and products treatment in a single work cycle is possible. The operations are preliminary heating and degassing, ion clearing, etching and activation of materials and products surface by plasma of arc discharges; chemicothermal treatment (nitriding) for formation of diffusion layer on a surface of treated sample using plasma of nonself-sustained low-pressure arc discharge; deposition of single- or multilayered superhard (≥40 GPa) nanocrystalline coatings on the basis of pure metals or their compounds (nitrides, carbides, carbonitrides) by the arc plasma-assisted method. For realization of the modes all installations are equipped by original sources of gas and metal plasma. Besides, in

  2. Surface modification of PTMSP membranes by plasma treatment: Asymmetry of transport in organic solvent nanofiltration.

    Science.gov (United States)

    Volkov, A V; Tsarkov, S E; Gilman, A B; Khotimsky, V S; Roldughin, V I; Volkov, V V

    2015-08-01

    For the first time, the effect of asymmetry of the membrane transport was studied for organic solvents and solutes upon their nanofiltration through the plasma-modified membranes based on poly(1-trimethylsilyl-1-propyne) (PTMSP). Plasma treatment is shown to provide a marked hydrophilization of the hydrophobic PTMSP surface (the contact angle of water decreases from 88 down to 20°) and leads to the development of a negative charge of -5.2 nC/cm(2). The XPS measurements prove the formation of the oxygen-containing groups (Si-O and C-O) due to the surface modification. The AFM images show that the small-scale surface roughness of the plasma-treated PTMSP sample is reduced but the large-scale surface heterogeneities become more pronounced. The modified membranes retain their hydrophilic surface properties even after the nanofiltration tests and 30-day storage under ambient conditions. The results of the filtration tests show that when the membrane is oriented so that its modified layer contacts the feed solution, the membrane permeability for linear alcohols (methanol-propanol) and acetone decreases nearly two times. When the modified membrane surface faces the permeate, the membrane is seen to regain its transport characteristics: the flux becomes equal to that of the unmodified PTMSP. The well-pronounced effect of the transport asymmetry is observed for the solution of the neutral dye Solvent Blue 35 in methanol, ethanol, and acetone. For example, the initial membrane shows the negative retention for the Solvent Blue 35 dye (-16%) upon its filtration from the ethanol solution whereas, for the modified PTMSP membrane, the retention increases up to 17%. Various effects contributing to the asymmetry of the membrane transport characteristics are discussed. Copyright © 2014 Elsevier B.V. All rights reserved.

  3. Development of bio/blood compatible polypropylene through low pressure nitrogen plasma surface modification

    International Nuclear Information System (INIS)

    Gomathi, N.; Rajasekar, R.; Babu, R. Rajesh; Mishra, Debasish; Neogi, S.

    2012-01-01

    Surface modification of polypropylene by nitrogen containing plasma was performed in this work in order to improve the wettability which resulted in enhanced biocompatibility and blood compatibility. Various nitrogen containing functional groups as well as oxygen containing functional groups were found to be incorporated to the polymer surface during plasma treatment and post plasma reaction respectively. Wettability of the polymers was evaluated by static contact angle measurement to show the improvement in hydrophilicity of plasma treated polypropylene. Cross linking and surface modification were reported to be dominating in the case of nitrogen plasma treatment compared to degradation. The effect of various process variables namely power, pressure, flow rate and treatment time on surface energy and weight loss was studied at various levels according to the central composite design of response surface methodology (RSM). Except pressure the other variables resulted in increased weight loss due to etching whereas with increasing pressure weight loss was found to increase and then decrease. The effect of process variables on surface morphology of polymers was evaluated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). Well spread fibroblast cells on nitrogen plasma treated polypropylene due to the presence of CO, NH 2+ and NH + was observed. Reduced platelet adhesion and increased partial thromboplastin time evidenced the increased blood compatibility. - Highlights: ► Improved biocompatibility and blood compatibility of polypropylene. ► Nitrogen plasma surface modification. ► Maintaining a balance between polar group incorporation and weight loss due to etching. ► Optimization of process conditions by response surface methodology.

  4. Plasma carburizing with surface micro-melting

    Science.gov (United States)

    Balanovsky, A. E.; Grechneva, M. V.; Van Huy, Vu; Ponomarev, B. B.

    2018-03-01

    This paper presents carburizing the surface of 20 low carbon steel using electric arc and graphite prior. A carbon black solution was prepared with graphite powder and sodium silicate in water. A detailed analysis of the phase structure and the distribution profile of the sample hardness after plasma treatment were given. The hardened layer consists of three different zones: 1 – the cemented layer (thin white zone) on the surface, 2 – heat-affected zone (darkly etching structure), 3 – the base metal. The experimental result shows that the various microstructures and micro-hardness profiles were produced depending on the type of graphite coating (percentage of liquid glass) and processing parameters. The experiment proved that the optimum content of liquid glass in graphite coating is 50–87.5%. If the amount of liquid glass is less than 50%, adhesion to metal is insufficient. If liquid glass content is more than 87.5%, carburization of a metal surface does not occur. A mixture of the eutectic lamellar structure, martensite and austenite was obtained by using graphite prior with 67% sodium silicate and the levels of the hardness layer increased to around 1000 HV. The thickness of the cemented layer formed on the surface was around 200 μm. It is hoped that this plasma surface carburizing treatment could improve the tribological resistance properties.

  5. Addition of MgO nanoparticles and plasma surface treatment of three-dimensional printed polycaprolactone/hydroxyapatite scaffolds for improving bone regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang; Lee, Chang-Min; Hwang, Young-Hyoun [Department of Dental Materials, School of Dentistry, Chosun University, Gwangju 61452 (Korea, Republic of); Kook, Min-Suk [Department of Oral & Maxillofacial Surgery, School of Dentistry, Chonnam National University, Gwangju 61186 (Korea, Republic of); Yang, Seong-Won [Department of Ophthalmology, College of Medicine, Chosun University, Gwangju 61452 (Korea, Republic of); Lee, Donghun [Department of Herbal Pharmacology, Kyung Hee University College of Korean Medicine, Seoul 130-701 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, Gwangju 61452 (Korea, Republic of)

    2017-05-01

    Magnesium (Mg) plays an important role in the body in mediating cell-extracellular matrix interactions and controlling bone apatite structure and density. Hydroxyapatite (HAp) has been used for osteoconductive bone replacement because of its good compressive strength and biocompatibility. The object of this study is to investigate the effects of adding Magnesium oxide (MgO) nanoparticles to polycaprolactone (PCL)/HAp composites and treating PCL/HAp/MgO scaffolds with oxygen and nitrogen plasma. The 3D PCL/HAp/MgO scaffolds were fabricated using a 3D bioextruder. PCL was mixed with 1–15 wt% of MgO and HAp. The scaffolds were treated with oxygen and nitrogen plasma under anisotropic etching conditions to improve the bioactivity. The plasma-treated surfaces were analyzed by X-ray photoelectron spectroscopy, scanning electron microscopy, and atomic force microscopy. In addition, the proliferation and differentiation of pre-osteoblast (MC3T3-E1) cells were examined by 3-(4,5-Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay and alkaline phosphatase activity. Cell mineralization within the produced scaffolds was analyzed by the quantification of alizarin stainings. The addition of MgO/HAp nanoparticles and plasma treatment enhanced the adhesion, proliferation, and differentiation of MC3T3-E1 cells in the PCL scaffolds. Hence, changes in physical surface morphology and surface chemical properties of the 3D scaffold by plasma treatment can affect the behavior of MC3T3-E1 cells. - Highlights: • 3D-printed PCL/HAp/MgO showed good porosity and interconnectivity. • O{sub 2} and N{sub 2} plasma improved the surface roughness and hydrophilicity on scaffolds. • Addition of HAp/MgO nanoparticles enhanced the cell behavior of preosteoblast.

  6. Fabrication of anti-adhesion surfaces on aluminium substrates of rubber plastic moulds using electrolysis plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Jianbing, E-mail: jianbingmeng@126.com; Dong, Xiaojuan; Wei, Xiuting; Yin, Zhanmin [School of Mechanical Engineering, Shandong University of Technology, Zibo, 255049 (China)

    2015-04-15

    An anti-adhesion surface with a water contact angle of 167° was fabricated on aluminium samples of rubber plastic moulds by electrolysis plasma treatment using mixed electrolytes of C{sub 6}H{sub 5}O{sub 7}(NH{sub 4}){sub 3} and Na{sub 2}SO{sub 4}, followed by fluorination. To optimise the fabrication conditions, several important processing parameters such as the discharge voltage, discharge time, concentrations of supporting electrolyte and stearic acid ethanol solution were examined systematically. Using scanning electron microscopy (SEM) to analyse surfaces morphology, micrometer scale pits, and protrusions were found on the surface, with numerous nanometer mastoids contained in the protrusions. These binary micro/nano-scale structures, which are similar to the micro-structures of soil-burrowing animals, play a critical role in achieving low adhesion properties. Otherwise, the anti-adhesion behaviours of the resulting samples were analysed by the atomic force microscope (AFM), Fourier-transform infrared spectrophotometer (FTIR), electrons probe micro-analyzer (EPMA), optical contact angle meter, digital Vickers microhardness (Hv) tester, and electronic universal testing. The results show that the electrolysis plasma treatment does not require complex processing parameters, using a simple device, and is an environment-friendly and effective method. Under the optimised conditions, the contact angle (CA) for the modified anti-adhesion surface is up to 167°, the sliding angle (SA) is less than 2°, roughness of the sample surface is only 0.409μm. Moreover, the adhesion force and H{sub v} are 0. 9KN and 385, respectively.

  7. Surface modification of cotton fabrics by gas plasmas for color strength and adhesion by inkjet ink printing

    International Nuclear Information System (INIS)

    Pransilp, Porntapin; Pruettiphap, Meshaya; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat; Kiatkamjornwong, Suda

    2016-01-01

    Graphical abstract: - Highlights: • Both O_2 and N_2 plasma increased cotton surface wettability and higher K/S. • SF6 plasma gave hydrophobicity on cotton surface and increased contact angle to 138°. • Plasma treatment on cotton fabric produced surface roughness. • XPS confirmed the generation of new functional groups on cotton fabric. • Wettability and surface roughness controlled K/S and good ink adhesion. - Abstract: Surface properties of cotton fabric were modified by three types of gas plasma pretreatment, namely, oxygen (O_2), nitrogen (N_2) and sulfur hexafluoride (SF_6), to improve ink absorption of water-based pigmented inkjet inks and color reproduction of the treated surfaces. Effects of gas plasma exposure parameters of power, exposure time and gas pressure on surface physical and chemical properties of the treated fabrics were investigated. XPS (X-ray photoelectron spectroscopy) was used to identify changes in functional groups on the fabric surface while AFM (atomic force microscopy) and SEM (scanning electron microscopy) were used to reveal surface topography of the fabric. Color spectroscopic technique was used to investigate changes in color strength caused by different absorptions of the printed fabrics. The O_2 plasma treatments produced new functional groups, −O−C−O/C=O and O−C=O while N_2 plasma treatments produced additionally new functional groups, C−N and O=C−NH, onto the fabric surface which increased hydrophilic properties and surface energy of the fabric. For cotton fabric treated with SF_6 plasma, the fluorine functionalization was additionally found on the surface. Color strength values (K/S) increased when compared with those of the untreated fabrics. SF_6 plasma-treated fabrics were hydrophobic and caused less ink absorption. Fabric surface roughness caused by plasma etching increased fabric surface areas, captured more ink, and enhanced a larger ink color gamut and ink adhesion. Cotton fabrics exhibited higher

  8. Plasma treatment of bulk niobium surface for superconducting rf cavities: Optimization of the experimental conditions on flat samples

    Directory of Open Access Journals (Sweden)

    M. Rašković

    2010-11-01

    Full Text Available Accelerator performance, in particular the average accelerating field and the cavity quality factor, depends on the physical and chemical characteristics of the superconducting radio-frequency (SRF cavity surface. Plasma based surface modification provides an excellent opportunity to eliminate nonsuperconductive pollutants in the penetration depth region and to remove the mechanically damaged surface layer, which improves the surface roughness. Here we show that the plasma treatment of bulk niobium (Nb presents an alternative surface preparation method to the commonly used buffered chemical polishing and electropolishing methods. We have optimized the experimental conditions in the microwave glow discharge system and their influence on the Nb removal rate on flat samples. We have achieved an etching rate of 1.7  μm/min⁡ using only 3% chlorine in the reactive mixture. Combining a fast etching step with a moderate one, we have improved the surface roughness without exposing the sample surface to the environment. We intend to apply the optimized experimental conditions to the preparation of single cell cavities, pursuing the improvement of their rf performance.

  9. Surface bioactivity modification of titanium by CO 2 plasma treatment and induction of hydroxyapatite: In vitro and in vivo studies

    Science.gov (United States)

    Hu, Xixue; Shen, Hong; Shuai, Kegang; Zhang, Enwei; Bai, Yanjie; Cheng, Yan; Xiong, Xiaoling; Wang, Shenguo; Fang, Jing; Wei, Shicheng

    2011-01-01

    Since metallic biomaterials used for orthopedic and dental implants possess a paucity of reactive functional groups, bioactivity modification of these materials is challenging. In the present work, the titanium discs and rods were treated with carbon dioxide plasma and then incubated in a modified simulated body fluid 1.5SBF to obtain a hydroxyapatite layer. Surface hydrophilicity of samples, changes of surface chemistry, surface morphologies of samples, and structural analysis of formed hydroxyapatite were investigated by contact angle to water, X-ray photoelectron spectrometer (XPS), scanning electron microscopy (SEM), Fourier transform infrared (FTIR) and X-ray diffraction (XRD). The results demonstrated that hydrophilicity of titanium surface was improved and hydroxyl groups increased after modification with carbon dioxide plasma treatment. The hydroxyl groups on the surface of titanium were the richest after carbon dioxide plasma treatment under the condition of 20 W for less than 30 s. The hydroxyapatite formability of titanium surface was enhanced by carbon dioxide plasma pretreatment, which was attributed to the surface chemistry. MC3T3-E1 cell as a model cell was cultured on the Ti, CPT-Ti and CPT/SBF-Ti discs in vitro, and the results of the morphology and differentiation of the cell showed that CPT/SBF-Ti was the highest bioactive. The relative parameters of the new bone around the Ti and CPT/SBF-Ti rods including bone mineral density (BMD), a ratio of bone volume to total volume (BV/TV), trabecular thickness (Tb.Th.) and trabecular number (Tb.N.) were analyzed by a micro-computed tomography (micro-CT) after 4-, 8- and 12-week implantation periods in vivo. The results indicated that the CPT/SBF-Ti was more advantageous for new bone formation.

  10. Plasma modification of polypropylene surfaces and its alloying with styrene in situ

    Energy Technology Data Exchange (ETDEWEB)

    Ma Guiqiu, E-mail: magq@tju.edu.cn [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China); Liu Ben; Li Chen; Huang Dinghai; Sheng Jing [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China)

    2012-01-15

    The treatment of polypropylene surfaces has been studied by dielectric barrier discharges plasma of Ar. The structure and morphology of polypropylene surfaces of Ar plasma modification are characterized by X-ray photoelectron spectroscopy, Fourier transform infrared spectrometers and scanning electron microscope. The modified by plasma treatment of iPP (isotactic polypropylene) surface properties have been examined in a determination of free radicals. The modified active surfaces of polypropylene can induce grafting copolymerization of styrene onto polypropylene. The structure of grafting copolymer is characterized and the grafting percent of styrene onto polypropylene is calculated. The homopolymer of styrene can be formed under grafting copolymerization of styrene onto polypropylene, which follows that the alloying of polypropylene with styrene is achieved in situ.

  11. Surface modification of polyimide (PI) film using water cathode atmospheric pressure glow discharge plasma

    International Nuclear Information System (INIS)

    Zheng Peichao; Liu Keming; Wang Jinmei; Dai Yu; Yu Bin; Zhou Xianju; Hao Honggang; Luo Yuan

    2012-01-01

    Highlights: ► Equipment called water cathode atmospheric pressure glow discharge was used to improve the hydrophilicity of polyimide films. ► The data shows good homogeneity and the variation trends of contact angles are different for polar and non-polar testing liquids. ► The thickness of liquid layer plays an important role in plasma processing and directly affects the treatment effect. ► Surface hydrophilicity after plasma treatment is improved partly due to the increase in the roughness. ► The hydrophilicity of polyimide films is still better than untreated ones after long-term storage. - Abstract: The industrial use of polyimide film is limited because of undesirable properties such as poor wettability. In the present paper, a new kind of equipment called water cathode atmospheric pressure glow discharge was used to improve the surface properties of polyimide films and made them useful to technical applications. The changes in hydrophilicity of modified polyimide film surfaces were investigated by contact angle, surface energy and water content measurements as a function of treatment time. The results obtained show good treatment homogeneity and that the variation trends of contact angles are different for polar and non-polar testing liquids, while surface energy and water content are significantly enhanced with the increase of treatment time until they achieve saturated values after 60 s plasma treatment. Also, the thickness of liquid layer plays an important role in plasma processing and directly affects the treatment effect. Changes in morphology of polyimide films were analyzed by atomic force microscope and the results indicate that surface hydrophilicity after plasma treatment are improved partly due to the increase in the roughness. In addition, polyimide films treated by plasma are subjected to an ageing process to determine the durability of plasma treatment. It is found that the hydrophilicity is still better than untreated ones though the

  12. Study on the surface of fluorosilicone acrylate RGP contact lens treated by low-temperature nitrogen plasma

    International Nuclear Information System (INIS)

    Ren Li; Yin Shiheng; Zhao Lianna; Wang Yingjun; Chen Hao; Qu Jia

    2008-01-01

    In order to improve the surface hydrophilicity of fluorosilicone acrylate rigid gas permeable (RGP) contact lens, low temperature nitrogen plasma was used to modify the lens surface. Effects of plasma conditions on the surface structures and properties were investigated. Results indicated that the surface hydrophilicity of RGP contact lens was significantly improved after treatment. X-ray photoelectron spectroscopy (XPS) results showed that the nitrogen element was successfully incorporated into the surface. Furthermore, some new bonds such as N-C=O, F - and silicate were formed on the lens surface after nitrogen plasma treatment, which could result in the improvement of the surface hydrophilicity. Scanning electronic microscope (SEM) results indicated that nitrogen plasma with moderate power could make the surface smoother in some degree, while plasma with higher power could etch the surface

  13. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    Science.gov (United States)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu; Gong, Lijun; He, Wei

    2017-07-01

    The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O2sbnd CF4 low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of Csbnd O, Osbnd Cdbnd O, Cdbnd O and sbnd NO2 by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  14. [Effects of different surface treatments on the zirconia-resin cement bond strength].

    Science.gov (United States)

    Liao, Y; Liu, X Q; Chen, L; Zhou, J F; Tan, J G

    2018-02-18

    To evaluate the effects of different surface treatments on the shear bond strength between zirconia and resin cement. Forty zirconia discs were randomly divided into four groups (10 discs in each group) for different surface treatments: control, no surface treatment; sandblast, applied air abrasion with aluminum oxide particles; ultraviolet (UV), the zirconia sample was placed in the UV sterilizer at the bottom of the UV lamp at 10 mm, and irradiated for 48 h; cold plasma, the discs were put in the cold plasma cabinet with the cold plasma generated from the gas of He for 30 s. Specimens of all the groups were surface treated prior to cementation with Panavia F 2.0 cement. The surface morphology and contact angle of water were measured. The shear bond strengths were tested and the failure modes were examined with a stereomicroscope. Surface morphology showed no difference between the UV/cold plasma group and the control group. Sandblasted zirconia displayed an overall heterogeneous distribution of micropores. The contact angle of the control group was 64.1°±2.0°. After sandblasting, UV irradiation and cold plasma exposure, the values significantly decreased to 48.8°±2.6°, 27.1°±3.6° and 32.0°±3.3°. The values of shear bond strength of the specimens with sandblasted (14.82±2.01) MPa were higher than those with no treatment (9.41±1.07) MPa with statistically significant difference (Pbond strength of the specimens with UV irradiation (10.02±0.64) MPa were higher than those with no treatment (9.41±1.07) MPa, but without statistically significant difference (P>0.05). The values of cold plasma group (18.34±3.05) MPa were significantly higher than those of control group (9.41±1.07) MPa, even more than those with sandblast(14.82±2.01) MPa (PUV and cold plasma treatment. The surface C/O ratio also decreased after UV and cold plasma treatment. Zirconia specimens treated with UV and cold plasma could significantly improve the hydrophilicity. The surface

  15. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O{sub 2}/H{sub 2}O low-temperature plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    You, Xuewei; Gou, Li, E-mail: gouli@scu.edu.cn; Tong, Xingye

    2016-01-01

    Graphical abstract: - Highlights: • O{sub 2}/H{sub 2}O can increase oxygen concentration in the plasma compared to the pure O{sub 2} atmosphere. • Pores at the surface of natural leather became larger and deeper with enhanced permeability of water. • The initial water contact angle was about 21°. • Its preferable surface hydrophilicity kept for 3 days, which gives guidance for next process. • The elongation of the treated sample for 10 min was twice as large as that of the untreated sample. - Abstract: The natural leather was modified through O{sub 2}/H{sub 2}O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O{sub 2}/H{sub 2}O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  16. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    Science.gov (United States)

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  17. Low Pressure DC Glow Discharge Air Plasma Surface Treatment of Polyethylene (PE) Film for Improvement of Adhesive Properties

    International Nuclear Information System (INIS)

    Pandiyaraj, Krishnasamy Navaneetha; Yoganand, Paramasivam; Selvarajan, Vengatasamy; Deshmukh, Rajendrasing R.; Balasubramanian, Suresh; Maruthamuthu, Sundaram

    2013-01-01

    The present work deals with the change in surface properties of polyethylene (PE) film using DC low pressure glow discharge air plasma and makes it useful for technical applications. The change in hydrophilicity of the modified PE film surface was investigated by measuring contact angle and surface energy as a function of exposure time. Changes in the morphological and chemical composition of PE films were analyzed by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The improvement in adhesion was studied by measuring T-peel and lap-shear strength. The results show that the wettability and surface energy of the PE film has been improved due to the introduction of oxygen-containing polar groups and an increase in surface roughness. The XPS result clearly shows the increase in concentration of oxygen content and the formation of polar groups on the polymer surface. The AFM observation on PE film shows that the roughness of the surface increased due to plasma treatment. The above morphological and chemical changes enhanced the adhesive properties of the PE film surfaces, which was confirmed by T-peel and lap-shear tests.

  18. Surface modification of polyacrylonitrile co-polymer membranes using pulsed direct current nitrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Pal, Dipankar; Neogi, Sudarsan; De, Sirshendu, E-mail: sde@che.iitkgp.ernet.in

    2015-12-31

    Low temperature plasma treatment using pulsed direct current discharge of nitrogen gas was employed to enhance hydrophilicity of the polyacrylonitrile co-polymer membranes. The membranes were characterized in terms of morphology, structure, hydrophilicity, and membrane performance. Properties and functional groups on the surface of polyacrylonitrile co-polymer membranes were investigated by contact angle, scanning electron microscopy, Fourier transform infrared and X-ray photoelectron spectroscopy. Effects of plasma conditions, namely, pulsed voltage, duty cycle and treatment time on increase in membrane hydrophilicity were studied. Permeability of treated membrane was increased by 47% and it was retained up to 70 days. Surface etching due to plasma treatment was confirmed by weight loss of the treated membranes. Due to surface etching, average pore size increased and rejection of 200 kDa polyethylene glycol decreased to about 70% for the treated membrane. Oxygen and nitrogen functional groups were responsible for surface hydrophilicity. - Highlights: • Surface modification of polyacrylonitrile co-polymer membranes by pulsed direct current nitrogen plasma • Hydrophilic functional groups incorporated on the membrane surface • Significant enhancement of the permeability and wettability of the membranes • Water contact angle increased with storage time and finally stabilized.

  19. Surface modification of polyacrylonitrile co-polymer membranes using pulsed direct current nitrogen plasma

    International Nuclear Information System (INIS)

    Pal, Dipankar; Neogi, Sudarsan; De, Sirshendu

    2015-01-01

    Low temperature plasma treatment using pulsed direct current discharge of nitrogen gas was employed to enhance hydrophilicity of the polyacrylonitrile co-polymer membranes. The membranes were characterized in terms of morphology, structure, hydrophilicity, and membrane performance. Properties and functional groups on the surface of polyacrylonitrile co-polymer membranes were investigated by contact angle, scanning electron microscopy, Fourier transform infrared and X-ray photoelectron spectroscopy. Effects of plasma conditions, namely, pulsed voltage, duty cycle and treatment time on increase in membrane hydrophilicity were studied. Permeability of treated membrane was increased by 47% and it was retained up to 70 days. Surface etching due to plasma treatment was confirmed by weight loss of the treated membranes. Due to surface etching, average pore size increased and rejection of 200 kDa polyethylene glycol decreased to about 70% for the treated membrane. Oxygen and nitrogen functional groups were responsible for surface hydrophilicity. - Highlights: • Surface modification of polyacrylonitrile co-polymer membranes by pulsed direct current nitrogen plasma • Hydrophilic functional groups incorporated on the membrane surface • Significant enhancement of the permeability and wettability of the membranes • Water contact angle increased with storage time and finally stabilized.

  20. Effect of plasma treatment (He/CH4) on glass surface for the reduction of powder flux adhesion in the spray drying process

    Science.gov (United States)

    Ramlan, Nadiah; Zamri, Nazirah Wahidah Mohd; Maskat, Mohd Yusof; Hoong, Chin Oi; Theng, Lau Yen; Zubairi, Saiful Irwan

    2018-04-01

    A 50Hz glow discharge He/CH4 plasma was generated and applied for the modification of glass surface to reduce powder adhesion on the wall of spray dryer. The hydrophobicity of the glass samples determined by the water droplet contact angle and adhesion weight on glass, dependent on the CH4 flow rate and plasma exposure time. There was a peak that appeared at 1470 cm-1 on the surface of treated glass indicating the presence of CH3 groups from ATR-FTIR data. Surface morphology analysis using scanning electron microscopy (SEM) showed changes of roughness in the surface-treated glass. The presence of alkyl group (CH3) that deposited on the glass surface is one of the factors that contribute to the increase in the surface roughness. The surface roughness will reflect the value of contact angle where hydrophobic surface are rougher compared to hydrophilic surface. The plasma treatment could enhance the value of the contact angle and thus reduced the adhesion on the spray dryer glass surface.

  1. Surface resistivity measurement of plasma treated polymers

    International Nuclear Information System (INIS)

    Simon, D.; Pigram, P.J.; Liesegang, J.

    2000-01-01

    Full text: Resistivity of insulators is an important property of materials used within the integrated circuit and packaging industries. The measurement of electrical resistivity of insulator materials in the surface region in this work is interpreted through observations of surface charge decay. A self-field driven and diffusion charge transport theory is used to model the process and resistivity values obtained computationally. Data for the charge decay of surface charged samples are collected by suspending them inside a coaxial cylinder connected to an electrometer. Samples used have been low density polyethylene LDPE sheet, both pristine and surface treated. Some samples have been treated by air plasma at low vacuum pressures for different periods of time; others have been washed in ethyl acetate and then plasma treated before the resistivity measurement. The sets of resistivity measurements form the various treatments are compared below. X-ray photoelectron spectroscopy (XPS) has also been used to investigate and account for the observed variations in surface resistivity

  2. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  3. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chunming, E-mail: zcm1229@126.com [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Sunvim Grp Co Ltd, Gaomi 261500 (China); Zhao, Meihua; Wang, Libing; Qu, Lijun [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Men, Yajing [Sunvim Grp Co Ltd, Gaomi 261500 (China)

    2017-04-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  4. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    International Nuclear Information System (INIS)

    Zhang, Chunming; Zhao, Meihua; Wang, Libing; Qu, Lijun; Men, Yajing

    2017-01-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  5. Morphological and surface compositional changes in poly(lactide-co-glycolide) tissue engineering scaffolds upon radio frequency glow discharge plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Djordjevic, Ivan [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia); Britcher, Leanne G. [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia)], E-mail: Leanne.Britcher@unisa.edu.au; Kumar, Sunil [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia)

    2008-01-30

    Chemical functionalisation of polymeric scaffolds with functional groups such as amine could provide optimal conditions for loading of signalling biomolecules over the entire volume of the porous scaffolds. Three-dimensional (both surface and bulk) functionlisation of large volume scaffolds is highly desirable, but preferably without any change to the basic morphological, structural and bulk chemical properties of the scaffolds. In this work, we have carried out and compared treatments of poly(lactide-co-glycolide) tissue engineering scaffolds by two methods, that is, a wet chemical method using ethylenediamine and a glow discharge plasma method using heptylamine as a precursor. The samples thus prepared were analysed by scanning electron microscopy and X-ray photoelectron spectroscopy. The plasma treatment generated amide and protonated amine (NH{sup +}) groups which were present in the bulk and on the surface of the scaffold. Amination also occurred for the wet chemical treatments but the structural and chemical integrity were adversely affected.

  6. Morphological and surface compositional changes in poly(lactide-co-glycolide) tissue engineering scaffolds upon radio frequency glow discharge plasma treatment

    International Nuclear Information System (INIS)

    Djordjevic, Ivan; Britcher, Leanne G.; Kumar, Sunil

    2008-01-01

    Chemical functionalisation of polymeric scaffolds with functional groups such as amine could provide optimal conditions for loading of signalling biomolecules over the entire volume of the porous scaffolds. Three-dimensional (both surface and bulk) functionlisation of large volume scaffolds is highly desirable, but preferably without any change to the basic morphological, structural and bulk chemical properties of the scaffolds. In this work, we have carried out and compared treatments of poly(lactide-co-glycolide) tissue engineering scaffolds by two methods, that is, a wet chemical method using ethylenediamine and a glow discharge plasma method using heptylamine as a precursor. The samples thus prepared were analysed by scanning electron microscopy and X-ray photoelectron spectroscopy. The plasma treatment generated amide and protonated amine (NH + ) groups which were present in the bulk and on the surface of the scaffold. Amination also occurred for the wet chemical treatments but the structural and chemical integrity were adversely affected

  7. Some aspects of hydrogen plasma treatment of anti-modulation doped near surface GaAs/AlGaAs single quantum well structures

    International Nuclear Information System (INIS)

    Bumai, Yu.A.; Gobsch, G.; Goldhahn, R.; Stein, N.; Golombek, A.; Nakov, V.; Cheng, T.S.

    1999-01-01

    The MBE grown anti-modulation doped GaAs/AlGaAs structures with near surface single quantum wells were exposed to a DC hydrogen plasma (∼400 eV) and investigated using PL, PLE and PR spectroscopy at 5 K. Strong acceptor related free to bound transition (FB) dominates for quantum well related PL but excitonic features are still observed in PLE spectra. After hydrogen plasma treatment the PL intensity of FB transition from quantum well was strongly increased for above AlGaAs band gap excitation and was unchanged for below AlGaAs one. These results are consistent with atomic hydrogen passivation of deep defects in AlGaAs barriers. At the same time radiative excitonic recombination was quenched by hydrogenation. PLE and PR spectra indicate on a strong increase of electric field in subsurface region of the structure after hydrogenation. The increase of electric field in anti-modulation doped structure after hydrogen plasma treatment is supposed to be due to passivation by atomic hydrogen of surface states that leads to unpinning of Fermi level from mid gap to carbon acceptor level position in GaAs cap layer. It causes the further band bending and surface electric field increase that strongly suppress excitonic recombination in near surface quantum wells

  8. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  9. Hydrophilic surface modification of coronary stent using an atmospheric pressure plasma jet for endothelialization.

    Science.gov (United States)

    Shim, Jae Won; Bae, In-Ho; Park, Dae Sung; Lee, So-Youn; Jang, Eun-Jae; Lim, Kyung-Seob; Park, Jun-Kyu; Kim, Ju Han; Jeong, Myung Ho

    2018-03-01

    The first two authors contributed equally to this study. Bioactivity and cell adhesion properties are major factors for fabricating medical devices such as coronary stents. The aim of this study was to evaluate the advantages of atmospheric-pressure plasma jet in enhancing the biocompatibility and endothelial cell-favorites. The experimental objects were divided into before and after atmospheric-pressure plasma jet treatment with the ratio of nitrogen:argon = 3:1, which is similar to air. The treated surfaces were basically characterized by means of a contact angle analyzer for the activation property on their surfaces. The effect of atmospheric-pressure plasma jet on cellular response was examined by endothelial cell adhesion and XTT analysis. It was difficult to detect any changeable morphology after atmospheric-pressure plasma jet treatment on the surface. The roughness was increased after atmospheric-pressure plasma jet treatment compared to nonatmospheric-pressure plasma jet treatment (86.781 and 7.964 nm, respectively). The X-ray photoelectron spectroscopy results showed that the surface concentration of the C-O groups increased slightly from 6% to 8% after plasma activation. The contact angle dramatically decreased in the atmospheric-pressure plasma jet treated group (22.6 ± 15.26°) compared to the nonatmospheric-pressure plasma jet treated group (72.4 ± 15.26°) ( n = 10, p atmospheric-pressure plasma jet on endothelial cell migration and proliferation was 85.2% ± 12.01% and 34.2% ± 2.68%, respectively, at 7 days, compared to the nonatmospheric-pressure plasma jet treated group (58.2% ± 11.44% in migration, n = 10, p atmospheric-pressure plasma jet method. Moreover, the atmospheric-pressure plasma jet might affect re-endothelialization after stenting.

  10. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  11. Low pressure water vapour plasma treatment of surfaces for biomolecules decontamination

    DEFF Research Database (Denmark)

    Fumagalli, F; Kylian, O; Amato, Letizia

    2012-01-01

    Decontamination treatments of surfaces are performed on bacterial spores, albumin and brain homogenate used as models of biological contaminations in a low-pressure, inductively coupled plasma reactor operated with water-vapour-based gas mixtures. It is shown that removal of contamination can...... be achieved using pure H2O or Ar/H2O mixtures at low temperatures with removal rates comparable to oxygen-based mixtures. Particle fluxes (Ar+ ions, O and H atomic radicals and OH molecular radicals) from water vapour discharge are measured by optical emission spectroscopy and Langmuir probe under several...... operating conditions. Analysis of particle fluxes and removal rates measurements illustrates the role of ion bombardment associated with O radicals, governing the removal rates of organic matter. Auxiliary role of hydroxyl radicals is discussed on the basis of experimental data. The advantages of a water...

  12. Plasma treatment of diamond nanoparticles for dispersion improvement in water

    International Nuclear Information System (INIS)

    Yu Qingsong; Kim, Young Jo; Ma, Hongbin

    2006-01-01

    Low-temperature plasmas of methane and oxygen mixtures were used to treat diamond nanoparticles to modify their surface characteristics and thus improve their dispersion capability in water. It was found that the plasma treatment significantly reduced water contact angle of diamond nanoparticles and thus rendered the nanoparticles with strong water affinity for dispersion enhancement in polar media such as water. Surface analysis using Fourier transform infrared spectroscopy confirmed that polar groups were imparted on nanoparticle surfaces. As a result, improved suspension stability was observed with plasma treated nanoparticles when dispersed in water

  13. Atmospheric pressure H20 plasma treatment of polyester cord threads

    International Nuclear Information System (INIS)

    Simor, M.; Krump, H.; Hudec, I.; Rahel, J.; Brablec, A.; Cernak, M.

    2004-01-01

    Polyester cord threads, which are used as a reinforcing materials of rubber blend, have been treated in atmospheric-pressure H 2 0 plasma in order to enhance their adhesion to rubber. The atmospheric-pressure H 2 0 plasma was generated in an underwater diaphragm discharge. The plasma treatment resulted in approximately 100% improvement in the adhesion. Scanning electron microscopy investigation indicates that not only introduced surface polar groups but also increased surface area of the fibres due to a fibre surface roughening are responsible for the improved adhesive strength (Authors)

  14. Luminescent, water-soluble silicon quantum dots via micro-plasma surface treatment

    International Nuclear Information System (INIS)

    Wu, Jeslin J; Siva Santosh Kumar Kondeti, Vighneswara; Bruggeman, Peter J; Kortshagen, Uwe R

    2016-01-01

    Silicon quantum dots (SiQDs), with their broad absorption, narrow and size-tunable emission, and potential biocompatibility are highly attractive materials in biological imaging applications. The inherent hydrophobicity and instability of hydrogen-terminated SiQDs are obstacles to their widespread implementation. In this work, we successfully produced highly luminescent, hydrophilic SiQDs with long-term stability in water using non-thermal plasma techniques. Hydrogen-terminated SiQDs were produced in a low-pressure plasma and subsequently treated in water using an atmospheric-pressure plasma jet for surface modification. Preliminary assessments of the chemical mechanism(s) involved in the creation of water-soluble SiQDs were performed using Fenton’s reaction and various plasma chemistries, suggesting both OH and O species play a key role in the oxidation of the SiQDs. (letter)

  15. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  16. Endothelial cell behaviour on gas-plasma-treated PLA surfaces: the roles of surface chemistry and roughness.

    Science.gov (United States)

    Shah, Amita; Shah, Sarita; Mani, Gopinath; Wenke, Joseph; Agrawal, Mauli

    2011-04-01

    Glow-discharge gas-plasma (GP) treatment has been shown to induce surface modifications such that cell adhesion and growth are enhanced. However, it is not known which gas used in GP treatment is optimal for endothelial cell function. Polylactic acid (PLA) films treated oxygen, argon, or nitrogen GP were characterized using contact angles, scanning electron microscopy, atomic force microscopy, optical profilometry, and x-ray photoelectron spectroscopy. All three GP treatments decreased the carbon atomic concentration and surface roughness and increased the oxygen atomic concentration. Human umbilical vein endothelial cells were cultured on the PLA films for up to 7 days. Based on proliferation and live/dead assays, surface chemistry was shown to have the greatest effect on the attachment, proliferation, and viability of these cells, while roughness did not have a significant influence. Of the different gases, endothelial cell viability, attachment and proliferation were most significantly increased on PLA surfaces treated with oxygen and argon gas plasma. Copyright © 2010 John Wiley & Sons, Ltd.

  17. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  18. Effect of different surface treatments on bond strength, surface and microscopic structure of zirconia ceramic

    Directory of Open Access Journals (Sweden)

    Zeinab R. El-Shrkawy

    2016-06-01

    Conclusions: (1 Surface treatments of Y-TZP ceramic together with MDP primer and silane-coupling agent application improve the bond strength to resin cement. (2 Plasma-Silica coating and plasma-oxygen treatment, both are valuable methods that improve the bond strength of resin cement to Y-TZP ceramic. (3 Silica coating by plasma technology provides durable bond strength and can be a promising alternative pretreatment before silane application to enhance bonding with zirconia ceramic. (4 Tetragonal-monoclinic phase transformation had occurred in Y-TZP samples received both types of plasma treatment.

  19. Effects of plasma on polyethylene fiber surface for prosthodontic application

    Directory of Open Access Journals (Sweden)

    Silvana Marques Miranda SPYRIDES

    2015-12-01

    Full Text Available ABSTRACT Plasma technology has the potential to improve the adherence of fibers to polymeric matrices, and there are prospects for its application in dentistry to reinforce the dental particulate composite. Objectives This study aimed to investigate the effect of oxygen or argon plasma treatment on polyethylene fibers. Material and Methods Connect, Construct, InFibra, and InFibra treated with oxygen or argon plasma were topographically evaluated by scanning electron microscopy (SEM, and chemically by X-ray photoelectron spectroscopy (XPS. For bending analysis, one indirect composite (Signum was reinforced with polyethylene fiber (Connect, Construct, or InFibra. The InFibra fiber was subjected to three different treatments: (1 single application of silane, (2 oxygen or argon plasma for 1 or 3 min, (3 oxygen or argon plasma and subsequent application of silane. The samples (25x2x2 mm, 6 unreinforced and 60 reinforced with fibers, were subjected to three-point loading tests to obtain their flexural strength and deflection. The results were statistically analyzed with ANOVA and the Bonferroni correction for multiple comparison tests. Results SEM analysis showed that oxygen and argon plasma treatments promote roughness on the polyethylene fiber surface. X-ray photoelectron spectroscopy (XPS analysis shows that both plasmas were effective in incorporating oxygenated functional groups. Argon or oxygen plasma treatment affected the flexural strength and deflection of a fiber reinforced composite. The application of silane does not promote an increase in the flexural strength of the reinforced composites. Conclusions Oxygen and argon plasma treatments were effective in incorporating oxygenated functional groups and surface roughness. The highest strength values were obtained in the group reinforced with polyethylene fibers treated with oxygen plasma for 3 min.

  20. Effect of Surface Treatment on the Surface Characteristics of AISI 316L Stainless Steel

    Science.gov (United States)

    Trigwell, Steve; Selvaduray, Guna

    2005-01-01

    The ability of 316L stainless steel to maintain biocompatibility, which is dependent upon the surface characteristics, is critical to its effectiveness as an implant material. The surfaces of mechanically polished (MP), electropolished (EP) and plasma treated 316L stainless steel coupons were characterized by X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES) for chemical composition, Atomic Force Microscopy for surface roughness, and contact angle measurements for critical surface tension. All surfaces had a Ni concentration that was significantly lower than the bulk concentration of -43%. The Cr content of the surface was increased significantly by electropolishing. The surface roughness was also improved significantly by electropolishing. Plasma treatment had the reverse effect - the surface Cr content was decreased. It was also found that the Cr and Fe in the surface exist in both the oxide and hydroxide states, with the ratios varying according to surface treatment.

  1. Effects of oxygen plasma treatment on domestic aramid fiber III reinforced bismaleimide composite interfacial properties

    Science.gov (United States)

    Shi, Chen; Wang, Jing; Chen, Ping; Feng, Jiayue; Cui, Jinyuan; Yang, Faze

    2017-12-01

    Domestic Aramid Fiber III (DAF III) was modified by oxygen plasma treatment. The fiber surface characteristics was observed by Scanning Electron Microscopy. The results showed that oxygen plasma treatment changed surface morphologies. The effects of oxygen plasma treatment on DAF III reinforced bismaleimides (BMI) composite bending and interfacial properties were investigated, respectively. The ILSS value increased from 49.3 MPa to 56.0 MPa (by 13.5%) after oxygen plasma treatment. The bending strength changed a little. Furthermore, the composite rupture mode changed from interfacial rupture to fiber or resin bulk rupture.

  2. Removing of oxides from Fe-Ni alloys by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A.; Drenik, A.; Mozetic, M.

    2007-01-01

    Plasma wall interaction is one of the key issues in fusion research for ITER application. The first-wall materials in tokamaks and in other high temperature plasma reactors are subject to and to continuous degradation due to the ion bombardment. Furthermore the release of the eroded wall material leads to their redeposition to other parts of the fusion reactor and they can be even transported into the core plasma where they cause dilution of the plasma fuel and cooling of the plasma itself. One possible solution for removal of deposits formed during operation of the fusion devices is oxygen plasma treatment. A drawback of the oxygen plasma is that it causes formation of oxides on the surface of the materials. These oxides can be reduced by further hydrogen plasma treatment. A study on reduction of an oxide layer from Fe-Ni alloys was performed. The samples were exposed to low pressure weakly ionized hydrogen plasma for different periods. A density of hydrogen plasma was 8x10 15 m -3 , an electron temperature was 6 eV, and a degree of dissociation was about 30%. After plasma treatment the samples were analyzed by Auger Electron Spectroscopy (AES). The results showed that the complete reduction of an initial oxide layer with the thickness of about 30 nm occurred after 20 s of exposure to hydrogen plasma, when AES showed no more oxygen on the surface of Fe-Ni alloy. During the exposure of the samples to the plasma their temperature was measured. The temperature first rised with time, reached the maximum value, and than dropped as soon as the layer of an oxide on the surface was reduced. (author)

  3. Surface modification of electrospun fibre meshes by oxygen plasma for bone regeneration

    International Nuclear Information System (INIS)

    Nandakumar, A; Tahmasebi Birgani, Z; Santos, D; Mentink, A; Auffermann, N; Moroni, L; Van Blitterswijk, C; Habibovic, P; Van der Werf, K; Bennink, M

    2013-01-01

    Plasma treatment is a method to modify the physicochemical properties of biomaterials, which consequently may affect interactions with cells. Based on the rationale that physical cues on the surface of culture substrates and implants, such as surface roughness, have proven to alter cell behaviour, we used electrospinning to fabricate fibrous three-dimensional scaffolds made of a poly (ethylene oxide terephthalate)/poly (butylene terephthalate) copolymer to mimic the physical microenvironment of extracellular matrix and applied radio-frequency oxygen plasma treatment to create nanoscale roughness. Scanning electron microscopy (SEM) analysis revealed a fibre diameter of 5.49 ± 0.96 µm for as-spun meshes. Atomic force microscopy (AFM) measurements determined an exponential increase of surface roughness with plasma treatment time. An increase in hydrophilicity after plasma treatment was observed, which was associated with higher oxygen content in plasma treated scaffolds compared to untreated ones. A more pronounced adsorption of bovine serum albumin occurred on scaffolds treated with plasma for 15 and 30 min compared to untreated fibres. Clinically relevant human mesenchymal stromal cells (hMSCs) were cultured on untreated, 15 and 30 min treated scaffolds. SEM analysis confirmed cell attachment and a pronounced spindle-like morphology on all scaffolds. No significant differences were observed between different scaffolds regarding the amount of DNA, metabolic activity and alkaline phosphatase (ALP) activity after 7 days of culture. The amount of ALP positive cells increased between 7 and 21 days of culture on both untreated and 30 min treated meshes. In addition, ALP staining of cells on plasma treated meshes appeared more pronounced than on untreated meshes after 21 days of culture. Quantitative polymerase chain reaction showed significant upregulation of bone sialoprotein and osteonectin expression on oxygen plasma treated fibres compared to untreated fibres in

  4. Application of electrochemical plasma techniques in surface engineering of iron based structural materials

    International Nuclear Information System (INIS)

    Coaca, E.; Rusu, O.; Mihalache, M.; Minca, M.; Tacica, M.; Florea, S.; Oncioiu, G.; Andrei, V.

    2013-01-01

    The surface of austenitic stainless steels 304 L and 316 L was modified by various complex surface treatments: - plasma electrolytic carbo-nitriding by means of Plasma electrolytic saturation (PES); the saturation of cathodic surfaces with C, N was performed using suitable electrolytes (aqueous solutions of inorganic acids, appropriate salts containing the desired elements and certain organic compounds); -electrodeposition of Al from ChCl based Ionic Liquid. The coatings obtained in various experimental conditions have been investigated by means of electron spectroscopy, scanning electron microscopy, energy dispersion x-ray spectrometry, electrochemical techniques, and the properties of the thin films have been correlated with the microstructure and the composition of the surface layers which are strongly dependents of the different regimes of diffusion treatments. The preliminary results on Electrochemical Plasma Technology (EPT) treatments demonstrate that we can select the processing parameters for essential improvement of corrosion behaviour in some aggressive medium and high values of microhardness. (authors)

  5. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    International Nuclear Information System (INIS)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu; Gong, Lijun; He, Wei

    2017-01-01

    Highlights: • Air atmosphere plasmacould generatehydrophilic groups of photo-resistive film. • Better wettability of photo-resistive filmled tohigher plating uniformity of copper pillars. • New flow isreduced cost, simplified process and elevated productivity. - Abstract: The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O_2−CF_4 low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of C−O, O−C=O, C=O and −NO_2 by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  6. Aluminum metal surface cleaning and activation by atmospheric-pressure remote plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muñoz, J., E-mail: jmespadero@uco.es; Bravo, J.A.; Calzada, M.D.

    2017-06-15

    Highlights: • Atmospheric-pressure postdischarges have been applied on aluminium surfaces. • The outer hydrocarbon layer is reduced by the action of the postdischarge. • The treatment promotes the appearance of hydrophilic OH radicals in the surface. • Effectivity for distances up to 5 cm allows for treating irregular surfaces. • Ageing in air due to the disappearance of OH radicals has been reported. - Abstract: The use of the remote plasma (postdischarge) of argon and argon-nitrogen microwave plasmas for cleaning and activating the surface of metallic commercial aluminum samples has been studied. The influence of the nitrogen content and the distance between the treated samples and the end of the discharge on the hydrophilicity and the surface energy has been analyzed by means of the sessile drop technique and the Owens-Wendt method. A significant increase in the hydrophilicity has been noted in the treated samples, together with an increase in the surface energy from values around 37 mJ/m{sup 2} to 77 mJ/m{sup 2}. Such increase weakly depends on the nitrogen content of the discharge, and the effectivity of the treatment extends to distances up to 5 cm from the end of the discharge, much longer than those reported in other plasma-based treatments. The analysis of the treated samples using X-ray photoelectron spectroscopy reveals that such increase in the surface energy takes place due to a reduction of the carbon content and an increase in the amount of OH radicals in the surface. These radicals tend to disappear within 24–48 h after the treatment when the samples are stored in contact with ambient air, resulting in the ageing of the treated surface and a partial retrieval of the hydrophobicity of the surface.

  7. Layer-dependent fluorination and doping of graphene via plasma treatment

    International Nuclear Information System (INIS)

    Chen Minjiang; Zhou Haiqing; Qiu Caiyu; Yang Huaichao; Yu Fang; Sun Lianfeng

    2012-01-01

    In this work, the fluorination of n-layer graphene is systematically investigated using CHF 3 and CF 4 plasma treatments. The G and 2D Raman peaks of graphene show upshifts after each of the two kinds of plasma treatment, indicating p-doping to the graphene. Meanwhile, D, D′ and D + G peaks can be clearly observed for monolayer graphene, whereas these peaks are weaker for thicker n-layer graphene (n ≥ 2) at the same experimental conditions. The upshifts of the G and 2D peaks and the ratio of I(2D)/I(G) for CF 4 plasma treated graphene are larger than those of CHF 3 plasma treated graphene. The ratio of I(D)/I(G) of the Raman spectra is notably small in CF 4 plasma treated graphene. These facts indicate that CF 4 plasma treatment introduces more p-doping and fewer defects for graphene. Moreover, the fluorination of monolayer graphene by CF 4 plasma treatment is reversible through thermal annealing while that by CHF 3 plasma treatment is irreversible. These studies explore the information on the surface properties of graphene and provide an optimal method of fluorinating graphene through plasma techniques. (paper)

  8. The relationship between cellular adhesion and surface roughness in polystyrene modified by microwave plasma radiation

    Directory of Open Access Journals (Sweden)

    Biazar E

    2011-03-01

    Full Text Available Esmaeil Biazar1, Majid Heidari2, Azadeh Asefnezhad2, Naser Montazeri11Department of Chemistry, Islamic Azad University, Tonekabon Branch, Mazandaran; 2Department of Biomaterial Engineering, Faculty of Biomedical Engineering, Science and Research Branch, Islamic Azad University, Tehran, IranBackground: Surface modification of medical polymers can improve biocompatibility. Pure polystyrene is hydrophobic and cannot provide a suitable environment for cell cultures. The conventional method for surface modification of polystyrene is treatment with plasma. In this study, conventional polystyrene was exposed to microwave plasma treatment with oxygen and argon gases for 30, 60, and 180 seconds.Methods and results: Attenuated total reflection Fourier transform infrared spectra investigations of irradiated samples indicated clearly the presence of functional groups. Atomic force microscopic images of samples irradiated with inert and active gases indicated nanometric surface topography. Samples irradiated with oxygen plasma showed more roughness (31 nm compared with those irradiated with inert plasma (16 nm at 180 seconds. Surface roughness increased with increasing duration of exposure, which could be due to reduction of the contact angle of samples irradiated with oxygen plasma. Contact angle analysis showed reduction in samples irradiated with inert plasma. Samples irradiated with oxygen plasma showed a lower contact angle compared with those irradiated by argon plasma.Conclusion: Cellular investigations with unrestricted somatic stem cells showed better adhesion, cell growth, and proliferation for samples radiated by oxygen plasma with increasing duration of exposure than those of normal samples.Keywords: surface topography, polystyrene, plasma treatment, argon, oxygen

  9. Surface analysis of 316 stainless steel treated with cold atmospheric plasma

    Energy Technology Data Exchange (ETDEWEB)

    Williams, David F., E-mail: david.williams@surrey.ac.uk [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Kellar, Ewen J.C. [TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Jesson, David A.; Watts, John F. [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom)

    2017-05-01

    Highlights: • Reduction in carbon contamination from ∼80 at.% to 40 at.% after 15 s treatment. • Associated carbon thickness reduction from 4.5 nm to 0.5 nm. • Area treated by torch has a diameter of 11 mm measured using imaging XPS. - Abstract: The surface of 316 stainless steel has been modified using cold atmospheric plasma (CAP) to increase the surface free energy (by cleaning the and chemically activating the surface)IN preparation for subsequent processes such as painting, coating or adhesive bonding. The analyses carried out, on CAP treated 316 stainless steel surfaces, includes X-ray photoelectron spectroscopy (XPS), imaging XPS (iXPS), and surface free energy (SFE) analysis using contact angle measurements. The CAP treatment is shown to increase the SFE of as-received 316 stainless steel from ∼39 mJ m{sup −1} to >72 mJ m{sup −1} after a short exposure to the plasma torch. This was found to correlate to a reduction in adventitious carbon, as determined by XPS analysis of the surface. The reduction from ∼90 at% to ∼30% and ∼39 at%, after being plasma treated for 5 min and 15 s respectively, shows that the process is relatively quick at changing the surface. It is suggested that the mechanism that causes the increase in surface free energy is chain scission of the hydrocarbon contamination triggered by free electrons in the plasma plume followed by chemical functionalisation of the metal oxide surface and some of the remaining carbon contamination layer.

  10. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  11. Nanoscale mechanical and tribological properties of fluorocarbon films grafted onto plasma-treated low-density polyethylene surfaces

    International Nuclear Information System (INIS)

    Cheng, Q; Komvopoulos, K

    2012-01-01

    Fluorocarbon (FC) films were grafted onto Ar plasma-treated low-density polyethylene (LDPE) surfaces by plasma polymerization and deposition. The evolution of the surface morphology of the grafted FC films was investigated at different scales with an atomic force microscope. Nanoscale sliding experiments performed with a surface force microscope provided insight into the nanotribological properties of Ar plasma-treated LDPE, with and without grafted FC films, in terms of applied normal load and number of sliding cycles. The observed trends are explained in the context of microstructure models accounting for morphological and structure changes at the LDPE surface due to the effects of plasma treatment (e.g., selective etching of amorphous phase, chain crosslinking and FC film grafting) and surface sliding (e.g., crystalline lamellae alignment along the sliding direction). Nanoindentation experiments elucidated the effect of plasma treatment on surface viscoelasticity and global contact stiffness. The results of this study demonstrate that plasma-assisted grafting of FC films is an effective surface modification method for tuning the nanomechanical/tribological properties of polymers. (paper)

  12. Plasma treatment for producing electron emitters

    Science.gov (United States)

    Coates, Don Mayo; Walter, Kevin Carl

    2001-01-01

    Plasma treatment for producing carbonaceous field emission electron emitters is disclosed. A plasma of ions is generated in a closed chamber and used to surround the exposed surface of a carbonaceous material. A voltage is applied to an electrode that is in contact with the carbonaceous material. This voltage has a negative potential relative to a second electrode in the chamber and serves to accelerate the ions toward the carbonaceous material and provide an ion energy sufficient to etch the exposed surface of the carbonaceous material but not sufficient to result in the implantation of the ions within the carbonaceous material. Preferably, the ions used are those of an inert gas or an inert gas with a small amount of added nitrogen.

  13. Stem cell responses to plasma surface modified electrospun polyurethane scaffolds.

    Science.gov (United States)

    Zandén, Carl; Hellström Erkenstam, Nina; Padel, Thomas; Wittgenstein, Julia; Liu, Johan; Kuhn, H Georg

    2014-07-01

    The topographical effects from functional materials on stem cell behavior are currently of interest in tissue engineering and regenerative medicine. Here we investigate the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell (hESC) and rat postnatal neural stem cell (NSC) responses. The plasma gases were found to induce three combinations of fiber surface functionalities and roughness textures. On randomly oriented fibers, plasma treatments lead to substantially increased hESC attachment and proliferation as compared to native fibers. Argon plasma was found to induce the most optimal combination of surface functionality and roughness for cell expansion. Contact guided migration of cells and alignment of cell processes were observed on aligned fibers. Neuronal differentiation around 5% was found for all samples and was not significantly affected by the induced variations of surface functional group distribution or individual fiber topography. In this study the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell and rat postnatal neural stem cell (NSC) responses is studied with the goal of clarifying the potential effects of functional materials on stem cell behavior, a topic of substantial interest in tissue engineering and regenerative medicine. Copyright © 2014 Elsevier Inc. All rights reserved.

  14. Surface modification of polyester fabric with plasma pretreatment and carbon nanotube coating for antistatic property improvement

    Energy Technology Data Exchange (ETDEWEB)

    Wang, C.X., E-mail: cxwang@mail.dhu.edu.cn [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Collaborative Innovation Center for Ecological Building Materials and Environmental Protection Equipments, Jiangsu 224051 (China); Key Laboratory for Advanced Technology in Environmental Protection, Jiangsu 224051 (China); Lv, J.C. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Ren, Y. [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China); Zhi, T.; Chen, J.Y.; Zhou, Q.Q. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Lu, Z.Q.; Gao, D.W. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Collaborative Innovation Center for Ecological Building Materials and Environmental Protection Equipments, Jiangsu 224051 (China); Key Laboratory for Advanced Technology in Environmental Protection, Jiangsu 224051 (China); Jin, L.M. [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201204 (China)

    2015-12-30

    Graphical abstract: - Highlights: • PET was finished by plasma treatment and SWCNT coating to improve antistatic property. • Plasma modification had a positive effect on SWCNT coating on PET fiber surface. • O{sub 2} plasma was more effective in SWCNT coating than Ar plasma in the shorter time. • Antistatic enhanced and then declined with enhancing treatment time and output power. • Antistatic increased with increasing concentration, curing time, curing temperature. - Abstract: This study introduced a green method to prepare antistatic polyester (PET) fabrics by plasma pretreatment and single-walled carbon nanotube (SWCNT) coating. The influences of plasma conditions and SWCNT coating parameters on antistatic property of PET fabrics were investigated. PET fabrics were pretreated under various plasma conditions such as different treatment times, output powers and working gases, and then SWCNT coating on the plasma treated PET fabrics was carried out by coating-dry-cure using various coating parameters including different SWCNT concentrations, curing times and curing temperatures. PET fabrics were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and volume resistivity. SEM and XPS analysis of the plasma treated PET fabrics revealed the increase in surface roughness and oxygen/nitrogen containing groups on the PET fiber surface. SEM and XPS analysis of the plasma treated and SWCNT coated PET fabrics indicated the SWCNT coating on PET fiber surface. The plasma treated and SWCNT coated PET fabrics exhibited a good antistatic property, which increased and then decreased with the increasing plasma treatment time and output power. The antistatic property of the O{sub 2} plasma treated and SWCNT coated PET fabric was better and worse than that of N{sub 2} or Ar plasma treated and SWCNT coated PET fabric in the shorter treatment time and the longer treatment time, respectively. In addition, the antistatic property of the

  15. Surface modification of polyester fabric with plasma pretreatment and carbon nanotube coating for antistatic property improvement

    International Nuclear Information System (INIS)

    Wang, C.X.; Lv, J.C.; Ren, Y.; Zhi, T.; Chen, J.Y.; Zhou, Q.Q.; Lu, Z.Q.; Gao, D.W.; Jin, L.M.

    2015-01-01

    Graphical abstract: - Highlights: • PET was finished by plasma treatment and SWCNT coating to improve antistatic property. • Plasma modification had a positive effect on SWCNT coating on PET fiber surface. • O 2 plasma was more effective in SWCNT coating than Ar plasma in the shorter time. • Antistatic enhanced and then declined with enhancing treatment time and output power. • Antistatic increased with increasing concentration, curing time, curing temperature. - Abstract: This study introduced a green method to prepare antistatic polyester (PET) fabrics by plasma pretreatment and single-walled carbon nanotube (SWCNT) coating. The influences of plasma conditions and SWCNT coating parameters on antistatic property of PET fabrics were investigated. PET fabrics were pretreated under various plasma conditions such as different treatment times, output powers and working gases, and then SWCNT coating on the plasma treated PET fabrics was carried out by coating-dry-cure using various coating parameters including different SWCNT concentrations, curing times and curing temperatures. PET fabrics were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and volume resistivity. SEM and XPS analysis of the plasma treated PET fabrics revealed the increase in surface roughness and oxygen/nitrogen containing groups on the PET fiber surface. SEM and XPS analysis of the plasma treated and SWCNT coated PET fabrics indicated the SWCNT coating on PET fiber surface. The plasma treated and SWCNT coated PET fabrics exhibited a good antistatic property, which increased and then decreased with the increasing plasma treatment time and output power. The antistatic property of the O 2 plasma treated and SWCNT coated PET fabric was better and worse than that of N 2 or Ar plasma treated and SWCNT coated PET fabric in the shorter treatment time and the longer treatment time, respectively. In addition, the antistatic property of the plasma treated

  16. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  17. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  18. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gong, Lijun [Research and Development Department, Guangzhou Fastprint Circuit Tech Co., Ltd., Guangzhou 510663 (China); He, Wei, E-mail: heweiz@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Research and Development Department, Guangdong Guanghua Sci-Tech Co., Ltd., Shantou 515000 (China)

    2017-07-31

    Highlights: • Air atmosphere plasmacould generatehydrophilic groups of photo-resistive film. • Better wettability of photo-resistive filmled tohigher plating uniformity of copper pillars. • New flow isreduced cost, simplified process and elevated productivity. - Abstract: The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O{sub 2}−CF{sub 4} low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of C−O, O−C=O, C=O and −NO{sub 2} by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  19. Improving the efficiency of plasma heat treatment of metals

    International Nuclear Information System (INIS)

    Gabdrakhmanov, Az T; Israphilov, I H; Galiakbarov, A T; Samigullin, A D; Gabdrakhmanov, Al T

    2016-01-01

    This paper proposes an effective way of the plasma hardening the surface layer at the expense combined influence of the plasma jet and a cold air flow. After that influence occurs a distinctive by plasma treatment microstructure with increased microhardness (an increase of 35%) and depth. There is proposed an improved design of the vortex tube for receiving the air flow with a temperature of 20 C to - 120C. (paper)

  20. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Science.gov (United States)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  1. Diagnostics of N2-Ar plasma mixture excited in A 13.56 MHz hollow cathode discharge system: Application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-khaled, B.

    2009-01-01

    N 2 -x % Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double langmuir probe, as a function of experimental parameters: Total pressure (5-33 Pa), and different fractions of argon (7≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both actinometry method and the ratio of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase of argon fraction enhances the dissociation of N 2 , with a maximum at x=50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 K and 12300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5.10 9 cm-3 and 1.4 10 10 cm -3 , and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide surface interaction, in the remote plasma zone, has been studied through optical emission spectroscopy analysis during plasma treatment of polyamide to monitor the possible emissions due to the polymer etching. An increase of atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The polyamide surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased. (author)

  2. Diagnostics of N2-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S; Naddaf, M; Alkhaled, B

    2008-01-01

    N 2 -x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 ≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I N /I N 2 of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N 2 , with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5 x 10 9 and 1.4 x 10 10 cm -3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased

  3. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  4. Plasma Surface Modification of Polyaramid Fibers for Protective Clothing

    Science.gov (United States)

    Widodo, Mohamad

    2011-12-01

    The purpose of this research was to develop a novel process that would achieve biocidal properties on Kevlar fabric via atmospheric pressure plasma jet (APPJ) induced-graft polymerization of monomers. In the course of the study, experiments were carried out to understand plasma-monomer-substrate interactions, particularly, how each of the main parameters in the plasma processing affects the formation of surface radicals and eventually the degree of graft polymerization of monomers. The study also served to explore the possibility of developing plasma-initiated and plasma-controlled graft polymerization for continuous operation. In this regards, three methods of processing were studied, which included two-step plasma graft-polymerization with immersion, two-step and one-step plasma graft-polymerization with pad-dry. In general, plasma treatment did not cause visible damage to the surface of Kevlar fibers, except for the appearance of tiny globules distributed almost uniformly indicating a minor effect of plasma treatment to the surface morphology of the polymer. From the examination of SEM images, however, it was found that a very localized surface etching seemed to have taken place, especially at high RF power (800 W) and long time of exposure (60 s), even in plasma downstream mode of operation. It was suggested that a small amount of charged particles might have escaped and reached the substrate surface. High density of surface radicals, which is the prerequisite for high graft density and high antimicrobial activity, was achieved by the combination of high RF power and short exposure time or low RF power and long time of exposure. This was a clear indication that the formation of surface radicals is a function of amount of the dissipated energy, which also explained the two-factor interaction between the two process parameters. XPS results showed that hydrolysis of the anilide bond of PPTA chains took place to some extent on the surface of Kevlar, leading to the

  5. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  6. Thermal plasma waste treatment

    International Nuclear Information System (INIS)

    Heberlein, Joachim; Murphy, Anthony B

    2008-01-01

    Plasma waste treatment has over the past decade become a more prominent technology because of the increasing problems with waste disposal and because of the realization of opportunities to generate valuable co-products. Plasma vitrification of hazardous slags has been a commercial technology for several years, and volume reduction of hazardous wastes using plasma processes is increasingly being used. Plasma gasification of wastes with low negative values has attracted interest as a source of energy and spawned process developments for treatment of even municipal solid wastes. Numerous technologies and approaches exist for plasma treatment of wastes. This review summarizes the approaches that have been developed, presents some of the basic physical principles, provides details of some specific processes and considers the advantages and disadvantages of thermal plasmas in waste treatment applications. (topical review)

  7. Plasma treatment of Seeds: effect on growth, spores and bacterial charge

    Science.gov (United States)

    Ambrico, P. F.; Simek, M.; Morano, M.; Ambrico, M.; Minafra, A.; Prukner, V.; de Miccolis Angelini, R. M.; Trotti, P.

    2016-09-01

    We report on the effect of low temperature plasma treatment on tomato, basil and tobacco commercial seeds. Seeds were treated in filtered ambient air volume, surface and plasma jet DBD at atmospheric pressure Sterile agar substrate, supplemented with a nutrient and vitamin mixture, was used to allow seeds germination in sterilized sealed plastic containers. The seeds were stored in controlled environmental condition (T = 26C, cycle of 14hrs light/10hrs dark condition). Since all the procedure was performed under sterile conditions, only bacteria and fungi carried by seeds could grow. Plasma treatment significantly reduced the presence of bacterial contamination, while some fungi could resist at shortest exposures Seeds germination was then followed by time lapse photography in sterile water on 3MM Whatman paper in a closed container. The effect of plasma treatment was a faster germination time of seeds and emergence of cotyledons, able to start photosynthesis in seedlings.The plasma treated seeds were also sow in a soil/peat moss mixture. Plants were cultivated for about 40 days, showing that plasma induced a faster growth in length and weight with respect to untreated seeds.Furthermore the effect of plasma on seeds surface was studied by SEM imaging. We acknowledge `SELGE' (Puglia) and TACR (TA03010098).

  8. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    Energy Technology Data Exchange (ETDEWEB)

    Sever, K. [Department of Mechanical Engineering, Dokuz Eylul University, 35100, Izmir (Turkey); Erden, S. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey); Guelec, H.A. [Department of Food Engineering, Yuzuncu Yil University, 65250, Van (Turkey); Seki, Y., E-mail: yoldas.seki@deu.edu.tr [Department of Chemistry, Dokuz Eylul University, 35160, Buca, Izmir (Turkey); Sarikanat, M. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey)

    2011-09-15

    Highlights: {yields} To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. {yields} LF and RF plasma systems at different plasma powers were used for treatment. {yields} In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  9. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    International Nuclear Information System (INIS)

    Sever, K.; Erden, S.; Guelec, H.A.; Seki, Y.; Sarikanat, M.

    2011-01-01

    Highlights: → To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. → LF and RF plasma systems at different plasma powers were used for treatment. → In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  10. Stability of AlGaN/GaN heterostructures after hydrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Babchenko, O., E-mail: oleg.babchenko@savba.sk [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Dzuba, J.; Lalinský, T. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vojs, M. [Institute of Electronics and Photonics STU, Ilkovičova 3, 812 19 Bratislava (Slovakia); Vincze, A. [International Laser Centre, Ilkovičova 3, 841 04 Bratislava (Slovakia); Ižák, T. [Institute of Physics AS CR, v.v.i., Cukrovarnicka 10, 162 53 Prague (Czech Republic); Vanko, G. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • AlGaN/GaNheterostructures with electric contacts were treated by hydrogen plasma. • No surface degradation after treatment was detected by SEM. • Hydrogen plasma caused increasing of sheet resistance up to 3.5 times after 60 min. • Incorporation of hydrogen in AlGaN sub-surface region was observed by SIMS. • Electrical measurements indicate hydrogen induced Schottky barrier lowering. - Abstract: We report on the investigation of low temperature (300 °C) hydrogen plasma treatment influence on the AlGaN/GaN heterostructures. This issue was raised in the frame of study on processes related to hybrid integration of diamond with GaN-based devices. At the same time, the capabilities of thin SiN{sub x} covering were investigated. The samples were exposed to low pressure hydrogen plasma ignited in the linear plasma system at low temperature. We analyze the surface morphology of samples by scanning electron microscopy while microstructural changes down to AlGaN/GaN interface were studied using secondary ion mass spectrometry. The sheet resistance, monitored using circular transmission line measurements, increases more than 3.5 times after 60 min treatment. The basic transport properties of the fabricated circular high electron mobility transistors after H{sub 2} plasma treatment were analyzed. The sheet resistance increasing was attributed to the decrease of effective mobility. Whilst, the observed Schottky barrier lowering indicates necessity of gate contact protection.

  11. Evaluation of Mechanical Property of Carbon Fiber/Polypropylene Composite According to Carbon Fiber Surface Treatment

    International Nuclear Information System (INIS)

    Han, Song Hee; Oh, Hyun Ju; Kim, Seong Su

    2013-01-01

    In this study, the mechanical properties of a carbon fiber/polypropylene composite were evaluated according to the carbon fiber surface treatment. Carbon fiber surface treatments such as silane coupling agents and plasma treatment were performed to enhance the interfacial strength between carbon fibers and polypropylene. The treated carbon fiber surface was characterized by XP S, Sem, and single-filament tensile test. The interlaminar shear strength (Ilks) of the composite with respect to the surface treatment was determined by a short beam shear test. The test results showed that the Ilks of the plasma-treated specimen increased with the treatment time. The Ilks of the specimen treated with a silane coupling agent after plasma treatment increased by 48.7% compared to that of the untreated specimen

  12. Increased adhesion of polydimethylsiloxane (PDMS) to acrylic adhesive tape for medical use by surface treatment with an atmospheric pressure rotating plasma jet

    International Nuclear Information System (INIS)

    Jofre-Reche, José Antonio; Martín-Martínez, José Miguel; Pulpytel, Jérôme; Arefi-Khonsari, Farzaneh

    2016-01-01

    The surface properties of polydimethylsiloxane (PDMS) were modified by treatment with an atmospheric pressure rotating plasma jet (APPJ) and the surface modifications were studied to assess its hydrophilicity and adhesion to acrylic adhesive tape intended for medical applications. Furthermore, the extent of hydrophobic recovery under different storage conditions was studied. The surface treatment of PDMS with the APPJ under optimal conditions noticeably increased the oxygen content and most of the surface silicon species were fully oxidized. A brittle silica-like layer on the outermost surface was created showing changes in topography due to the formation of grooves and cracks. A huge improvement in T-peel and the shear adhesive strength of the APPJ-treated PDMS surface/acrylic tape joints was obtained. On the other hand, the hydrophilicity of the PDMS surface increased noticeably after the APPJ treatment, but 24 h after treatment almost 80% hydrophobicity was recovered and the adhesive strength was markedly reduced with time after the APPJ treatment. However, the application of an acrylic adhesive layer on the just-APPJ-treated PDMS surface retained the adhesive strength, limiting the extent of hydrophobic recovery. (paper)

  13. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  14. Adhesion improvement of fibres by continuous plasma treatment at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Kusano, Y.; Andersen, Tom L.; Soerensen, B.F.; Toftegaard, H.L.; Teodoru, S. [Technical Univ. of Denmark. DTU Wind Energy, Risoe Campus, Roskilde (Denmark); Hansen, Charles M. [Hoersholm (Denmark)

    2013-09-01

    Carbon fibres and ultra-high-molecular-weight polyethylene (UHMWPE) fibres were continuously treated by a dielectric barrier discharge plasma at atmospheric pressure for adhesion improvement with epoxy resins. The plasma treatment improved wettability, increased the oxygen containing polar functional groups at the surface, and subsequently improved adhesion to the epoxy and fracture resistance of epoxy composites. Hansen solubility parameters (HSP), quantitatively describing physical interactions among molecules, were measured for the UHMWPE fibre surfaces. The result identifies two distinct types of surfaces in both the plasma treated and the untreated fibres. One type is typical of polyethylene polymers while the other is characteristic of the oxygenated surface at much higher values of HSP. (Author)

  15. Plasma functionalized surface of commodity polymers for dopamine detection

    Energy Technology Data Exchange (ETDEWEB)

    Fabregat, Georgina [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Osorio, Joaquin [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Castedo, Alejandra [Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Institut de Tècniques Energètiques, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Armelin, Elaine [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); and others

    2017-03-31

    Highlights: • Electrochemically inert polymers become electroactive after plasma functionalization. • Selective dopamine detection has been achieved functionalizing polymers with plasma. • Plasma-functionalized polymers are sensitive dopamine detectors. • XPS analyses reflect the transformation of inert polymers into electrosensors. - Abstract: We have fabricated potentially generalizable sensors based on polymeric-modified electrodes for the electrochemical detection of dopamine. Sensitive and selective sensors have been successfully obtained by applying a cold-plasma treatment during 1–2 min not only to conducting polymers but also to electrochemically inert polymers, such as polyethylene, polypropylene, polyvinylpyrrolidone, polycaprolactone and polystyrene. The effects of the plasma in the electrode surface activation, which is an essential requirement for the dopamine detection when inert polymers are used, have been investigated using X-ray photoelectron spectroscopy. Results indicate that exposure of polymer-modified electrodes to cold-plasma produces the formation of a large variety of reactive species adsorbed on the electrode surface, which catalyse the dopamine oxidation. With this technology, which is based on the application of a very simple physical functionalization, we have defined a paradox-based paradigm for the fabrication of electrochemical sensors by using inert and cheap plastics.

  16. Modulating Protein Adsorption on Oxygen Plasma Modified Polysiloxane Surfaces

    International Nuclear Information System (INIS)

    Marletta, G.

    2006-01-01

    In the present paper we report the study on the adsorption behaviour of three model globular proteins, Human Serum Albumin, Lactoferrin and Egg Chicken Lysozyme onto both unmodified surfaces of a silicon-based polymer and the corresponding plasma treated surfaces. In particular, thin films of hydrophobic polysiloxane (about 90 degree of static water contact angle, WCA) were converted by oxygen plasma treatment at reduced pressure into very hydrophilic phases of SiOx (WCA less than 5 degree). The kinetics of protein adsorption processes were investigated by QCM-D technique, while the chemical structure and topography of the protein adlayer have been studied by Angular resolved-XPS and AFM respectively. It turned out that Albumin and Lysozyme exhibited the opposite preferential adsorption respectively onto the hydrophobic and hydrophilic surfaces, while Lactoferrin did not exhibit significant differences. The observed protein behaviour are discussed both in terms of surface-dependent parameters, including surface free energy and chemical structure, and in terms of protein-dependent parameters, including charge as well as the average molecular orientation in the adlayers. Finally, some examples of differential adsorption behaviour of the investigated proteins are reported onto nanopatterned polysiloxane surfaces consisting of hydrophobic nanopores surrounded by hydrophilic (plasma-treated) matrix and the reverse

  17. Investigation of helium plasma stream parameters in experiments on surface modification

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Chebotarev, V.V.; Garkusha, I.E.; Tsarenko, A.V. and eds.

    2005-01-01

    The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. With use of optical spectroscopy, detailed information about the basic plasma parameters - electron density, electron and ion temperatures, plasma stream duration and velocity, was obtained. Integrated spectra of plasma radiation were analyzed. The majority of helium and impurity spectral lines were investigated on a subject of Stark broadening. Plasma pressure and energy density values measured with piezodetectors and calorimeters are in good agreement with plasma parameters obtained by optical techniques

  18. Application of the thermal plasma technique in the treatment of stone surfaces

    International Nuclear Information System (INIS)

    Gonzalez A, Z.I.

    2000-01-01

    The stone materials which form part of the cultural heritage of Mexico, are degraded under the united action of water, atmospheric gases, air pollution, temperature changes and the microorganisms action; provoking on the stone: fissures, crevices, scalings, fragmentations, pulverizations, etc. Therefore, the purpose of this work is to study the possibilities to apply a protective coating on the stone surfaces, previously clean and consolidated, through the thermal plasma technique. The purpose is to analyse the physical and chemical properties of three types of stone materials: quarry, tezontle and chiluca, usually used in constructions of cultural interest such as: historical monuments, churches, sculptures, etc., before and after to be submitted to the action of thermal plasma in order to examine the feasibility in the use of this coating technique in this type of applications. The application of conventional techniques to determine: porosity, density, absorption, low pressure water absorption and crystallization by total immersion of nuclear techniques such as: neutron activation analysis, x-ray diffraction and scanning electron microscopy as well as of instrumental techniques: optical microscopy, mechanical assays of compression, flexure and surface area calculations, allowed to know the chemical and physical properties of the stone material before and after to be treated through the thermal plasma technique, projecting quartz on the stones surface at different distances and current intensity and showing the effect caused by the modifications or surface alterations present by cause of the application of that coating. the obtained results provide a general panorama of the application of this technique as an alternative to the maintenance of the architectural inheritance built in stone. (Author)

  19. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  20. Influence of process parameters on plasma electrolytic surface treatment of tantalum for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Maciej, E-mail: maciej.sowa@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Woszczak, Maja; Kazek-Kęsik, Alicja [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Dercz, Grzegorz [Institute of Materials Science, University of Silesia, 75 Pułku Piechoty Street 1A, 41-500 Chorzów (Poland); Korotin, Danila M. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Zhidkov, Ivan S. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Kurmaev, Ernst Z. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Cholakh, Seif O. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Basiaga, Marcin [Faculty of Biomedical Engineering, Silesian University of Technology, Gen. de Gaulle’a Street 66, 41-800 Zabrze (Poland); Simka, Wojciech, E-mail: wojciech.simka@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland)

    2017-06-15

    Highlights: • 2-step plasma electrolytic oxidation (PEO) of tantalum was investigated. • PEO coatings surface composition were reflected by the composition of anodizing baths. • Hydrophobic surfaces were obtained from acetate and formate containing baths. • Bioactive phases were identified. - Abstract: This work aims to quantify the effect of anodization voltage and electrolyte composition used during DC plasma electrolytic oxidation (PEO), operated as a 2-step process, on the surface properties of the resulting oxide coatings on tantalum. The first step consisted of galvanostatic anodization (150 mA cm{sup −2}) of the tantalum workpiece up to several limiting voltages (200, 300, 400 and 500 V). After attaining the limiting voltage, the process was switched to voltage control, which resulted in a gradual decrease of the anodic current density. The anodic treatment was realized in a 0.5 M Ca(H{sub 2}PO{sub 2}){sub 2} solution, which was then modified by the addition of 1.15 M Ca(HCOO){sub 2} as well as 1.15 M and 1.5 M Mg(CH{sub 3}COO){sub 2}. The increasing voltage of anodization led to the formation of thicker coatings, with larger pores and enriched with electrolytes species to a higher extent. The solutions containing HCOO{sup −} and CH{sub 3}COO{sup −} ions caused the formation of coatings which were slightly hydrophobic (high contact angle). In the case of the samples anodized up to 500 V, scattered crystalline deposits were observed. Bioactive phases, such as hydroxyapatite, were detected in the treated oxide coatings by XRD and XPS.

  1. Improving Hydrophobicity of Glass Surface Using Dielectric Barrier Discharge Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Fang Zhi; Qiu Yuchang; Wang Hui; Kuffel, E

    2007-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in industrial applications, especially in material surface treatment. In this paper, the treatment of a glass surface for improving hydrophobicity using the non-thermal plasma generated by dielectric barrier discharge (DBD) at atmospheric pressure in ambient air is conducted, and the surface properties of the glass before and after the DBD treatment are studied by using contact angle measurement, surface resistance measurement and wet flashover voltage tests. The effects of the applied voltage and time duration of DBD on the surface modification are studied, and the optimal conditions for the treatment are obtained. It is found that a layer of hydrophobic coating is formed on the glass surface after spraying a thin layer of silicone oil and undergoing the DBD treatment, and the improvement of hydrophobicity depends on DBD voltage and treating time. It seems that there exists an optimum treating time for a certain applied voltage of DBD during the surface treatment. The test results of thermal aging and chemical aging show that the hydrophobic layer has quite stable characteristics. The interaction mechanism between the DBD plasma and the glass surface is discussed. It is concluded that CH 3 and large molecule radicals can react with the radicals in the glass surface to replace OH, and the hydrophobicity of the glass surface is improved accordingly

  2. Enhancement of cell growth on honeycomb-structured polylactide surface using atmospheric-pressure plasma jet modification

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kuang-Yao; Chang, Chia-Hsing; Yang, Yi-Wei; Liao, Guo-Chun; Liu, Chih-Tung; Wu, Jong-Shinn, E-mail: chongsin@faculty.nctu.edu.tw

    2017-02-01

    Graphical abstract: Atmospheric-pressure plasma enhances cell growth on two different pore sizes of honeycomb pattern on polylactide surface. - Highlights: • Different pore sizes of honeycomb pattern on PLA film are created. • The two-step plasma treatment provided the oxygen- and nitrogen-containing functional groups that had a major impact on cell cultivation. • The plasma treatment had a significant effect for cell proliferation. • The surface structures are the main influence on cell cultivation, while plasma treatment can indeed improve the growth environment. - Abstract: In this paper, we compare the cell growth results of NIH-3T3 and Neuro-2A cells over 72 h on flat and honeycomb structured PLA films without and with a two-step atmospheric-pressure nitrogen-based plasma jet treatment. We developed a fabrication system used for forming of a uniform honeycomb structure on PLA surface, which can produce two different pore sizes, 3–4 μm and 7–8 μm, of honeycomb pattern. We applied a previously developed nitrogen-based atmospheric-pressure dielectric barrier discharge (DBD) jet system to treat the PLA film without and with honeycomb structure. NIH-3T3 and a much smaller Neuro-2A cells were cultivated on the films under various surface conditions. The results show that the two-step plasma treatment in combination with a honeycomb structure can enhance cell growth on PLA film, should the cell size be not too smaller than the pore size of honeycomb structure, e.g., NIH-3T3. Otherwise, cell growth would be better on flat PLA film, e.g., Neuro-2A.

  3. Properties of zirconia after plasma treatment

    Science.gov (United States)

    Alekseenko, V. P.; Kulkov, S. N.

    2017-09-01

    The influence of high-frequency plasma treatment on the properties of zirconia powder is shown in the work. The powder was produced by a plasma-chemical method. The powders had a foamy form with the size of agglomerates of 5-10 μm and crystallites of 20-50 nm. The powders were treated by the pulse plasma unit with dielectric barrier discharge generator. It was shown that the plasma processing changes the acidity of water-powder suspensions from 8.1 to 4.3 pH, which signifies the powders' wettability improvement. It was revealed that more intensive mixing using ultrasound influences the acidity level, reducing it in comparison with mixing by paddle-type agitator. It was shown that these changes of surface properties have relaxation by 4% per day and extrapolation of this dependence shows that the powder will have initial properties after 400 hours storage at room conditions.

  4. Laser treatment of plasma sprayed HA coatings

    NARCIS (Netherlands)

    Khor, KA; Vreeling, A; Dong, ZL; Cheang, P

    1999-01-01

    Laser treatment was conducted on plasma sprayed hydroxyapatite (HA) coatings using a Nd-YAG pulse laser. Various laser parameters were investigated. The results showed that the HA surface melted when an energy level of greater than or equal to 2 J and a spot size of 2 mm was employed during

  5. A Study on the Effect of Plasma Treatment for Waste Wood Biocomposites

    Directory of Open Access Journals (Sweden)

    MiMi Kim

    2013-01-01

    Full Text Available The surface modification of wood powder by atmospheric pressure plasma treatment was investigated. The composites were manufactured using wood powder and polypropylene (wood powder: polypropylene = 55 wt% : 45 wt%. Atmospheric pressure plasma treatment was applied under the condition of 3 KV, 17±1 KHz, 2 g/min. Helium was used as the carrier gas and hexamethyl-disiloxane (HMDSO as the monomer to modify the surface property of the waste wood biocomposites by plasma polymerization. The tensile strengths of untreated waste wood powder (W3 and single species wood powder (S3 were about 18.5 MPa and 21.5 MPa while those of plasma treated waste wood powder (PW3 and plasma treated single species wood powder (PS3 were about 21.2 MPa and 23.4 MPa, respectively. Tensile strengths of W3 and S3 were improved by 14.6% and 8.8%, respectively. From the analyses of mechanical properties and morphology, we conclude that the interfacial bonding of polypropylene and wood powder can be improved by atmospheric pressure plasma treatment.

  6. Surface Treatment of a Lithium Limiter for Spherical Torus Plasma Experiments

    Energy Technology Data Exchange (ETDEWEB)

    Kaita, R.; Majeski, R.; Doerner, R.; Antar, G.; Timberlake, J.; Spaleta, J.; Hoffman, D.; Jones, B.; Munsat, T.; Kugel, H.; Taylor, G.; Stutman, D.; Soukhanovskii, V.; Maingi, R.; Molesa, S.; Efthimion, P.; Menard, J.; Finkenthal, M.; Luckhardt, S.

    2001-03-20

    The concept of a flowing lithium first wall for a fusion reactor may lead to a significant advance in reactor design, since it could virtually eliminate the concerns with power density and erosion, tritium retention, and cooling associated with solid walls. As part of investigations to determine the feasibility of this approach, plasma interaction questions in a toroidal plasma geometry are being addressed in the Current Drive eXperiment-Upgrade (CDX-U) spherical torus (ST). The first experiments involved a toroidally local lithium limiter (L3). Measurements of pumpout rates indicated that deuterium pumping was greater for the L3 compared to conventional boron carbide limiters. The difference in the pumpout rates between the two limiter types decreased with plasma exposure, but argon glow discharge cleaning was able to restore the pumping effectiveness of the L3. At no point, however, was the extremely low recycling regime reported in previous lithium experiments achieved. This may be due to the much larger lithium surfaces that were exposed to the plasma in the earlier work. The possibility will be studied in the next set of CDX-U experiments, which are to be conducted with a large area, fully toroidal lithium limiter.

  7. Surface Treatment of a Lithium Limiter for Spherical Torus Plasma Experiments

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.; Antar, G.; Timberlake, J.; Spaleta, J.; Hoffman, D.; Jones, B.; Munsat, T.; Kugel, H.; Taylor, G.; Stutman, D.; Soukhanovskii, V.; Maingi, R.; Molesa, S.; Efthimion, P.; Menard, J.; Finkenthal, M.; Luckhardt, S.

    2001-01-01

    The concept of a flowing lithium first wall for a fusion reactor may lead to a significant advance in reactor design, since it could virtually eliminate the concerns with power density and erosion, tritium retention, and cooling associated with solid walls. As part of investigations to determine the feasibility of this approach, plasma interaction questions in a toroidal plasma geometry are being addressed in the Current Drive eXperiment-Upgrade (CDX-U) spherical torus (ST). The first experiments involved a toroidally local lithium limiter (L3). Measurements of pumpout rates indicated that deuterium pumping was greater for the L3 compared to conventional boron carbide limiters. The difference in the pumpout rates between the two limiter types decreased with plasma exposure, but argon glow discharge cleaning was able to restore the pumping effectiveness of the L3. At no point, however, was the extremely low recycling regime reported in previous lithium experiments achieved. This may be due to the much larger lithium surfaces that were exposed to the plasma in the earlier work. The possibility will be studied in the next set of CDX-U experiments, which are to be conducted with a large area, fully toroidal lithium limiter

  8. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  9. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  10. Effect of oxygen plasma treatment on adhesion improvement of Au deposited on Pa-c substrates

    International Nuclear Information System (INIS)

    Lee, Jeong Hoon; Hwang, Kyo Seon; Kim, Tae Song; Seong, Jin Wook; Yoon, Ki Hyun; Ahn, Sae Young

    2004-01-01

    Adhesion of gold on parylene C (Pa-c) is a major hurdle in achieving reliable and durable performance for biosensor application due to the hydrophobicity of Pa-c. It is, therefore, imperative to put efforts to improve adhesion between Au and Pa-c. In this reseach, oxygen plasma treatment for adhesion improvement was performed on Pa-c surfaces at various plasma powers and times. To analyze the relation of surface energy and roughness to adhesion promotion, we used several techniques such as contact-angle, surface-energy, surface-roughness, and adhesion analyses. As the oxygen plasma power and time were increased, the surface roughness of Pa-c increased. Also, Au films had larger and more uniform grain sizes as the oxygen plasma power and time were increased. Untreated surfaces revealed a contact angle of 108 .deg. , but the contact angle drastically decreased in the initial stage of oxygen plasma treatment and slowly decreased with increasing power and time to values of 27.3 and 34, respectively. From the adhesion analysis, adhesion was improved as the plasma power or time was increased. The improvement of adhesion is related to an increase in roughness as well as carbonyl groups.

  11. Influence of argon plasma treatment on polyethersulphone surface

    Indian Academy of Sciences (India)

    2013-01-09

    Jan 9, 2013 ... waste water treatment from heavy and toxic metals, low-level nuclear waste management and separation of Zr from ... solid surface permits a rapid and qualitative evaluation of the SFE of the polymer. The water contact angle ...

  12. Using oxygen plasma treatment to improve the performance of electrodes for capacitive water deionization

    International Nuclear Information System (INIS)

    Hojati-Talemi, Pejman; Zou, Linda; Fabretto, Manrico; Short, Robert D.

    2013-01-01

    An oxygen plasma treatment was employed to modify the surface of carbon electrodes used in capacitive deionization (CDI). X-ray photoelectron spectroscopy analysis of samples showed that oxygen plasma is mainly attaching oxygenated groups on the PTFE binder used in these electrodes. By functionalizing the binder it can increase the hydrophilicity of the electrode surface and increase the available specific surface area. 2.5 min of plasma treatment resulted in the largest improvement of CDI performance of electrodes. Thermodynamic study of CDI performance showed that the modified electrodes followed Langmuir and Freundlich isotherms resulting from the increased interaction between the enhanced electrodes and water. The kinetic study showed that the CDI process followed a pseudo-first order adsorption kinetics. The calculated adsorption rate constants suggested that plasma modification can accelerate ion adsorption of electrodes

  13. A combination of CO2 laser and plasma surface modification of poly(etheretherketone) to enhance osteoblast response

    International Nuclear Information System (INIS)

    Zheng, Yanyan; Xiong, Chengdong; Wang, Zhecun; Li, Xiaoyu; Zhang, Lifang

    2015-01-01

    Highlights: • COOH and microgrooves containing micropores or microcraters structure were constructed on PEEK surface by a combination of CO 2 laser and plasma treatment. • The mechanical properties of PEEK are maintained after single or dual surface treatment. • Pre-osteoblast cells (MC3T3-E1) adhesion, spreading and proliferation were improved remarkably on dual treated PEEK surface. • Cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. - Abstract: Poly(etheretherketone) (PEEK) is a rigid semicrystalline polymer that combines excellent mechanical properties, broad chemical resistance and bone-like stiffness and is widely used in biomedical fields. However, the bio-inert surface of PEEK tends to hinder its biomedical applications when direct osteointegration between the implants and the host tissue is desired. In this work, we demonstrate a dual modification method, which combines the laser and plasma surface treatment to combine advantages of both chemical states and microstructures for osteoblasts responses. While the plasma treatment introduces surface carboxyl groups (−COOH) onto PEEK surface, the laser treatment constructs microstructures over the PEEK surface. Our results indicated that −COOH as well as microgrooves containing micropores or microcraters structure are constructed on PEEK surface and plasma treatment has no apparent effect on the morphology of microstructures produced by laser micromachining. Unexpectedly, the superior mechanical properties of PEEK were maintained irrespective of the treatment used. Compared to native PEEK and single treated PEEK, dual modified PEEK is more favorable for pre-osteoblasts (MC3T3-E1) adhesion, spreading and proliferation. Moreover, cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. Our study illustrates enhanced osteoblasts responses to dual treated PEEK surface, which gives

  14. Influence of ethylene glycol pretreatment on effectiveness of atmospheric pressure plasma treatment of polyethylene fibers

    International Nuclear Information System (INIS)

    Wen Ying; Li Ranxing; Cai Fang; Fu Kun; Peng Shujing; Jiang Qiuran; Yao Lan; Qiu Yiping

    2010-01-01

    For atmospheric pressure plasma treatments, the results of plasma treatments may be influenced by liquids adsorbed into the substrate. This paper studies the influence of ethylene glycol (EG) pretreatment on the effectiveness of atmospheric plasma jet (APPJ) treatment of ultrahigh molecular weight polyethylene (UHMWPE) fibers with 0.31% and 0.42% weight gain after soaked in EG/water solution with concentration of 0.15 and 0.3 mol/l for 24 h, respectively. Scanning electron microscopy (SEM) shows that the surface of fibers pretreated with EG/water solution does not have observable difference from that of the control group. The X-ray photoelectron spectroscopy (XPS) results show that the oxygen concentration on the surface of EG-pretreated fibers is increased less than the plasma directly treated fibers. The interfacial shear strength (IFSS) of plasma directly treated fibers to epoxy is increased almost 3 times compared with the control group while that of EG-pretreated fibers to epoxy does not change except for the fibers pretreated with lower EG concentration and longer plasma treatment time. EG pretreatment reduces the water contact angle of UHMWPE fibers. In conclusion, EG pretreatment can hamper the effect of plasma treatment of UHMWPE fibers and therefore longer plasma treatment duration is required for fibers pretreated with EG.

  15. Influence of DBD plasma pretreatment on the deposition of chitosan onto UHMWPE fiber surfaces for improvement of adhesion and dyeing properties

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Yu, E-mail: ren.y@ntu.edu.cn [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China); College of Textile and Clothing Engineering, Soochow University, Jiangsu 215021 (China); Kuangda Fibre Technology Co., Ltd., Jiangsu 213161 (China); Ding, Zhirong [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China); Wang, Chunxia [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China); College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Zang, Chuanfeng; Zhang, Yin; Xu, Lin [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China)

    2017-02-28

    Highlights: • The DBD plasma and chitosan combined treatment were performed on UHMWPE fibers. • The SEM and XPS analysis confirmed that chitosan was adsorbed on the UHMWPE fiber surfaces after the combined treatment. • The IFSS between the UHMWPE fiber and the epoxy resin reached 2.25 MPa with 100 s plasma pretreatment. • The dyeability of the UHMWPE fibers after the combined treatment was significantly improved. - Abstract: The combination treatment of dielectric barrier discharge (DBD) plasma and chitosan coatings was performed on ultrahigh molecular weight polyethylene (UHMWPE) fibers in order to improve the wettability, dyeability and adhesion properties. The properties of UHMWPE fibers coated with chitosan, after being pretreated by DBD plasma, were evaluated through scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The interfacial shear strength (IFSS) between the fiber and the epoxy resin was determined using the single fiber pull-out test technique. The modified UHMWPE fibers were dyed with reactive dyes after the combined treatment. Surface wettability and dyeability were investigated by water contact angle and K/S measurement, respectively. SEM images confirmed that the chitosan was induced onto the surfaces of the UHMWPE fibers after the combined treatment. The XPS analysis showed that the oxygen and nitrogen contents of the UHMWPE fiber surfaces after the combined treatment were higher than that of the fiber modified by chitosan without DBD plasma pretreatment. Meanwhile, the UHMWPE fibers treated with combination of DBD plasma and chitosan treatment had better wettability, dyeability and adhesion property than those of the non-plasma pretreated surfaces, indicating that DBD plasma pretreatment facilitated the deposition of chitosan onto the UHMWPE surfaces.

  16. XPS and surface resistivity measurements of plasma - treated FEP co-polymer

    International Nuclear Information System (INIS)

    Pitrus, R.K.; Brack, N.; Liesegang, J.; Pigram, P.J.

    2002-01-01

    Full text: Fluorinated polymers such as fluorinated ethylene propylene (FEP) and poly(tetrafluoroethylene) (PTFE) play an important role in many applications due to their many desirable properties such as chemical resistivity, inertness, electrical stability and low dielectric constant; however, one disadvantage of fluorinated polymers is their extreme surface hydrophobicity. Previous studies show that plasma treatment will modify the surface by increasing the surface free energy and also offer a rapid and convenient method for pre-treating the polymers for many purposes. This paper, through resistivity and XPS (x-ray photoelectron spectroscopy) measurements, attempts to discover basic effects of such plasma treatment. Fluorinated ethylene propylene (FEP) co-polymer film of (0.05) mm thickness (obtained commercially) and with the following structure (CF 2 -CF 2 )-(CF(CF 3 )CF 2 )- was used. A suitable cleaning procedure was used to remove adventitious carbon from the surface. XPS has been used to study FEP film properties. The spectra of XPS were analyzed with the main focus on carbon and fluorine as they compose the elemental component of FEP film. A value of 2.05 was obtained for the F/C ratio, which is slightly higher than the theoretical F/C value estimated from the chemical structure of FEP (F/C 2). The clean film was then air plasma treated (pressure 10 -1 torr and power 30W) for various treatment times to produce a higher energy fluoropolymer surface. XPS studies investigated changes to the polymer surface and determined that oxidation occurs on the FEP surface. The oxidation reactions on the FEP surface form oxygen functional groups such as C-O and C=O groups. The results also show that the percentage of CF 2 and CF 3 in the co-polymer surface decreased with exposure time and the percentage of CF, C-C, C-O and C=O increased. There is a sharp decrease in F/C ratio and increase in O/C ratio. In addition to XPS, the resistivity of FEP-film was measured by a

  17. Cold atmospheric plasma treatment inhibits growth in colorectal cancer cells.

    Science.gov (United States)

    Schneider, Christin; Arndt, Stephanie; Zimmermann, Julia L; Li, Yangfang; Karrer, Sigrid; Bosserhoff, Anja-Katrin

    2018-06-01

    Plasma oncology is a relatively new field of research. Recent developments have indicated that cold atmospheric plasma (CAP) technology is an interesting new therapeutic approach to cancer treatment. In this study, p53 wildtype (LoVo) and human p53 mutated (HT29 and SW480) colorectal cancer cells were treated with the miniFlatPlaSter - a device particularly developed for the treatment of tumor cells - that uses the Surface Micro Discharge (SMD) technology for plasma production in air. The present study analyzed the effects of plasma on colorectal cancer cells in vitro and on normal colon tissue ex vivo. Plasma treatment had strong effects on colon cancer cells, such as inhibition of cell proliferation, induction of cell death, and modulation of p21 expression. In contrast, CAP treatment of murine colon tissue ex vivo for up to 2 min did not show any toxic effect on normal colon cells compared to H2O2 positive control. In summary, these results suggest that the miniFlatPlaSter plasma device is able to kill colorectal cancer cells independent of their p53 mutation status. Thus, this device presents a promising new approach in colon cancer therapy.

  18. Diffuse plasma treatment of polyamide 66 fabric in atmospheric pressure air

    International Nuclear Information System (INIS)

    Li, Lee; Peng, Ming-yang; Teng, Yun; Gao, Guozhen

    2016-01-01

    Graphical abstract: - Highlights: • A cylindrical-electrode nanosecond-pulse diffuse-discharge reactor is presented. • Large-scale non-thermal plasmas were generated steadily in atmospheric air. • Treated PA66 fabric is etched with oxygen-containing group increases. • The hydrophily of treated PA66 fabric improves effectively. • Extending the treatment time is a method to reduce the treatment frequency. - Abstract: The polyamide 66 (PA66) fabrics are hard to be colored or glued in industrial production due to the poor hydrophily. Diffuse plasma is a kind of non-thermal plasma generated at atmospheric pressure in air. This paper proposes that large-scale diffuse plasma generated between wire electrodes can be employed for improving the hydrophily of PA66 fabrics. A repetitive nanosecond-pulse diffuse-discharge reactor using a cylindrical wire electrode configuration is presented, which can generate large-scale non-thermal plasmas steadily at atmospheric pressure without any barrier dielectric. Then the reactor is used to treat PA66 fabrics in different discharge conditions. The hydrophilicity property of modified PA66 is measured by wicking test method. The modified PA66 is also analyzed by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) to prove the surface changes in physical microstructure and chemical functional groups, respectively. What's more, the effects of treatment time and treatment frequency on surface modification are investigated and discussed.

  19. Plasma treatment of multiwall carbon nanotubes for dispersion improvement in water

    International Nuclear Information System (INIS)

    Chen Changlun; Ogino, Akihisa; Nagatsu, Masaaki; Wang Xiangke

    2010-01-01

    Microwave excited Ar/H 2 O surface-wave plasma was used to treat multiwall carbon nanotubes (MWCNTs) to modify their surface characteristics and thus improve their dispersion capability in water. Changes in the atom composition and structure properties of MWCNTs were analyzed using x-ray photoelectron spectroscopy and Raman spectroscopy, and the surface morphology of MWCNTs was observed by field emission scanning electron microscopy and scanning transmission electron microscopy. The results indicated that Ar/H 2 O plasma treatment greatly enhanced the content of oxygen, and modified surface microstructure properties. The integrity of nanotube patterns, however, was not damaged.

  20. Plasma-surface interactions under high heat and particle fluxes

    NARCIS (Netherlands)

    De Temmerman, G.; Bystrov, K.; Liu, F.; Liu, W.; Morgan, T.; Tanyeli, I.; van den Berg, M.; Xu, H.; Zielinski, J.

    2013-01-01

    The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface

  1. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  2. Surface properties of indium tin oxide treated by Cl2 inductively coupled plasma

    International Nuclear Information System (INIS)

    He, Kongduo; Yang, Xilu; Yan, Hang; Gong, Junyi; Zhong, Shaofeng; Ou, Qiongrong; Liang, Rongqing

    2014-01-01

    Graphical abstract: - Highlights: • The work function of chlorinated ITO increases initially by up to 1 eV. • The chlorinated ITO keeps an increment of work function of 0.3 eV after 100 days. • The WF decrease curves can be fitted with double exponential functions. • The desorption of unstable Cl in the surface leads to the rapid decrease of WF. • The core levels of In 3d5 and Sn 3d5 and O 1s shift toward higher binding energies. - Abstract: The effects of Cl 2 inductively coupled plasma (ICP) treatment on the time dependence of work function (WF) and surface properties of indium tin oxide (ITO) were investigated. Kelvin probe (KP) measurements show that the WF after Cl 2 ICP treatment is close to 5.9 eV. The WF decrease curve of Cl 2 plasma treated ITO is fitted with double exponential functions with an adjusted R-square of 0.99. The mechanism under the decrease process is discussed by X-ray photoelectron spectroscopy (XPS). The ITO WF decrease after Cl 2 ICP treatment performs much better than that after O 2 ICP treatment and the chlorinated ITO keeps a WF increment of 0.3 eV compared with that without plasma treatment after 100 days. Other properties of chlorinated ITO surface such as morphology and transmittance change slightly. The results are significant for the understanding of degradation of Cl 2 plasma treated ITO and the fabrication of organic semiconductor devices

  3. Atmospheric Pressure Plasma Treatment for Grey Cotton Knitted Fabric

    Directory of Open Access Journals (Sweden)

    Chi-wai Kan

    2018-01-01

    Full Text Available 100% grey cotton knitted fabric contains impurities and yellowness and needs to be prepared for processing to make it suitable for coloration and finishing. Therefore, conventionally 100% grey cotton knitted fabric undergoes a process of scouring and bleaching, which involves the use of large amounts of water and chemicals, in order to remove impurities and yellowness. Due to increased environmental awareness, pursuing a reduction of water and chemicals is a current trend in textile processing. In this study, we explore the possibility of using atmospheric pressure plasma as a dry process to treat 100% grey cotton knitted fabric (single jersey and interlock before processing. Experimental results reveal that atmospheric pressure plasma treatment can effectively remove impurities from 100% grey cotton knitted fabrics and significantly improve its water absorption property. On the other hand, if 100% grey cotton knitted fabrics are pretreated with plasma and then undergo a normal scouring process, the treatment time is reduced. In addition, the surface morphological and chemical changes in plasma-treated fabrics were studied and compared with the conventionally treated fabrics using scanning electron microscope (SEM, Fourier-transform infrared spectroscopy-attenuated total reflection (FTIR-ATR and X-ray photoelectron spectroscopy (XPS. The decrease in carbon content, as shown in XPS, reveal the removal of surface impurities. The oxygen-to-carbon (O/C ratios of the plasma treated knitted fabrics reveal enhanced hydrophilicity.

  4. Laser surface wakefield in a plasma column

    International Nuclear Information System (INIS)

    Gorbunov, L.M.; Mora, P.; Ramazashvili, R.R.

    2003-01-01

    The structure of the wakefield in a plasma column, produced by a short intense laser pulse, propagating through a gas affected by tunneling ionization is investigated. It is shown that besides the usual plasma waves in the bulk part of the plasma column [see Andreev et al., Phys. Plasmas 9, 3999 (2002)], the laser pulse also generates electromagnetic surface waves propagating along the column boundary. The length of the surface wake wave substantially exceeds the length of the plasma wake wave and its electromagnetic field extends far outside the plasma column

  5. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  6. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    Science.gov (United States)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  7. Electric Characteristic Enhancement of an AZO/Si Schottky Barrier Diode with Hydrogen Plasma Surface Treatment and AlxOx Guard Ring Structure

    Directory of Open Access Journals (Sweden)

    Chien-Yu Li

    2018-01-01

    Full Text Available In this study, the design and fabrication of AZO/n-Si Schottky barrier diodes (SBDs with hydrogen plasma treatment on silicon surface and AlxOx guard ring were presented. The Si surface exhibited less interface defects after the cleaning process following with 30 w of H2 plasma treatment that improved the switching properties of the following formed SBDs. The rapid thermal annealing experiment also held at 400 °C to enhance the breakdown voltage of SBDs. The edge effect of the SBDs was also suppressed with the AlxOx guard ring structure deposited by the atomic layer deposition (ALD at the side of the SBDs. Experimental results show that the reverse leakage current was reduced and the breakdown voltage increased with an addition of the AlxOx guard ring. The diode and fabrication technology developed in the study were applicable to the realization of SBDs with a high breakdown voltage (>200 V, a low reverse leakage current density (≤72 μA/mm2@100 V, and a Schottky barrier height of 1.074 eV.

  8. Electric Characteristic Enhancement of an AZO/Si Schottky Barrier Diode with Hydrogen Plasma Surface Treatment and AlxOx Guard Ring Structure

    Science.gov (United States)

    Li, Chien-Yu; Cheng, Min-Yu; Houng, Mau-Phon; Yang, Cheng-Fu; Liu, Jing

    2018-01-01

    In this study, the design and fabrication of AZO/n-Si Schottky barrier diodes (SBDs) with hydrogen plasma treatment on silicon surface and AlxOx guard ring were presented. The Si surface exhibited less interface defects after the cleaning process following with 30 w of H2 plasma treatment that improved the switching properties of the following formed SBDs. The rapid thermal annealing experiment also held at 400 °C to enhance the breakdown voltage of SBDs. The edge effect of the SBDs was also suppressed with the AlxOx guard ring structure deposited by the atomic layer deposition (ALD) at the side of the SBDs. Experimental results show that the reverse leakage current was reduced and the breakdown voltage increased with an addition of the AlxOx guard ring. The diode and fabrication technology developed in the study were applicable to the realization of SBDs with a high breakdown voltage (>200 V), a low reverse leakage current density (≤72 μA/mm2@100 V), and a Schottky barrier height of 1.074 eV. PMID:29316726

  9. Plasma surface modification of poly (L-lactic acid) and poly (lactic-co-glycolic acid) films for improvement of nerve cells adhesion

    International Nuclear Information System (INIS)

    Khorasani, M.T.; Mirzadeh, H.; Irani, S.

    2008-01-01

    Radio frequency (RF) plasma treatment in O 2 was applied to modify the surface of poly (L-lactic acid) (PLLA) and poly (D,L-lactic acid-coglycolic acid) (PLGA) as biodegradable polymers. The surface structure, morphology, wettability and surface chemistry of treated films were characterized by water drop contact angle measurement, scanning electron microscope (SEM), optical invert microscope, differential scanning calorimetry (DSC) and ATIR-FTIR spectroscopy. The cell affinity of the oxygen plasma treated film was evaluated by nervous tissue B65 cell culture in stationary conditions. The results showed that the hydrophilicity increased greatly after O 2 plasma treatment. The results showed that improved cell adhesion was attributed to the combination of surface chemistry and surface wettability during plasma treatment. Cell culture results showed that B65 nervous cell attachment and growth on the plasma treated PLLA was much higher than an unmodified sample and PLGA. Surface hydrophilicity and chemical functional groups with high polar component play an important role in enhancing cell attachment and growth

  10. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  11. Diagnostics of N{sub 2}-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Energy Technology Data Exchange (ETDEWEB)

    Saloum, S; Naddaf, M; Alkhaled, B [Atomic Energy Commission of Syria (AECS), Physics Department, PO Box 6091, Damascus (Syrian Arab Republic)], E-mail: scientific@aec.org.sy

    2008-02-21

    N{sub 2}-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 {<=} x {<=} 80), at a constant applied RF power of 300 W. N{sub 2} dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I{sub N}/I{sub N{sub 2}} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N{sub 2} second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N{sub 2}, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N{sub 2} second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N{sub 2}{sup +} density varies between 5 x 10{sup 9} and 1.4 x 10{sup 10} cm{sup -3} and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B {sup 2}{sigma}{sup +}-X {sup 2}{sigma}{sup +}) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  12. Effect of dielectric barrier discharge treatment on surface nanostructure and wettability of polylactic acid (PLA) nonwoven fabrics

    Science.gov (United States)

    Ren, Yu; Xu, Lin; Wang, Chunxia; Wang, Xiaona; Ding, Zhirong; Chen, Yuyue

    2017-12-01

    Polylactic acid (PLA) nonwoven fabrics are treated with atmospheric dielectric barrier discharge (DBD) plasma to improve surface wettability. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) show that micro- to nano-scale textures appear on the treated PLA surfaces dependent on the treatment time. X-ray photoelectron spectroscopy (XPS) analysis reveals that the DBD plasma treatments result in decreased carbon contents and increased oxygen contents as well as slightly increased nitrogen contents. The water contact angle decreases sharply with the increase of the DBD plasma treatment time. The super hydrophilic PLA surfaces (the water contact angle reached 0°) are obtained when the treatment time is longer than 90 s. Ninety days after the DBD plasma treatment, the XPS analysis shows that Csbnd O/Csbnd N and Cdbnd O/Osbnd Cdbnd O percentages decline for all treatment groups. However, the water contact angle is kept constant at 0° for the groups treated above 90 s, which could be due to the oxidized nano-structured layer on the DBD plasma treated PLA surfaces.

  13. Surface modification of SU-8 for metal/SU-8 adhesion using RF plasma treatment for application in thermopile detectors

    International Nuclear Information System (INIS)

    Ashraf, Shakeel; Mattsson, Claes G; Thungström, Göran; Fondell, Mattis; Lindblad, Andreas

    2015-01-01

    This article reports on plasma treatment of SU-8 epoxy in order to enhance adhesive strength for metals. Its samples were fabricated on standard silicon wafers and treated with (O 2 and Ar) RF plasma at a power of 25 W at a low pressure of (3 × 10 −3 Torr) for different time spans (10–70 s). The sample surfaces were characterized in terms of contact angle, surface (roughness and chemistry) and using a tape test. During the contact angle measurement, it was observed that the contact angle was reduced from 73° to 5° (almost wet) and 23° for (O 2 and Ar) treated samples, respectively. The root mean square surface roughness was significantly increased by 21.5% and 37.2% for (O 2 and Ar) treatment, respectively. A pattern of metal squares was formed on the samples using photolithography for a tape test. An adhesive tape was applied to the samples and peeled off at 180°. The maximum adhesion results, more than 90%, were achieved for the O 2 -treated samples, whereas the Ar-treated samples showed no change. The XPS study shows the formation of new species in the O 2 -treated sample compared to the Ar-treated samples. The high adhesive results were due to the formation of hydrophilic groups and new O 2 species in the O 2 -treated samples, which were absent in Ar-treated samples. (paper)

  14. Evaluation of mechanism of non-thermal plasma effect on the surface of polypropylene films for enhancement of adhesive and hemo compatible properties

    Energy Technology Data Exchange (ETDEWEB)

    Navaneetha Pandiyaraj, K., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore-641062 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai-400 019 (India); Arunkumar, A.; Ramkumar, M.C. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore-641062 (India); Ruzybayev, I.; Ismat Shah, S. [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Periayah, Mercy Halleluyah; Halim, A.S. [School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2015-08-30

    Highlights: • Investigated the mechanism of effect of various gaseous plasma treatments on the surface properties of Polypropylene (PP) films. • The improvement in surface energy is basically due to the incorporation of polar functional groups onto the PP films. • The extent of surface modification and hydrophobic recovery depends upon the type of plasma forming gas. • Due to the significant morphological and chemical changes induced by the gaseous plasma treatment, improved the blood compatibility as well as adhesive strength of the PP films. - Abstract: The hydro-carbon based polymers have attracted attention of scientists for its use in bio-medical field as various implants due to inherent flexibility. However, they have poor surface properties; particularly they have low surface energy (SE). Hence, blood components (platelets, blood proteins, etc.)-polymer surface interaction is the major concern when it comes in contact with blood. Thus, surface modification is required to develop the perfect antithrombogenic property without affecting the materials bulk. The present study describes the improvement in adhesive and blood compatible properties of polypropylene (PP) by low temperature (non-thermal) plasma of various gases such as Ar, O{sub 2}, air and Ar + O{sub 2} for biomedical applications. The changes in surface morphological, chemical and hydrophilic modification induced by the gaseous plasma treatment were analyzed by atomic force microscopy (AFM), X-ray photo electron spectroscopy (XPS), electron spin resonance (ESR) spectroscopy and contact angle measurements, respectively. Moreover, the stability of plasma effect was also studied for the different storage conditions. Variation in adhesive strength of the plasma treated PP film was studied by T-Peel and Lap-Shear strength tests. The blood compatibility of the surface modified PP films was investigated by in vitro analysis. It was found that gaseous plasma treatment improved the blood compatibility

  15. Evaluation of mechanism of non-thermal plasma effect on the surface of polypropylene films for enhancement of adhesive and hemo compatible properties

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Deshmukh, R.R.; Arunkumar, A.; Ramkumar, M.C.; Ruzybayev, I.; Ismat Shah, S.; Su, Pi-Guey; Periayah, Mercy Halleluyah; Halim, A.S.

    2015-01-01

    Highlights: • Investigated the mechanism of effect of various gaseous plasma treatments on the surface properties of Polypropylene (PP) films. • The improvement in surface energy is basically due to the incorporation of polar functional groups onto the PP films. • The extent of surface modification and hydrophobic recovery depends upon the type of plasma forming gas. • Due to the significant morphological and chemical changes induced by the gaseous plasma treatment, improved the blood compatibility as well as adhesive strength of the PP films. - Abstract: The hydro-carbon based polymers have attracted attention of scientists for its use in bio-medical field as various implants due to inherent flexibility. However, they have poor surface properties; particularly they have low surface energy (SE). Hence, blood components (platelets, blood proteins, etc.)-polymer surface interaction is the major concern when it comes in contact with blood. Thus, surface modification is required to develop the perfect antithrombogenic property without affecting the materials bulk. The present study describes the improvement in adhesive and blood compatible properties of polypropylene (PP) by low temperature (non-thermal) plasma of various gases such as Ar, O 2 , air and Ar + O 2 for biomedical applications. The changes in surface morphological, chemical and hydrophilic modification induced by the gaseous plasma treatment were analyzed by atomic force microscopy (AFM), X-ray photo electron spectroscopy (XPS), electron spin resonance (ESR) spectroscopy and contact angle measurements, respectively. Moreover, the stability of plasma effect was also studied for the different storage conditions. Variation in adhesive strength of the plasma treated PP film was studied by T-Peel and Lap-Shear strength tests. The blood compatibility of the surface modified PP films was investigated by in vitro analysis. It was found that gaseous plasma treatment improved the blood compatibility as well

  16. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  17. A combination of CO{sub 2} laser and plasma surface modification of poly(etheretherketone) to enhance osteoblast response

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Yanyan [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Xiong, Chengdong [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); Wang, Zhecun [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Li, Xiaoyu [State Key Laboratory of Oral Diseases, West China Hospital of Stomatology, Sichuan University, Chengdu 610041 (China); Zhang, Lifang, E-mail: zhanglfcioc@163.com [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China)

    2015-07-30

    Highlights: • COOH and microgrooves containing micropores or microcraters structure were constructed on PEEK surface by a combination of CO{sub 2} laser and plasma treatment. • The mechanical properties of PEEK are maintained after single or dual surface treatment. • Pre-osteoblast cells (MC3T3-E1) adhesion, spreading and proliferation were improved remarkably on dual treated PEEK surface. • Cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. - Abstract: Poly(etheretherketone) (PEEK) is a rigid semicrystalline polymer that combines excellent mechanical properties, broad chemical resistance and bone-like stiffness and is widely used in biomedical fields. However, the bio-inert surface of PEEK tends to hinder its biomedical applications when direct osteointegration between the implants and the host tissue is desired. In this work, we demonstrate a dual modification method, which combines the laser and plasma surface treatment to combine advantages of both chemical states and microstructures for osteoblasts responses. While the plasma treatment introduces surface carboxyl groups (−COOH) onto PEEK surface, the laser treatment constructs microstructures over the PEEK surface. Our results indicated that −COOH as well as microgrooves containing micropores or microcraters structure are constructed on PEEK surface and plasma treatment has no apparent effect on the morphology of microstructures produced by laser micromachining. Unexpectedly, the superior mechanical properties of PEEK were maintained irrespective of the treatment used. Compared to native PEEK and single treated PEEK, dual modified PEEK is more favorable for pre-osteoblasts (MC3T3-E1) adhesion, spreading and proliferation. Moreover, cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. Our study illustrates enhanced osteoblasts responses to dual treated PEEK surface, which

  18. Effect of atmospheric pressure plasma treatment condition on adhesion of ramie fibers to polypropylene for composite

    Energy Technology Data Exchange (ETDEWEB)

    Li, Ying [College of Material and Textile Engineering, Jiaxing University, Jiaxing 314033 (China); Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Manolache, Sorin [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); US Forest Products Laboratory, Madison, WI 53726 (United States); Qiu, Yiping, E-mail: ypqiu@dhu.edu.cn [College of Textiles, Donghua University, Shanghai 201620 (China); Sarmadi, Majid, E-mail: majidsar@wisc.edu [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Materials Science Program, University of Wisconsin-Madison, Madison, WI 53706 (United States)

    2016-02-28

    Graphical abstract: - Highlights: • The continuous ethanol flow technique can successfully modify ramie fiber surface with an increase in IFSS value up to 50%. • Response surface methodology was applied to design the plasma treatment parameters for ramie fiber modification. • The ethanol flow rate was the most influential treatment parameter in plasma modification process. - Abstract: In order to improve the interfacial adhesion between hydrophilic ramie fibers and hydrophobic polypropylene (PP) matrices, ramie fibers are modified by atmospheric pressure dielectric barrier discharge (DBD) plasma with our continuous ethanol flow technique in helium environment. A central composite design of experiments with different plasma processing parameter combinations (treatment current, treatment time and ethanol flow rate) is applied to find the most influential parameter and to obtain the best modification effect. Field emission scanning electron microscope (SEM) shows the roughened surfaces of ramie fibers from the treated groups due to plasma etching effect. Dynamic contact angle analysis (DCAA) demonstrates that the wettability of the treated fibers drastically decreases. Microbond pullout test shows that the interfacial shear strength (IFSS) between treated ramie fibers and PP matrices increases significantly. Residual gas analysis (RGA) confirms the creation of ethyl groups during plasma treatment. This study shows that our continuous ethanol flow technique is effective in the plasma modification process, during which the ethanol flow rate is the most influential parameter but all parameters have simultaneous influence on plasma modification effect of ramie fibers.

  19. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Czech Academy of Sciences Publication Activity Database

    Novotná, Z.; Rimpelová, S.; Juřík, P.; Veselý, M.; Kolská, Z.; Hubáček, Tomáš; Borovec, Jakub; Švorčík, V.

    2017-01-01

    Roč. 12, JUN (2017), č. článku 424. ISSN 1556-276X R&D Projects: GA MŠk LM2015075 Institutional support: RVO:60077344 Keywords : polyetheretherketone * plasma treatment * gold sputtering * atomic force microscopy Subject RIV: JJ - Other Materials OBOR OECD: Materials engineering Impact factor: 2.833, year: 2016

  20. Thinning and functionalization of few-layer graphene sheets by CF4 plasma treatment

    KAUST Repository

    Shen, Chao

    2012-05-24

    Structural changes of few-layer graphene sheets induced by CF4 plasma treatment are studied by optical microscopy and Raman spectroscopy, together with theoretical simulation. Experimental results suggest a thickness reduction of few-layer graphene sheets subjected to prolonged CF4 plasma treatment while plasma treatment with short time only leads to fluorine functionalization on the surface layer by formation of covalent bonds. Raman spectra reveal an increase in disorder by physical disruption of the graphene lattice as well as functionalization during the plasma treatment. The F/CF3 adsorption and the lattice distortion produced are proved by theoretical simulation using density functional theory, which also predicts p-type doping and Dirac cone splitting in CF4 plasma-treated graphene sheets that may have potential in future graphene-based micro/nanodevices.

  1. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  2. The interplay of plasma treatment and gold coating and ultra-high molecular weight polyethylene: On the cytocompatibility

    Energy Technology Data Exchange (ETDEWEB)

    Novotná, Zdenka, E-mail: zdenka1.novotn@vscht.cz [Department of Solid State Engineering, University of Chemistry and Technology Prague, Prague (Czech Republic); Rimpelová, Silvie; Juřík, Petr [Department of Biochemistry and Microbiology, University of Chemistry and Technology Prague, Prague (Czech Republic); Veselý, Martin [Department of Organic Technology, University of Chemistry and Technology Prague, Prague (Czech Republic); Kolská, Zdenka [Faculty and Science, J. E. Purkinje University in Usti nad Labem, Usti nad Labem (Czech Republic); Hubáček, Tomáš [Biology Centre CAS CR, SoWa National Research Infrastructure, Ceske Budejovice (Czech Republic); Ruml, Tomáš [Department of Biochemistry and Microbiology, University of Chemistry and Technology Prague, Prague (Czech Republic); Švorčík, Václav [Department of Solid State Engineering, University of Chemistry and Technology Prague, Prague (Czech Republic)

    2017-02-01

    We have investigated the application of Ar plasma for creation of nanostructured ultra high molecular weight polyethylene (PE) surface in order to enhance adhesion of mouse embryonic fibroblasts (L929). The aim of this study was to investigate the effect of the interface between plasma-treated and gold-coated PE on adhesion and spreading of cells. The surface properties of pristine samples and its modified counterparts were studied by different experimental techniques (gravimetry, goniometry and X-ray photoelectron spectroscopy (XPS), electrokinetic analysis), which were used for characterization of treated and sputtered layers, polarity and surface chemical structure, respectively. Further, atomic force microscopy (AFM) was employed to study the surface morphology and roughness. Biological responses of cells seeded on PE samples were evaluated in terms of cell adhesion, spreading, morphology and proliferation. Detailed cell morphology and intercellular connections were followed by scanning electron microscopy (SEM). As it was expected the thickness of a deposited gold film was an increasing function of the sputtering time. Despite the fact that plasma treatment proceeded in inert plasma, oxidized degradation products were formed on the PE surface which would contribute to increased hydrophilicity (wettability) of the plasma treated polymer. The XPS method showed a decrease in carbon concentration with increasing plasma treatment. Cell adhesion measured on the interface between plasma treated and gold coated PE was inversely proportional to the thickness of a gold layer on a sample. - Highlights: • Gold-coating improved wettability of polyethylene in comparison with plasma-treatment. • Plasma-treatment increased the surface roughness while the subsequent gold-coating decreased the roughness. • Adhesion and growth of mouse embryonic fibroblasts (L929) were studied in vitro. • Low amounts of gold nanoparticles released in the medium promoted cell growth.

  3. The interplay of plasma treatment and gold coating and ultra-high molecular weight polyethylene: On the cytocompatibility

    International Nuclear Information System (INIS)

    Novotná, Zdenka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdenka; Hubáček, Tomáš; Ruml, Tomáš; Švorčík, Václav

    2017-01-01

    We have investigated the application of Ar plasma for creation of nanostructured ultra high molecular weight polyethylene (PE) surface in order to enhance adhesion of mouse embryonic fibroblasts (L929). The aim of this study was to investigate the effect of the interface between plasma-treated and gold-coated PE on adhesion and spreading of cells. The surface properties of pristine samples and its modified counterparts were studied by different experimental techniques (gravimetry, goniometry and X-ray photoelectron spectroscopy (XPS), electrokinetic analysis), which were used for characterization of treated and sputtered layers, polarity and surface chemical structure, respectively. Further, atomic force microscopy (AFM) was employed to study the surface morphology and roughness. Biological responses of cells seeded on PE samples were evaluated in terms of cell adhesion, spreading, morphology and proliferation. Detailed cell morphology and intercellular connections were followed by scanning electron microscopy (SEM). As it was expected the thickness of a deposited gold film was an increasing function of the sputtering time. Despite the fact that plasma treatment proceeded in inert plasma, oxidized degradation products were formed on the PE surface which would contribute to increased hydrophilicity (wettability) of the plasma treated polymer. The XPS method showed a decrease in carbon concentration with increasing plasma treatment. Cell adhesion measured on the interface between plasma treated and gold coated PE was inversely proportional to the thickness of a gold layer on a sample. - Highlights: • Gold-coating improved wettability of polyethylene in comparison with plasma-treatment. • Plasma-treatment increased the surface roughness while the subsequent gold-coating decreased the roughness. • Adhesion and growth of mouse embryonic fibroblasts (L929) were studied in vitro. • Low amounts of gold nanoparticles released in the medium promoted cell growth.

  4. Surface martensitization of Carbon steel using Arc Plasma Sintering

    Science.gov (United States)

    Wahyudi, Haris; Dimyati, Arbi; Sebayang, Darwin

    2018-03-01

    In this paper new technology of surface structure modification of steel by short plasma exposure in Arc Plasma Sintering (APS) device is presented. APS is an apparatus working based on plasma generated by DC pulsed current originally used for synthesizing materials via sintering and melting. Plasma exposure in APS was applied into the specimens for 1 and 3 seconds which generate temperature approximately about 1300-1500°C. The SUP9, pearlitic carbon steel samples were used. The hardness, hardening depth and microstructure of the specimens have been investigated by Vickers micro hardness test and Scanning Electron Microscopy (SEM) supported by Energy Dispersive X-Ray Spectroscopy (EDX). The results have showed that the mechanical property was significantly improved due to the formation of single martensitic structures as identified by SEM. The hardness of treated surface evaluated by Vickers hardness test showed significant improvement nearly three time from 190 VHN before to 524 VHN after treatment. Furthermore, EDX confirmed that the formation of martensite layer occurred without altering its composition. The APS also produced uniform hardened layer up to 250 μm. The experiment has demonstrated that arc plasma process was successfully improved the mechanical properties of steel in relatively very short time.

  5. Post-treatment of Plasma-Sprayed Amorphous Ceramic Coatings by Spark Plasma Sintering

    Science.gov (United States)

    Chraska, T.; Pala, Z.; Mušálek, R.; Medřický, J.; Vilémová, M.

    2015-04-01

    Alumina-zirconia ceramic material has been plasma sprayed using a water-stabilized plasma torch to produce free standing coatings. The as-sprayed coatings have very low porosity and are mostly amorphous. The amorphous material crystallizes at temperatures above 900 °C. A spark plasma sintering apparatus has been used to heat the as-sprayed samples to temperatures above 900 °C to induce crystallization, while at the same time, a uniaxial pressure of 80 MPa has been applied to their surface. After such post-treatment, the ceramic samples are crystalline and have very low open porosity. The post-treated material exhibits high hardness and significantly increased flexural strength. The post-treated samples have a microstructure that is best described as nanocomposite with the very small crystallites embedded in an amorphous matrix.

  6. Preparation of poly(2-chloroaniline) membrane and plasma surface modification

    International Nuclear Information System (INIS)

    Kir, E.; Oksuz, L.; Helhel, S.

    2006-01-01

    P2ClAn membranes were obtained from chemically synthesized poly(2-chloroaniline) (P2ClAn) by casting method. These membranes were cast from dimethyl formamide (DMF) and were in the undoped state. P2ClAn membranes were characterized by Fourier infrared spectroscopy and scanning electron microscopy. Measurements of water content capacity, membrane thickness and ion-exchange capacity of the cast membranes were carried out. P2ClAn membranes were treated by electron cylotron resonance (ECR) plasma for surface modification. Plasma treatment has been successfully utilized for improving the surface properties of P2ClAn membranes such as increasing pore diameters and number of pores for better anion or molecule transportation

  7. Enhancing Dark Shade Pigment Dyeing of Cotton Fabric Using Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Chi-Wai Kan

    2017-07-01

    Full Text Available This study is intended to investigate the effect of atmospheric pressure plasma treatment on dark shade pigment dyeing of cotton fabric. Experimental results reveal that plasma-treated cotton fabric can attain better color yield, levelness, and crocking fastness in dark shade pigment dyeing, compared with normal cotton fabric (not plasma treated. SEM analysis indicates that cracks and grooves were formed on the cotton fiber surface where the pigment and the binder can get deposited and improve the color yield, levelness, and crocking fastness. It was also noticed that pigment was aggregated when deposited on the fiber surface which could affect the final color properties.

  8. Efficient adhesion-based plasma membrane isolation for cell surface N-glycan analysis.

    Science.gov (United States)

    Mun, Ji-Young; Lee, Kyung Jin; Seo, Hoon; Sung, Min-Sun; Cho, Yee Sook; Lee, Seung-Goo; Kwon, Ohsuk; Oh, Doo-Byoung

    2013-08-06

    Glycans, which decorate cell surfaces, play crucial roles in various physiological events involving cell surface recognition. Despite the importance of surface glycans, most analyses have been performed using total cells or whole membranes rather than plasma membranes due to difficulties related to isolation. In the present study, we employed an adhesion-based method for plasma membrane isolation to analyze N-glycans on cell surfaces. Cells were attached to polylysine-coated glass plates and then ruptured by hypotonic pressure. After washing to remove intracellular organelles, only a plasma membrane fraction remained attached to the plates, as confirmed by fluorescence imaging using organelle-specific probes. The plate was directly treated with trypsin to digest and detach the glycoproteins from the plasma membrane. From the resulting glycopeptides, N-glycans were released and analyzed using MALDI-TOF mass spectrometry and HPLC. When N-glycan profiles obtained by this method were compared to those by other methods, the amount of high-mannose type glycans mainly contaminated from the endoplasmic reticulum was dramatically reduced, which enabled the efficient detection of complex type glycans present on the cell surface. Moreover, this method was successfully used to analyze the increase of high-mannose glycans on the surface as induced by a mannosidase inhibitor treatment.

  9. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Kim, Eungkwon [Digital Broadcasting Examination, Korean Intellectual Property Office, Daejeon, Suwon 440-746 (Korea, Republic of); Hong, Byungyou [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of); Lee, Jaehyoeng, E-mail: jaehyeong@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of)

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{sub 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.

  10. Heparainization of gas plasma-modified polystyrene surfaces and the interactions of these surfaces with proteins studied with surface plasmon resonance

    NARCIS (Netherlands)

    van Delden, C.J.; van Delden, C.J.; Lens, J.P.; Lens, J.P.; Kooyman, R.P.H.; Engbers, G.H.M.; Feijen, Jan

    1997-01-01

    Polystyrene surfaces obtained by spin-coating a solution of polystyrene in toluene on a gold layer were functionalized with carboxylic acid groups by preadsorption of the sodium salt of undecylenic acid, followed by an argon plasma treatment. A conjugate of albumin and heparin (alb-hep) was

  11. Study of surface plasma coating of 4340 steel with different microstructure for high temperature use

    International Nuclear Information System (INIS)

    Carrer, Isabela Reis; Abdalla, Antonio Jorge; Barboza, Miguel Justino Ribeiro; Suzuki, Paulo Atsushi

    2010-01-01

    This paper has as main objective the formation of different microstructures by the specific heat treatments and applies the plasma thermochemical treatments to increase surface hardness. These two types of treatments will be evaluated in creep mechanical properties in steel 4340 for aeronautic uses. It will be evaluated, at first, the influence of heat treatments that changes the material microstructure. It was prepared specimen with three kinds of different microstructures (ferritic- perlitic, bainitic and martensitic), have been formed by the different heat treatments. After that, part of specimen will be submitted to plasma coating to evaluate the influence of this kind of surface treatment on creep properties. To better understand the microstructure and the relations between his properties, it was realized microscopic analyses, hardness tests and X-ray diffraction. (author)

  12. Corona Glow Discharge Plasma Treatment for Hidrophylicity Improvement of Polyester and Cotton Fabrics

    Science.gov (United States)

    Susan, A. I.; Widodo, M.; Nur, M.

    2017-07-01

    The effects of irradiation by a corona glow discharge plasma on hidrophylicity properties of polyester and cotton fabrics were investigated. We used a corona glow discharge plasma reactor with multiple points to plane electrodes, which was generated by a high voltage DC. Factors that affect the hidrophylicity properties were identified and evaluated as functions of irradiation parameters, which include duration of treatment, distance between electrodes, and bias voltage. It was readily observed from SEM examinations that plasma changed the surface morphology of both polyester and cotton fibers, giving result to an increased roughness to both of them. Results also showed that the hidrophylicityof polyester and cotton fabrics improved by the treatment, which is proportional to the time of treatment and voltage, but inversely proportional to the distance between electrodes. Time of treatment that provided the optimum enhancement of hidrophylicity for cotton is 15 minutes which improved the wetting time from 8.16 seconds to 1.26 seconds. For polyester, it took 15 minutes of irradiation time to improve the wetting time from 7340 seconds to 2905 seconds. The optimum distance between electrodes for both fabrics in this study was found to be 2 cm. Further analysis showed that the improved hidrophylicity properties is due to the creation of surface radicals by free radicals in the plasma leading to the formation of new water-attracting functional groups on the fiber surface.

  13. Fabrication of multianalyte CeO2 nanograin electrolyte–insulator–semiconductor biosensors by using CF4 plasma treatment

    Directory of Open Access Journals (Sweden)

    Chyuan Haur Kao

    2015-09-01

    Full Text Available Multianalyte CeO2 biosensors have been demonstrated to detect pH, glucose, and urine concentrations. To enhance the multianalyte sensing capability of these biosensors, CF4 plasma treatment was applied to create nanograin structures on the CeO2 membrane surface and thereby increase the contact surface area. Multiple material analyses indicated that crystallization or grainization caused by the incorporation of flourine atoms during plasma treatment might be related to the formation of the nanograins. Because of the changes in surface morphology and crystalline structures, the multianalyte sensing performance was considerably enhanced. Multianalyte CeO2 nanograin electrolyte–insulator–semiconductor biosensors exhibit potential for use in future biomedical sensing device applications. Keywords: Multianalyte biosensor, CeO2 nanograin, EIS, CF4 plasma treatment, Membrane surface

  14. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  15. Pinus Pinaster surface treatment realized in spatial and temporal afterglow DBD conditions

    Science.gov (United States)

    Lecoq, E.; Clément, F.; Panousis, E.; Loiseau, J.-F.; Held, B.; Castetbon, A.; Guimon, C.

    2008-04-01

    This experimental work deals with the exposition of Pinus Pinaster wood samples to a DBD afterglow. Electrical parameters like duty cycle and injected energy in the gas are being varied and the modifications induced by the afterglow on the wood are analysed by several macroscopic and microscopic ways like wettability, XPS analyses and also soaking tests of treated wood in a commercial fungicide solution. Soaking tests show that plasma treatment could enhance the absorption of fungicide into the wood. The wettability results point out that the plasma treatment can inflict on the wood different surface properties, making it hydrophilic or hydrophobic, when varying electrical parameters. XPS analyses reveal several chemical modifications like an increase of the O/C ratio and the presence of carboxyl groups on the surface after plasma treatments.

  16. Surface properties of indium tin oxide treated by Cl{sub 2} inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo; Yang, Xilu; Yan, Hang; Gong, Junyi; Zhong, Shaofeng [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2014-10-15

    Graphical abstract: - Highlights: • The work function of chlorinated ITO increases initially by up to 1 eV. • The chlorinated ITO keeps an increment of work function of 0.3 eV after 100 days. • The WF decrease curves can be fitted with double exponential functions. • The desorption of unstable Cl in the surface leads to the rapid decrease of WF. • The core levels of In 3d5 and Sn 3d5 and O 1s shift toward higher binding energies. - Abstract: The effects of Cl{sub 2} inductively coupled plasma (ICP) treatment on the time dependence of work function (WF) and surface properties of indium tin oxide (ITO) were investigated. Kelvin probe (KP) measurements show that the WF after Cl{sub 2} ICP treatment is close to 5.9 eV. The WF decrease curve of Cl{sub 2} plasma treated ITO is fitted with double exponential functions with an adjusted R-square of 0.99. The mechanism under the decrease process is discussed by X-ray photoelectron spectroscopy (XPS). The ITO WF decrease after Cl{sub 2} ICP treatment performs much better than that after O{sub 2} ICP treatment and the chlorinated ITO keeps a WF increment of 0.3 eV compared with that without plasma treatment after 100 days. Other properties of chlorinated ITO surface such as morphology and transmittance change slightly. The results are significant for the understanding of degradation of Cl{sub 2} plasma treated ITO and the fabrication of organic semiconductor devices.

  17. Influence of He/O2 atmospheric pressure plasma jet treatment on subsequent wet desizing of polyacrylate on PET fabrics

    International Nuclear Information System (INIS)

    Li Xuming; Lin Jun; Qiu Yiping

    2012-01-01

    The influence of He/O 2 atmospheric pressure plasma jet (APPJ) treatment on subsequent wet desizing of polyacrylate on PET fabrics was studied in the present paper. Weight loss results indicated that the weight loss increased with an increase of plasma treatment time. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) showed an increased surface roughness after the plasma treatment. SEM also showed that the fiber surfaces were as clean as unsized fibers after 35 s treatment followed by NaHCO 3 desizing. X-ray photoelectron spectroscopy (XPS) analysis indicated that oxygen-based functional groups increased for the plasma treated polyacrylate sized fabrics. The percent desizing ratio (PDR) results showed that more than 99% PDR was achieved after 65 s plasma treatment followed by a 5 min NaHCO 3 desizing. Compared to conventional wet desizing, indicating that plasma treatment could significantly reduce desizing time.

  18. Plasma jet array treatment to improve the hydrophobicity of contaminated HTV silicone rubber

    Science.gov (United States)

    Zhang, Ruobing; Han, Qianting; Xia, Yan; Li, Shuang

    2017-10-01

    An atmospheric-pressure plasma jet array specially designed for HTV silicone rubber treatment is reported in this paper. Stable plasma containing highly energetic active particles was uniformly generated in the plasma jet array. The discharge pattern was affected by the applied voltage. The divergence phenomenon was observed at low gas flow rate and abated when the flow rate increased. Temperature of the plasma plume is close to room temperature which makes it feasible for temperature-sensitive material treatment. Hydrophobicity of contaminated HTV silicone rubber was significantly improved after quick exposure of the plasma jet array, and the effective treatment area reached 120 mm × 50 mm (length × width). Reactive particles in the plasma accelerate accumulation of the hydrophobic molecules, namely low molecular weight silicone chains, on the contaminated surface, which result in a hydrophobicity improvement of the HTV silicone rubber.

  19. Surface nanocrystallization by surface mechanical attrition treatment and its effect on structure and properties of plasma nitrided AISI 321 stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Lin Yimin [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, 18 Middle Tianshui Road, Lanzhou 730000 (China) and Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China)]. E-mail: linyimin_2001@yahoo.com.cn; Lu Jian [LASMIS, University of Technology of Troyes, 10000 Troyes (France); Wang Liping [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, 18 Middle Tianshui Road, Lanzhou 730000 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Xu Tao [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, 18 Middle Tianshui Road, Lanzhou 730000 (China); Xue Qunji [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, 18 Middle Tianshui Road, Lanzhou 730000 (China)]. E-mail: qjxue@ns.lzb.ac.cn

    2006-12-15

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 321 austenitic stainless steel by means of surface mechanical attrition treatment (SMAT). Low-temperature nitriding of SMAT and un-SMAT AISI 321 stainless steel was carried out in pulsed-DC glow discharge. The effect of SMAT pretreatment on the microstructure and properties of the stainless steel were investigated using X-ray diffraction, scanning electron microscopy, transmission electron microscopy, Vickers hardness tester and UMT-2MT tribometer. The results show that the plasma nitriding of AISI 321 steel can be enhanced considerably by means of SMAT process before nitriding, and a much thicker nitrogen diffusion layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples. In addition, the wear resistance and load capacity of the nitrided layers on the SMAT samples was much higher than that of the un-SMAT samples due to the thicker S phase case and the gradient nitrogen diffusion layer.

  20. The role of surface currents in plasma confinement

    International Nuclear Information System (INIS)

    Webster, Anthony J.

    2011-01-01

    During plasma instabilities, ''surface currents'' can flow at the interface between the plasma and the surrounding vacuum, and in most cases, they are a harmless symptom of the instability that is causing them. Large instabilities can lead to ''disruptions,'' an abrupt termination of the plasma with the potential to damage the machine in which it is contained. For disruptions, the correct calculation of surface currents is thought to be essential for modelling disruptions properly. Recently, however, there has been debate and disagreement about the correct way to calculate surface currents. The purpose of this paper is to clarify as simply as possible the role of surface currents for plasma confinement and to show that a commonly used representation for surface currents σ-vector with σ-vector=∇I and n-vector, I a scalar function, and n-vector the unit normal to the plasma surface, is only appropriate for the calculation of surface currents that are in magnetohydrodynamic equilibrium. Fortunately, this is the situation thought to be of most relevance for disruption calculations.

  1. Preparation of chitosan-coated polyethylene packaging films by DBD plasma treatment.

    Science.gov (United States)

    Theapsak, Siriporn; Watthanaphanit, Anyarat; Rujiravanit, Ratana

    2012-05-01

    Polyethylene (PE) packaging films were coated with chitosan in order to introduce the antibacterial activity to the films. To augment the interaction between the two polymers, we modified the surfaces of the PE films by dielectric barrier discharge (DBD) plasma before chitosan coating. After that the plasma-treated PE films were immersed in chitosan acetate solutions with different concentrations of chitosan. The optimum plasma treatment time was 10 s as determined from contact angle measurement. Effect of the plasma treatment on the surface roughness of the PE films was investigated by atomic force microscope (AFM) while the occurrence of polar functional groups was observed by X-ray photoelectron spectroscope (XPS) and Fourier transformed infrared spectroscope (FTIR). It was found that the surface roughness as well as the occurrence of oxygen-containing functional groups (i.e., C═O, C-O, and -OH) of the plasma-treated PE films increased from those of the untreated one, indicating that the DBD plasma enhanced hydrophilicity of the PE films. The amounts of chitosan coated on the PE films were determined after washing the coated films in water for several number of washing cycles prior to detection of the chitosan content by the Kjaldahl method. The amounts of chitosan coated on the PE films were constant after washing for three times and the chitosan-coated PE films exhibited appreciable antibacterial activity against Escherichia coli and Staphylococcus aureus. Hence, the obtained chitosan-coated PE films could be a promising candidate for antibacterial food packaging.

  2. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  3. The relationship between cellular adhesion and surface roughness for polyurethane modified by microwave plasma radiation

    Directory of Open Access Journals (Sweden)

    Heidari S

    2011-04-01

    Full Text Available Saeed Heidari Keshel1, S Neda Kh Azhdadi2, Azadeh Asefnezhad2, Mohammad Sadraeian3, Mohamad Montazeri4, Esmaeil Biazar51Stem Cell Preparation Unit, Eye Research Center, Farabi Eye Hospital, Tehran University of Medical Sciences; 2Department of Biomaterial Engineering, Faculty of Biomedical Engineering, Science and Research Branch - Islamic Azad University; 3Young Researchers Club, Islamic Azad University, North Tehran Branch, Tehran; 4Faculty of Medical Sciences, Babol University of Medical Sciences, Babol; 5Department of Chemistry, Islamic Azad University, Tonekabon, IranAbstract: Surface modification of medical polymers is carried out to improve biocompatibility. In this study, conventional polyurethane was exposed to microwave plasma treatment with oxygen and argon gases for 30 seconds and 60 seconds. Attenuated total reflection Fourier transform infrared spectra investigations of irradiated samples indicated the presence of functional groups. Atomic force microscope images of samples irradiated with inert and active gases indicated the nanometric topography of the sample surfaces. Samples irradiated by oxygen plasma indicated high roughness compared with those irradiated by inert plasma for the different lengths of time. In addition, surface roughness increased with time, which can be due to a reduction of contact angle of samples irradiated by oxygen plasma. Contact angle analysis indicated a reduction in samples irradiated with both types of plasma. However, samples irradiated with oxygen plasma indicated lower contact angle compared with those irradiated by argon plasma. Cellular investigations with unrestricted somatic stem cells showed better adhesion, cell growth, and proliferation among samples radiated by oxygen plasma for longer than for normal samples.Keywords: surface topography, polyurethane, plasma treatment, cellular investigation

  4. Investigations into the Anti-Felting Properties of Sputtered Wool Using Plasma Treatment

    International Nuclear Information System (INIS)

    Borghei, S. M.; Shahidi, S.; Ghoranneviss, M.; Abdolahi, Z.

    2013-01-01

    In this research the effects of mordant and plasma sputtering treatments on the crystallinity and morphological properties of wool fabrics were investigated. The felting behavior of the treated samples was also studied. We used madder as a natural dye and copper sulfate as a metal mordant. We also used copper as the electrode material in a DC magnetron plasma sputtering device. The anti-felting properties of the wool samples before and after dying was studied, and it was shown that the shrink resistance and anti-felting behavior of the wool had been significantly improved by the plasma sputtering treatment. In addition, the percentage of crystallinity and the size of the crystals were investigated using an X-ray diffractometer, and a scanning electron microscope was used for morphological analysis. The amount of copper particles on the surface of the mordanted and sputtered fabrics was studied using the energy dispersive X-ray (EDX) method, and the hydrophobic properties of the samples were examined using the water drop test. The results show that with plasma sputtering treatment, the hydrophobic properties of the surface of wool become super hydrophobic.

  5. Plasma processing of the Si(0 0 1) surface for tuning SPR of Au/Si-based plasmonic nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)]. E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Sacchetti, Alberto [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2006-12-15

    Au nanoclusters have been deposited on Si(0 0 1) surfaces by sputtering of a metallic Au target using an Ar plasma. Different wet and dry treatments of the Si(0 0 1) surface, including dipping in HF solution and exposure to H{sub 2} and N{sub 2} plasmas, have been applied and the effects of these treatments on the Au nanoparticles/Si interface, the Au nanoclusters aspect ratio and the surface plasmon resonance (SPR) energy and amplitude are investigated exploiting spectroscopic ellipsometry and atomic force microscopy. It is found that the Au nanoclusters aspect ratio depends on the extent of the Au-Si intermixing. The thicker the Au-Si interface layer, the larger the Au nanoparticles aspect ratio and the red-shift of the SPR peak. Furthermore, SiO{sub 2} and the H{sub 2} plasma treatment inhibit the Si-Au intermixing, while HF-dipping and the N{sub 2} plasma treatment favour Au-Si intermixing, yielding silicide formation which increases the Si wetting by Au.

  6. Physical and chemical contributions of a plasma treatment in the growth of ZnO nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Jang, J.T. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Materials and Components Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2013-11-15

    Highlights: •ZnO nanorods were grown by hydrothermal synthesis. •Oxygen plasma was done on the surface of seed ZnO nanorods. •The ZnO nanorods with and without plasma treatment were characterized. •The results showed that the optical and structural properties of ZnO nanorods with plasma treatment were enhanced. -- Abstract: We analyzed the enhancement of optical and structural properties of ZnO nanorods by using a plasma treatment. In this study, seed ZnO nanorods were grown by hydrothermal synthesis for 1 h on a ZnO buffered Si substrate. The seed ZnO nanorods were then treated with an oxygen plasma. Next, ZnO was grown for an additional 4 h by hydrothermal synthesis. The resultant ZnO nanorods were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM), electron energy loss spectroscopy (EELS), X-ray diffraction (XRD) and photoluminescence (PL). The measurements showed that the plasma treatment of the seed ZnO nanorods increased the roughness of the buffer layer and the concentration of oxygen ions on the surfaces of the seed ZnO nanorods and the buffer layer, leading to improved optical and structural properties. In this study, we found that the plasma treatment on the seed ZnO nanorods enhanced the optical and structural properties of the ZnO nanorods.

  7. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    Science.gov (United States)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  8. Review of some research work on surface modification and polymerizations by non-equilibrium plasma in Turkey

    International Nuclear Information System (INIS)

    Akovali, Guneri

    2004-01-01

    Non equilibrium plasma studies in Turkey can be considered as organized on two different lines: surface modification studies and plasma polymerization studies. Plasma surface modification studies: In different laboratories in Turkey the modification of materials' surfaces by plasma covers a wide spectra, for example: fibers (Carbon (CF) and polyacrylonitrile (PAN)), fabrics (PET/Cotton and PET/PA), biomaterials-food oriented (PU), denture Acrylic matrix, plasmochemical modification of a (PE and PP) film surface by several selected silicon and tin containing monomers, polymer blends and composites, recycled rubber and epoxy systems, etc. Plasma polymerization studies: This topic is accomplished by a great number of projects, for instance: plasma initiation polymerization and copolymerization of Styrene and MMA, Plasma-initiated polymerizations of Acrylamide (AA), kinetics of polymer deposition of several selected saturated hydrocarbons, silanization treatments by hexamethyldisilazane (HDMS), Plasma initiated polymerization (PIP) of allyl alcohol and 1-propano, (PSP) and (PIP) studies related to activated charcoal are done to explore their applications in haemoperfusion, an amperometric alcohol single-layer electrode is prepared by (EDA) plasma polymerization, preparation of mass sensitive immuno sensors and single layer multi enzyme electrodes by plasma polymerisation technique, etc

  9. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  10. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    International Nuclear Information System (INIS)

    Li Yang; Wang Liang; Zhang Dandan; Shen Lie

    2010-01-01

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 deg. C for 8 h in an NH 3 gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 deg. C for 8 h can produced a compound layer of 2.5 μm thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 deg. C within the same time.

  11. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    Science.gov (United States)

    Li, Yang; Wang, Liang; Zhang, Dandan; Shen, Lie

    2010-11-01

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 °C for 8 h in an NH 3 gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 °C for 8 h can produced a compound layer of 2.5 μm thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 °C within the same time.

  12. Investigations of plasma induced effects on the surface properties of lignocellulosic natural coir fibres

    Energy Technology Data Exchange (ETDEWEB)

    Praveen, K.M., E-mail: praveenkmiiucnn@gmail.com [International and Inter University Centre for Nano Science and Nanotechnology (IIUCNN), Mahatma Gandhi University, Kottayam, Kerala (India); Centre de Recherche C.Huygens, LIMATB (Laboratoired’Ingénierie des Matériaux de Bretagne), Université De Bretagne-Sud, Rue stMaudé – BP 92116, Cedex Lorient 56321 Lorient (France); Thomas, Sabu [International and Inter University Centre for Nano Science and Nanotechnology (IIUCNN), Mahatma Gandhi University, Kottayam, Kerala (India); Grohens, Yves [Centre de Recherche C.Huygens, LIMATB (Laboratoired’Ingénierie des Matériaux de Bretagne), Université De Bretagne-Sud, Rue stMaudé – BP 92116, Cedex Lorient 56321 Lorient (France); Mozetič, Miran; Junkar, Ita; Primc, Gregor [Department of Surface Engineering, Jozef Stefan Institute, Jamovacesta 39, Ljubljana 1000 (Slovenia); Gorjanc, Marija [Faculty of Natural Sciences and Engineering, University of Ljubljana, Aškerčeva 12, Ljubljana 1000 (Slovenia)

    2016-04-15

    Graphical abstract: Plasma induced changes on the morphology of coir fibres (Viewed and Analysed using scanning electron microscopy, Jeol JSM 7600 FEG). The O{sub 2} plasma treated fibre possessed increased hydrophilicity due to the chemical and physical changes induced by plasma. - Highlights: • Plasma-induced effects on the surface properties of lignocellulosic natural coir fibres were investigated. • The morphological study using SEM analysis also confirmed the surface changes which were observed after plasma treatment. • The water absorption studies show an increase of water absorption from 39% to around 100%. • The topographic measurements done using atomic force microscopy (AFM) showed etching of fibre wall, and this is responsible for higher water absorption. • XPS analysis reveals that the oxygen content measured for samples treated at 50 Pa increased from initial 18 at% to about 32 at%. - Abstract: The development of lignocellulosic natural-fibre-reinforced polymers composites are constrained by two limitations: the upper temperature at which the fibre can be processed and the significant differences between the surface energy of the fibre and the polymer matrix. Since the fibres and matrices are chemically different, strong adhesion at their interface is needed for the effective transfer of stress and bond distribution throughout the interface. The present study investigated the plasma induced effects on the surface properties of natural coir fibres. Weakly ionized oxygen plasma was created in two different discharge chambers by an inductively coupled radiofrequency (RF) discharge. The water absorption studies showed an increase of water sorption from 39% to 100%. The morphological study using scanning electron microscopy (SEM) analysis also confirmed the surface changes which were observed after the plasma treatment. The topographic measurements and phase imaging done using atomic force microscopy (AFM) indicated difference in topographic

  13. Hemocompatible control of sulfobetaine-grafted polypropylene fibrous membranes in human whole blood via plasma-induced surface zwitterionization.

    Science.gov (United States)

    Chen, Sheng-Han; Chang, Yung; Lee, Kueir-Rarn; Wei, Ta-Chin; Higuchi, Akon; Ho, Feng-Ming; Tsou, Chia-Chun; Ho, Hsin-Tsung; Lai, Juin-Yih

    2012-12-21

    In this work, the hemocompatibility of zwitterionic polypropylene (PP) fibrous membranes with varying grafting coverage of poly(sulfobetaine methacrylate) (PSBMA) via plasma-induced surface polymerization was studied. Charge neutrality of PSBMA-grafted layers on PP membrane surfaces was controlled by the low-pressure and atmospheric plasma treatment in this study. The effects of grafting composition, surface hydrophilicity, and hydration capability on blood compatibility of the membranes were determined. Protein adsorption onto the different PSBMA-grafted PP membranes from human fibrinogen solutions was measured by enzyme-linked immunosorbent assay (ELISA) with monoclonal antibodies. Blood platelet adhesion and plasma clotting time measurements from a recalcified platelet-rich plasma solution were used to determine if platelet activation depends on the charge bias of the grafted PSBMA layer. The charge bias of PSBMA layer deviated from the electrical balance of positively and negatively charged moieties can be well-controlled via atmospheric plasma-induced interfacial zwitterionization and was further tested with human whole blood. The optimized PSBMA surface graft layer in overall charge neutrality has a high hydration capability and keeps its original blood-inert property of antifouling, anticoagulant, and antithrmbogenic activities when it comes into contact with human blood. This work suggests that the hemocompatible nature of grafted PSBMA polymers by controlling grafting quality via atmospheric plasma treatment gives a great potential in the surface zwitterionization of hydrophobic membranes for use in human whole blood.

  14. Improving the wettability of 2024 aluminium alloy by means of cold plasma treatment

    Science.gov (United States)

    Polini, W.; Sorrentino, L.

    2003-05-01

    Aluminium alloys are heavily used to manufacture structural parts in the aeronautic industry because of its lightness and its corrosion resistance. These alloys are successfully used in other industrial fields too, such as railway, automotive and naval industries. The need to contrast the severe use conditions and the heavy stresses developing in aeronautic field implies to protect the surfaces of the structures in aluminium alloy by any deterioration. To preserve by deterioration, it is necessary to make aluminium more suitable to be coated by protective paint. In the aeronautic industry, a complex and critical process is used in order to enhance both wettability and adhesive properties of aluminium alloy surfaces. Cold plasma treatment represents an efficient, clean and economic alternative to activate aluminium surfaces. The present work deals with air cold plasma treatment of 2024 aluminium alloy surfaces. The influence of dc electrical discharge cold plasma parameters on wettability of 2024 aluminium alloy surfaces has been studied. A set of process variables (voltage, time and air flow rate) has been identified and used to conduct some experimental tests on the basis of design of experiment (DOE) techniques. The experimental results show that the proposed plasma process may considerably increase aluminium alloy wettability. These results represent the first step in trying to optimise the aluminium adhesion by means of this non-conventional manufacturing process.

  15. Surface treatment of ceramic articles

    International Nuclear Information System (INIS)

    Komvopoulos, K.; Brown, I.G.; Wei, B.; Anders, S.; Anders, A.; Bhatia, C.S.

    1998-01-01

    A process is disclosed for producing an article with improved ceramic surface properties including providing an article having a ceramic surface, and placing the article onto a conductive substrate holder in a hermetic enclosure. Thereafter a low pressure ambient is provided in the hermetic enclosure. A plasma including ions of solid materials is produced the ceramic surface of the article being at least partially immersed in a macroparticle free region of the plasma. While the article is immersed in the macroparticle free region, a bias of the substrate holder is biased between a low voltage at which material from the plasma condenses on the surface of the article and a high negative voltage at which ions from the plasma are implanted into the article. 15 figs

  16. Influence of He/O{sub 2} atmospheric pressure plasma jet treatment on subsequent wet desizing of polyacrylate on PET fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Li Xuming [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China); College of Textile and Clothing, Shaoxing University, Shaoxing 312000 (China); Lin Jun [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China); Qiu Yiping, E-mail: ypqiu@dhu.edu.cn [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China)

    2012-01-15

    The influence of He/O{sub 2} atmospheric pressure plasma jet (APPJ) treatment on subsequent wet desizing of polyacrylate on PET fabrics was studied in the present paper. Weight loss results indicated that the weight loss increased with an increase of plasma treatment time. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) showed an increased surface roughness after the plasma treatment. SEM also showed that the fiber surfaces were as clean as unsized fibers after 35 s treatment followed by NaHCO{sub 3} desizing. X-ray photoelectron spectroscopy (XPS) analysis indicated that oxygen-based functional groups increased for the plasma treated polyacrylate sized fabrics. The percent desizing ratio (PDR) results showed that more than 99% PDR was achieved after 65 s plasma treatment followed by a 5 min NaHCO{sub 3} desizing. Compared to conventional wet desizing, indicating that plasma treatment could significantly reduce desizing time.

  17. Optimization of Phospholipase A1 Immobilization on Plasma Surface Modified Chitosan Nanofibrous Mat

    Directory of Open Access Journals (Sweden)

    Zahra Beig Mohammadi

    2016-01-01

    Full Text Available Phospholipase A1 is known as an effective catalyst for hydrolysis of various phospholipids in enzymatic vegetable oil degumming. Immobilization is one of the most efficient strategies to improve its activity, recovery and functional properties. In this study, chitosan-co-polyethylene oxide (90:10 nanofibrous mat was successfully fabricated and modified with atmospheric plasma at different times (2, 6 and 10 min to interact with enzyme molecules. Scanning electron microscopy images revealed that the membranes retained uniform nanofibrous and open porous structures before and after the treatment. PLA1 was successfully immobilized onto the membrane surfaces via covalent bonds with the functional groups of chitosan nanofibrous mat. Response surface methodology was used to optimize the immobilization conditions for reaching the maximum immobilization efficiency. Enzyme concentration, pH, and immobilization time were found to be significant key factors. Under optimum conditions (5.03 h, pH 5.63, and enzyme dosage 654.36 UI, the atmospheric plasma surface modified chitosan nanofibers reached the highest immobilization efficiency (78.50%. Fourier transform infrared spectroscopy of the control and plasma surface-modified chitosan nanofibers revealed the functional groups of nanofibers and their reaction with the enzyme. The results indicated that surface modification by atmospheric plasma induced an increase in PLA1 loading on the membrane surfaces.

  18. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  19. Electron acceleration by surface plasma waves in double metal surface structure

    Science.gov (United States)

    Liu, C. S.; Kumar, Gagan; Singh, D. B.; Tripathi, V. K.

    2007-12-01

    Two parallel metal sheets, separated by a vacuum region, support a surface plasma wave whose amplitude is maximum on the two parallel interfaces and minimum in the middle. This mode can be excited by a laser using a glass prism. An electron beam launched into the middle region experiences a longitudinal ponderomotive force due to the surface plasma wave and gets accelerated to velocities of the order of phase velocity of the surface wave. The scheme is viable to achieve beams of tens of keV energy. In the case of a surface plasma wave excited on a single metal-vacuum interface, the field gradient normal to the interface pushes the electrons away from the high field region, limiting the acceleration process. The acceleration energy thus achieved is in agreement with the experimental observations.

  20. Surface plasmon resonance investigation of optical detection in plasma-modified phospholipid layers

    International Nuclear Information System (INIS)

    Park, Byoungchoo; Cho, Chanyoun; Choi, Kyoungho; Jeon, Honggoo

    2012-01-01

    We herein report on a study of surface plasmon resonance (SPR) in thin gold (Au) films coated with thin layers of phospholipid material, which had been exposed to an atmospheric pressure (AP) plasma containing both pure Ar and Ar mixed with O 2 (Ar/O 2 , 0.8%). The phospholipid material that we used for the SPR experiments was lecithin, and the AP plasma system was applied in air by means of a radio-frequency (RF) plasma generator. A thin (∼60 nm) film of Au and a thin (∼15 nm) layer of lecithin were deposited and attached to the face of a prism, and surface plasmon modes were excited along the interfaces of the prism-Au-lecithin-air system by means of prism coupling using a He-Ne Laser (632.8 nm). The experimental SPR reflectance curves of the Au-lecithin-air modes were found to be shifted towards those of the Au-air mode with increasing applications of AP RF plasma treatment. From the shifts in the SPR curves, we found that the estimated thickness of the lecithin layer treated with a pure Ar plasma showed a linear decrease with etching rate of about 3 nm per treatment while the thickness of the lecithin layer treated with a mixed Ar/O 2 plasma showed a tendency to saturate following a large initial decrease (ca. 14 nm). All these results demonstrate that the use of SPR sensing could facilitate the detection of extremely small variations in plasma-treated films of biomaterials.

  1. A comparison of reactive plasma pre-treatments on PET substrates by Cu and Ti pulsed-DC and HIPIMS discharges

    Energy Technology Data Exchange (ETDEWEB)

    Audronis, M., E-mail: m.audronis@yahoo.co.uk [Gencoa Ltd, Physics Road, Speke, Liverpool, L24 9HP (United Kingdom); Hinder, S.J. [The Surface Analysis Laboratory, Faculty of Engineering and Physical Sciences, University of Surrey, Guildford, GU2 7XH (United Kingdom); Mack, P. [ThermoFisher Scientific Ltd, Imberhorne Lane, East Grinstead, Sussex, RH19 1UB (United Kingdom); Bellido-Gonzalez, V. [Gencoa Ltd, Physics Road, Speke, Liverpool, L24 9HP (United Kingdom); Bussey, D.; Matthews, A. [Department of Engineering Materials, University of Sheffield, Sheffield S1 3JD (United Kingdom); Baker, M.A. [The Surface Analysis Laboratory, Faculty of Engineering and Physical Sciences, University of Surrey, Guildford, GU2 7XH (United Kingdom)

    2011-12-30

    PET web samples have been treated by magnetically enhanced glow discharges powered using either medium frequency pulse direct current (p-DC) or low frequency high power pulse (HIPIMS) sources. The plasma pre-treatment processes were carried out in an Ar-O{sub 2} atmosphere using either Cu or Ti sputter targets. XPS, AFM and sessile drop water contact angle measurements have been employed to examine changes in surface chemistry and morphology for different pre-treatment process parameters. Deposition of metal oxide onto the PET surface is observed as a result of the sputter magnetron-based glow discharge web treatment. Using the Cu target, both the p-DC and HIPIMS processes result in the formation of a thin CuO layer (with a thickness between 1 and 11 nm) being deposited onto the PET surface. Employing the Ti target, both p-DC and HIPIMS processes give rise to a much lower concentration of Ti (< 5 at.%), in the form of TiO{sub 2} on the PET treated surface. The TiO{sub 2} is probably distributed as an island-like distribution covering the PET surface. Presence of Cu and Ti oxide constituents on the treated PET is beneficial in aiding the adhesion but alone (i.e. without oxygen plasma activation) is not enough to provide very high levels of hydrophilicity as is clear from sessile drop water contact angle measurements on aged samples. Exposure to the plasma treatments leads to a small amount of roughening of the substrate surface, but the average surface roughness in all cases is below 2.5 nm. The PET structure at the interface with a coating is mostly or wholly preserved. The oxygen plasma treatment, metal oxide deposition and surface roughening resulting from the HIPIMS and p-DC treatments will promote adhesion to any subsequent thin film that is deposited immediately following the plasma treatment.

  2. Surface Treatment of Polypropylene Films Using Dielectric Barrier Discharge with Magnetic Field

    International Nuclear Information System (INIS)

    Wang Changquan; Zhang Guixin; Wang Xinxin; Chen Zhiyu

    2012-01-01

    Atmospheric pressure non-thermal plasma is of interest for industrial applications. In this study, polypropylene (PP) films are modified by a dielectric barrier discharge (DBD) with a non-uniform magnetic field in air at atmospheric pressure. The surface properties of the PP films before and after a DBD treatment are studied by using contact angle measurement, atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The effect of treatment time on the surface modification with and without a magnetic field is investigated. It is found that the hydrophilic improvement depends on the treatment time and magnetic field. It is also found that surface roughness and oxygen-containing groups are introduced onto the PP film surface after the DBD treatment. Surface roughness and oxygen-containing polar functional groups of the PP films increase with the magnetic induction density. The functional groups are identified as C-O, C=O and O-C=O by using XPS analysis. It is concluded that the hydrophilic improvement of PP films treated with a magnetic field is due to a greater surface roughness and more oxygen-containing groups. (plasma technology)

  3. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  4. Osteoblast response to oxygen functionalised plasma polymer surfaces

    International Nuclear Information System (INIS)

    Kelly, Jonathan M.

    2001-01-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I 125 radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue culture

  5. Osteoblast response to oxygen functionalised plasma polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Jonathan M

    2001-07-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I{sup 125} radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue

  6. Hydrophobic treatment on polymethylmethacrylate surface by nanosecond-pulse DBDs in CF4 at atmospheric pressure

    International Nuclear Information System (INIS)

    Zhang, Cheng; Zhou, Yang; Shao, Tao; Xie, Qing; Xu, Jiayu; Yang, Wenjin

    2014-01-01

    Highlights: • Increase in hydrophobicity on PMMA is achieved after the DBD treatment in CF 4 , and the water contact angle can increase from 68° to 100° after treatment. • Nanosecond-pulse DBD is used for the surface treatment and the power density is about 114.8 mW/cm 2 . • The effects of applied voltage, CF 4 flow, and time on plasma treatment are investigated. • Plasma treatment causes morphological change, significantly increases the roughness of the surface, and introduces fluorine-containing groups into the polymethylmethacrylate surface. • Hydrophobic behavior of the treated PMMA surface is slightly affected by the aging effect. - Abstract: Nanosecond-pulse dielectric barrier discharge (DBD) can provide non-thermal plasmas with extremely high energy and high density, which can result in a series of complicated physical and chemical reactions in the surface treatment of polymers. Therefore, in this paper, hydrophobic treatment of polymethylmethacrylate (PMMA) surface is conducted by nanosecond-pulse DBD in carbon tetrafluoride (CF 4 ) at atmospheric pressure. Investigations on surface morphology and chemical composition before and after the DBD treatment in CF 4 are conducted with the contact angle measurement, atomic force microscope, Fourier transform infrared spectroscopy, and X-ray photoelectron spectrometer. The effects of the applied voltage, CF 4 flow rate, and treatment time on the hydrophobic modification are studied. Results show that the contact angles of the treated PMMA surface increases with the applied voltage, and it could be greatly affected by the CF 4 flow rate and the treatment time. The water contact angle can increase from 68° to 100° after the treatment. Furthermore, both surface morphology and chemical composition of the PMMA samples are changed. Both the increase of the surface roughness and the occurrence of fluorine-containing functional groups on the PMMA surface treated by DBD in CF 4 lead to the hydrophobicity

  7. Surface treatment of polyethylene terephthalate film using atmospheric pressure glow discharge in air

    International Nuclear Information System (INIS)

    Fang Zhi; Qiu Yuchang; Wang Hui

    2004-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in polymer surface processing because of their convenience, effectiveness and low cost. In this paper, the treatment of Polyethylene terephthalate (PET) film surface for improving hydrophilicity using the non-thermal plasma generated by atmospheric pressure glow discharge (APGD) in air is conducted. The discharge characteristics of APGD are shown by measurement of their electrical discharge parameters and observation of light-emission phenomena, and the surface properties of PET before and after the APGD treatment are studied using contact angle measurement, x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). It is found that the APGD is homogeneous and stable in the whole gas gap, which differs from the commonly filamentary dielectric barrier discharge (DBD). A short time (several seconds) APGD treatment can modify the surface characteristics of PET film markedly and uniformly. After 10 s APGD treatment, the surface oxygen content of PET surface increases to 39%, and the water contact angle decreases to 19 degree, respectively. (authors)

  8. Plastic deformation to enhance plasma-assisted nitriding: On surface contamination induced by Surface Mechanical Attrition Treatment

    International Nuclear Information System (INIS)

    Samih, Youssef; Novelli, Marc; Bolle, Bernard; Allain, Nathalie; Fundenberger, Jean-Jacques; Marcos, Grégory; Czerwiec, Thierry; Grosdidier, Thierry; Thiriet, Tony

    2014-01-01

    The Surface Mechanical Attrition Treatment is a recent technique leading to the formation of nanostructured layers by the repeated action of impacting balls. While several communications have revealed possible contamination of the SMATed surfaces, the nature of this surface contamination was analyzed in the present contribution for the treatment of an AISI 316L stainless steel. It is shown, by a combination of Transmission Electron Microscopy and Glow Discharge – Optical Emission Spectrometry, that the surface was alloyed with Ti, Al and V coming from the sonotrode that is used to move the balls as well as Zr coming from the zirshot® balls themselves

  9. Plastic deformation to enhance plasma-assisted nitriding: On surface contamination induced by Surface Mechanical Attrition Treatment

    Science.gov (United States)

    Samih, Youssef; Novelli, Marc; Thiriet, Tony; Bolle, Bernard; Allain, Nathalie; Fundenberger, Jean-Jacques; Marcos, Grégory; Czerwiec, Thierry; Grosdidier, Thierry

    2014-08-01

    The Surface Mechanical Attrition Treatment is a recent technique leading to the formation of nanostructured layers by the repeated action of impacting balls. While several communications have revealed possible contamination of the SMATed surfaces, the nature of this surface contamination was analyzed in the present contribution for the treatment of an AISI 316L stainless steel. It is shown, by a combination of Transmission Electron Microscopy and Glow Discharge - Optical Emission Spectrometry, that the surface was alloyed with Ti, Al and V coming from the sonotrode that is used to move the balls as well as Zr coming from the zirshot® balls themselves.

  10. Oxygen Plasma Treatment on 3D-Printed Chitosan/Gelatin/Hydroxyapatite Scaffolds for Bone Tissue Engineering.

    Science.gov (United States)

    Lee, Chang-Min; Yang, Seong-Won; Jung, Sang-Chul; Kim, Byung-Hoon

    2017-04-01

    The 3D hydroxyapatite/gelatin/chitosan composite scaffolds were fabricated by 3D printing technique. The scaffolds were treated by oxygen plasma to improve the bioactivity and its surface characterization and in vitro cell culture were investigated. The scaffolds exhibited the good porosity and interconnectivity of pores. After oxygen plasma etching, roughness and wettability on the scaffolds surface are increased. Plasma treated scaffolds showed higher proliferation than that of untreated scaffolds. Oxygen plasma treatment could be used as potential tool to enhance the biocompatibility on the 3D composite scaffolds.

  11. Effect of near atmospheric pressure nitrogen plasma treatment on Pt/ZnO interface

    International Nuclear Information System (INIS)

    Nagata, Takahiro; Haemori, Masamitsu; Chikyow, Toyohiro; Yamashita, Yoshiyuki; Yoshikawa, Hideki; Kobayashi, Keisuke; Uehara, Tsuyoshi

    2012-01-01

    The effect of near atmospheric pressure nitrogen plasma (NAP) treatment of platinum (Pt)/zinc oxide (ZnO) interface was investigated. NAP can nitride the ZnO surface at even room temperature. Hard x-ray photoelectron spectroscopy revealed that NAP treatment reduced the surface electron accumulation at the ZnO surface and inhibited the Zn diffusion into the Pt electrode, which are critical issues affecting the Schottky barrier height and the ideality factor of the Pt/ZnO structure. After NAP treatment, the Pt Schottky contact indicated an improvement of electrical properties. NAP treatment is effective for the surface passivation and the Schottky contact formation of ZnO.

  12. Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists

    International Nuclear Information System (INIS)

    Pargon, E.; Menguelti, K.; Martin, M.; Bazin, A.; Joubert, O.; Chaix-Pluchery, O.; Sourd, C.; Derrough, S.; Lill, T.

    2009-01-01

    In this article, we have performed detailed investigations of the 193 nm photoresist transformations after exposure to the so-called HBr and Ar plasma cure treatments using various characterization techniques (x-ray photoelectron spectroscopy, Fourier transformed infrared, Raman analyses, and ellipsometry). By using windows with different cutoff wavelengths patched on the photoresist film, the role of the plasma vacuum ultraviolet (VUV) light on the resist modifications is clearly outlined and distinguished from the role of radicals and ions from the plasma. The analyses reveal that both plasma cure treatments induce severe surface and bulk chemical modifications of the resist films. The synergistic effects of low energetic ion bombardment and VUV plasma light lead to surface graphitization or cross-linking (on the order of 10 nm), while the plasma VUV light (110-210 nm) is clearly identified as being responsible for ester and lactone group removal from the resist bulk. As the resist modification depth depends strongly on the wavelength penetration into the material, it is found that HBr plasma cure that emits near 160-170 nm can chemically modify the photoresist through its entire thickness (240 nm), while the impact of Ar plasmas emitting near 100 nm is more limited. In the case of HBr cure treatment, Raman and ellipsometry analyses reveal the formation of sp 2 carbon atoms in the resist bulk, certainly thanks to hydrogen diffusion through the resist film assisted by the VUV plasma light.

  13. Enhancement of gas sensor response of nanocrystalline zinc oxide for ammonia by plasma treatment

    International Nuclear Information System (INIS)

    Hou, Yue; Jayatissa, Ahalapitiya H.

    2014-01-01

    The effect of oxygen plasma treatment on nanocrystalline ZnO thin film based gas sensor was investigated. ZnO thin films were synthesized on alkali-free glass substrates by a sol–gel process. ZnO thin films were treated with oxygen plasma to change the number of vacancies/defects in ZnO. The effect of oxygen plasma on the structural, electrical, optical and gas sensing properties was investigated as a function of plasma treatment time. The results suggest that the microstructure and the surface morphology can be tuned by oxygen plasma treatment. The optical transmission in the visible range varies after the oxygen plasma treatment. Moreover, it is found that the oxygen plasma has significant impact on the electrical properties of ZnO thin films indicating a variation of resistivity. The oxygen plasma treated ZnO thin film exhibits an enhanced sensing response towards NH 3 in comparison with that of the as-deposited ZnO sensor. When compared with the as-deposited ZnO film, the sensing response was improved by 50% for the optimum oxygen plasma treatment time of 8 min. The selectivity of 8 min plasma treated ZnO sensor was also examined for an important industrial gas mixture of H 2 , CH 4 and NH 3 .

  14. Oxygen plasma treatment and deposition of CNx on a fluorinated polymer matrix composite for improved erosion resistance

    International Nuclear Information System (INIS)

    Muratore, C.; Korenyi-Both, A.; Bultman, J. E.; Waite, A. R.; Jones, J. G.; Storage, T. M.; Voevodin, A. A.

    2007-01-01

    The use of polymer matrix composites in aerospace propulsion applications is currently limited by insufficient resistance to erosion by abrasive media. Erosion resistant coatings may provide necessary protection; however, adhesion to many high temperature polymer matrix composite (PMC) materials is poor. A low pressure oxygen plasma treatment process was developed to improve adhesion of CN x coatings to a carbon reinforced, fluorinated polymer matrix composite. Fullerene-like CN x was selected as an erosion resistant coating for its high hardness-to-elastic modulus ratio and elastic resilience which were expected to reduce erosion from media incident at different angles (normal or glancing) relative to the surface. In situ x-ray photoelectron spectroscopy was used to evaluate the effect of the plasma treatment on surface chemistry, and electron microscopy was used to identify changes in the surface morphology of the PMC substrate after plasma exposure. The fluorine concentration at the surface was significantly reduced and the carbon fibers were exposed after plasma treatment. CN x coatings were then deposited on oxygen treated PMC substrates. Qualitative tests demonstrated that plasma treatment improved coating adhesion resulting in an erosion resistance improvement of a factor of 2 compared to untreated coated composite substrates. The combination of PMC pretreatment and coating with CN x reduced the erosion rate by an order of magnitude for normally incident particles

  15. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  16. Plasma treatment of paper for protein immobilization on paper-based chemiluminescence immunodevice.

    Science.gov (United States)

    Zhao, Mei; Li, Huifang; Liu, Wei; Guo, Yumei; Chu, Weiru

    2016-05-15

    A novel protein immobilization method based on plasma treatment of paper on the low-cost paper-based immunodevice was established in this work. By using a benchtop plasma cleaner, the paper microzone was treated by oxygen plasma treatment for 4 min and then the antibody can be directly immobilized on the paper surface. Aldehyde group was produced after the plasma treatment, which can be verified from the fourier transform infrared spectroscopy (FT-IR) spectra and x-ray photoelectron spectroscopy (XPS) spectra. By linked to aldehyde group, the antibody can be immobilized on the paper surface without any other pretreatment. A paper-based immunodevice was introduced here through this antibody immobilization method. With sandwich chemiluminescence (CL) immunoassay method, the paper-based immunodevice was successfully performed for carcinoembryonic antigen (CEA) detection in human serum with a linear range of 0.1-80.0 ng/mL. The detection limit was 0.03 ng/mL, which was 30 times lower than the clinical CEA level. Comparing to the other protein immobilization methods on paper-based device, this strategy was faster and simpler and had potential applications in point-of-care testing, public health and environmental monitoring. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Effects of low-pressure nitrogen plasma treatment on the surface properties and electrochemical performance of the polyethylene separator used lithium-ion batteries

    Science.gov (United States)

    Li, Chun; Li, Hsiao-Ling; Li, Chi-Heng; Liu, Yu-Shuan; Sung, Yu-Ching; Huang, Chun

    2018-01-01

    In this paper, we describe the surface transition of the polyethylene (PE) separator used in lithium-ion batteries treated by low-pressure nitrogen plasma discharge. The nitrogen-plasma-treated PE separator was characterized by contact angle measurement, Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, and scanning electron microscopy. The electrochemical performance of the lithium ion batteries fabricated with the nitrogen-plasma-treated separator was also evaluated. Results showed that polar functionalization groups were induced on the PE surface by the nitrogen plasma discharge, causing the surface to become hydrophilic. The increases in surface wettability and surface free energy result in electrolyte retention improvement. Moreover, the nitrogen plasma-treated PE separator leads to superior performance in lithium-ion battery assembly.

  18. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  19. Cytocompatibility of Plasma and Thermally Treated Biopolymers

    Directory of Open Access Journals (Sweden)

    Petr Slepička

    2013-01-01

    Full Text Available This paper is focused on the surface characterization of plasma and consequently thermally treated biocompatible polymers. PLLA (poly(L-lactide acid and PMP (poly-4-methyl-1-pentene are studied. The influence of Ar plasma treatment on the surface polarity of substrate measured immediately after treatment and during the polymer surface aging is studied. Surface roughness, morphology, wettability, and surface chemistry were determined. Plasma treatment leads to significant changes in PLLA surface morphology and chemistry, with the PMP being slightly affected. The higher resistance to plasma fluence results in smaller ablation of PMP than that of PLLA. The plasma treatment improves cell adhesion and proliferation on the PMP. Plasma treatment of PLLA influences mostly the homogeneity of adhered and proliferated VSMC.

  20. Rapid Hydrophilization of Model Polyurethane/Urea (PURPEG Polymer Scaffolds Using Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Rok Zaplotnik

    2016-04-01

    Full Text Available Polyurethane/urea copolymers based on poly(ethylene glycol (PURPEG were exposed to weakly ionized, highly reactive low-pressure oxygen plasma to improve their sorption kinetics. The plasma was sustained with an inductively coupled radiofrequency generator operating at various power levels in either E-mode (up to the forward power of 300 W or H-mode (above 500 W. The treatments that used H-mode caused nearly instant thermal degradation of the polymer samples. The density of the charged particles in E-mode was on the order of 1016 m−3, which prevented material destruction upon plasma treatment, but the density of neutral O-atoms in the ground state was on the order of 1021 m−3. The evolution of plasma characteristics during sample treatment in E-mode was determined by optical emission spectroscopy; surface modifications were determined by water adsorption kinetics and X-ray photoelectron spectroscopy; and etching intensity was determined by residual gas analysis. The results showed moderate surface functionalization with hydroxyl and carboxyl/ester groups, weak etching at a rate of several nm/s, rather slow activation down to a water contact angle of 30° and an ability to rapidly absorb water.

  1. Plasma transferred arc surface modification of atmospheric plasma sprayed ceramic coatings

    Energy Technology Data Exchange (ETDEWEB)

    Ulutan, Mustafa; Kilicay, Koray; Kaya, Esad; Bayar, Ismail [Dept. of Mechanical Engineering, Eskisehir Osmangazi University, Eskisehir (Turkmenistan)

    2016-08-15

    In this study, a 90MnCrV8 steel surface was coated with aluminum oxide and chromium oxide powders through the Atmospheric plasma spray (APS) and Plasma transferred arc (PTA) methods. The effects of PTA surface melting on the microstructure, hardness, and wear behavior were investigated. The microstructures of plasma-sprayed and modified layers were characterized by Optical microscopy (OM), Scanning electron microscopy (SEM) and Energy dispersive X-ray spectroscopy (EDS). The dry-sliding wear properties of the samples were determined through the ball-on-disk wear test method. Voids, cracks, and nonhomogeneous regions were observed in the microstructure of the APS ceramic-coated surface. These microstructure defects were eliminated by the PTA welding process. The microhardness of the samples was increased. Significant reductions in wear rate were observed after the PTA surface modification. The wear resistance of ceramic coatings increased 7 to 12 times compared to that of the substrate material.

  2. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  3. Plasma flow to a surface using the iterative Monte Carlo method

    International Nuclear Information System (INIS)

    Pitcher, C.S.

    1994-01-01

    The iterative Monte Carlo (IMC) method is applied to a number of one-dimensional plasma flow problems, which encompass a wide range of conditions typical of those present in the boundary of magnetic fusion devices. The kinetic IMC method of solving plasma flow to a surface consists of launching and following particles within a grid of 'bins' into which weights are left according to the time a particle spends within a bin. The density and potential distributions within the plasma are iterated until the final solution is arrived at. The IMC results are compared with analytical treatments of these problems and, in general, good agreement is obtained. (author)

  4. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  5. Effect of Atmospheric Pressure Plasma and Subsequent Enzymatic Treatment on Flax Fabrics

    International Nuclear Information System (INIS)

    Zhong Shaofeng; Yang Bin; Ou Qiongrong

    2015-01-01

    The objective is to investigate the effect of atmospheric pressure dielectric barrier discharge (APDBD) plasma and subsequent cellulase enzyme treatment on the properties of flax fabrics. The changes of surface morphology and structure, physico-mechanical properties, hydrophilicity, bending properties, whiteness, and dyeing properties of the treated substrate were investigated. The results indicated that atmospheric pressure dielectric barrier discharge plasma pre-treatment and subsequent cellulase enzyme treatment could diminish the hairiness of flax fabrics, endowing the flax fabrics with good bending properties, water uptake and fiber accessibility while keeping their good mechanical properties compared with those treated with cellulase enzyme alone. (paper)

  6. Treatment by gliding arc of epoxy resin: preliminary analysis of surface modifications

    Science.gov (United States)

    Faubert, F.; Wartel, M.; Pellerin, N.; Pellerin, S.; Cochet, V.; Regnier, E.; Hnatiuc, B.

    2016-12-01

    Treatments with atmospheric pressure non-thermal plasma are easy to implement and inexpensive. Among them gliding arc (GlidArc) remains rarely used in surface treatment of polymers. However, it offers economic and flexible way to treat quickly large areas. In addition the choice of carrier gas makes it possible to bring the active species and other radicals allowing different types of grafting and functionalization of the treated surfaces, for example in order to apply for anti-biofouling prevention. This preliminary work includes analysis of the surface of epoxy resins by infrared spectroscopy: the different affected chemical bonds were studied depending on the duration of treatment. The degree of oxidation (the C/O ratio) is obtained by X-ray microanalysis and contact angle analysis have been performed to determinate the wettability properties of the treated surface. A spectroscopic study of the plasma allows to determine the possible active species in the different zones of the discharge.

  7. Effects of in situ plasma treatment on optical and electrical properties of index-matched transparent conducting oxide layer

    International Nuclear Information System (INIS)

    Lim, Yong Hwan; Yoo, Hana; Choi, Bum Ho; Kim, Young Baek; Lee, Jong Ho; Shin, Dong Chan

    2010-01-01

    We investigated the effects of in situ plasma-treatment on optical and electrical properties of index-matched indium tin oxide (IMITO) thin film. To render the IMITO-coated surface hydrophilic and study the optical and electrical characteristics, we performed in situ oxygen plasma post-treatment without breaking vacuum. The 94.6% transmittance in the visible wavelength range (400-700 nm) increased on average to 96.4% and the maximum transmittance reached 98% over a broad wavelength range. The surface roughness and sheet resistance improved from 0.9 nm and 200 Ω/sq to 0.0905 nm and 100 Ω/sq, respectively, by in situ plasma post-treatment. We confirmed by contact angle measurement that the hydrophobic IMITO surface was altered to hydrophilic. The improved optical and electrical characteristics of in situ plasma-treated IMITO makes it adequate for high-resolution liquid crystal on silicon displays.

  8. Roughness transitions of diamond(100) induced by hydrogen-plasma treatment

    Science.gov (United States)

    Koslowski, B.; Strobel, S.; Wenig, M. J.; Ziemann, P.

    To investigate the influence of hydrogen-plasma treatment on diamond(100) surfaces, heavily boron (B)-doped HPHT diamond crystals were mechanically and chemo-mechanically polished, and exposed to a microwave-assisted hydrogen plasma on a time scale of several minutes. The resulting surface morphology was analyzed on macroscopic scales by stylus profilometry (PFM) and on microscopic scales by STM and AFM. The polished samples have a roughness of typically 100 pmrms (PFM), with no obvious anisotropic structures at the surface. After exposure of the B-doped diamond(100) to the H-plasma, the roughness increases dramatically, and pronounced anisotropic structures appear, these being closely aligned with the crystallographic axis' and planes. An exposure for 3 minutes to the plasma leads to an increase of the roughness to 2-4 nmrms (STM), and a `brick-wall' pattern appears, formed by weak cusps running along . Very frequently, the cusps are replaced by `negative' pyramids that are bordered by {11X} facets. After an exposure of an additional 5 minutes, the surface roughness of the B-doped samples increases further to 20-40 nmrms (STM), and frequently exhibits a regular pattern with structures at a characteristic length scale of about 100 nm. Those structures are aligned approximately with and they are faceted with faces of approximately {XX1}. These results will be discussed in terms of strain relaxation, similar to the surface roughening observed on SiGe/Si and anisotropic etching.

  9. High Throughput Plasma Water Treatment

    Science.gov (United States)

    Mujovic, Selman; Foster, John

    2016-10-01

    The troublesome emergence of new classes of micro-pollutants, such as pharmaceuticals and endocrine disruptors, poses challenges for conventional water treatment systems. In an effort to address these contaminants and to support water reuse in drought stricken regions, new technologies must be introduced. The interaction of water with plasma rapidly mineralizes organics by inducing advanced oxidation in addition to other chemical, physical and radiative processes. The primary barrier to the implementation of plasma-based water treatment is process volume scale up. In this work, we investigate a potentially scalable, high throughput plasma water reactor that utilizes a packed bed dielectric barrier-like geometry to maximize the plasma-water interface. Here, the water serves as the dielectric medium. High-speed imaging and emission spectroscopy are used to characterize the reactor discharges. Changes in methylene blue concentration and basic water parameters are mapped as a function of plasma treatment time. Experimental results are compared to electrostatic and plasma chemistry computations, which will provide insight into the reactor's operation so that efficiency can be assessed. Supported by NSF (CBET 1336375).

  10. Plasma drift towards a plane equipotential surface

    International Nuclear Information System (INIS)

    Carlqvist, P.

    1984-03-01

    Recently Alfven has qualitatively described how a collisionless plasma drifts in crossed electric and magnetic fields towards an infinite conducting plate of constant potential. In the present note we quantitatively study three models which are closely related to Alfven's model. It is found that when the plasma comes sufficiently close to a plane equipotential surface (conducting plate) it is deflected approximately along the surface. The deflection is not caused by pressure effects but rather by the electric and magnetic fields. Small fluxes of ions and electrons also cross the plane equipotential surface. These fluxes account for an electric current in the plasma which induces a magnetic field in the same direction as the total magnetic field assumed to be homogeneous. It is shown that if the Alfven number, M(sub)A, is much smaller than unity in the volume considered the magnetic field induced by plasma currents is small compared to the total magnetic field. However, if M(sub)A is of the order of unity or larger the total magnetic field is to a substantial degree generated by plasma currents. (Author)

  11. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Li Yang [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China); Wang Liang, E-mail: wlimt@yahoo.com [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China); Zhang Dandan; Shen Lie [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China)

    2010-11-15

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 deg. C for 8 h in an NH{sub 3} gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 deg. C for 8 h can produced a compound layer of 2.5 {mu}m thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 deg. C within the same time.

  12. Influence of plasma treatment of carbon blacks on electrochemical activity of Pt/carbon blacks catalysts for DMFCs

    Science.gov (United States)

    Kim, Seok; Cho, Mi-Hwa; Lee, Jae-Rock; Park, Soo-Jin

    In this work, in order to improve the dispersion of platinum catalysts deposited on carbon materials, the effects of surface plasma treatment of carbon blacks (CBs) were investigated. The surface characteristics of the CBs were determined by fourier transformed-infrared (FT-IR), X-ray photoelectron spectroscopy (XPS), and Boehm's titration method. The electrochemical properties of the plasma-treated CBs-supported Pt (Pt/CBs) catalysts were analyzed by linear sweep voltammetry (LSV) experiments. From the results of FT-IR and acid-base values, N 2-plasma treatment of the CBs at 300 W intensity led to a formation of a free radical on the CBs. The peak intensity increased with increase of the treatment time, due to the formation of new basic functional groups (such as C-N, C dbnd N, -NH 3 +, -NH, and dbnd NH) by the free radical on the CBs. Accordingly, the basic values were enhanced by the basic functional groups. However, after a specific reaction time, N 2-plasma treatment could hardly influence on change of the surface functional groups of CBs, due to the disappearance of free radical. Consequently, it was found that optimal treatment time was 30 s for the best electro activity of Pt/CBs catalysts and the N 2-plasma treated Pt/CBs possessed the better electrochemical properties than the pristine Pt/CBs.

  13. Surface modification of ultra-high molecular weight polyethylene (UHMWPE) by argon plasma

    International Nuclear Information System (INIS)

    Liu Hengjun; Pei Yanan; Xie Dong; Deng Xingrui; Leng, Y.X.; Jin Yong; Huang Nan

    2010-01-01

    In this work, argon (Ar) plasma generated by microwave electron cyclotron resonance (MWECR) has been used to modify the UHMWPE in order to increase the wear resistance. The results showed that the wettability, anti-scratch and wear resistance of UHMWPE treated by the Ar plasma had been improved, comparing with native UHMWPE. The FTIR and XPS spectra indicated the improvement of wettability should come from the oxygen based functional groups generated on the surface of UHMWPE. The improvement of anti-scratch and wear resistance may come from the enhancement of crosslinking of UHMWPE by Ar plasma treatment.

  14. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  15. Cassie state robustness of plasma generated randomly nano-rough surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Di Mundo, Rosa, E-mail: rosa.dimundo@poliba.it; Bottiglione, Francesco; Carbone, Giuseppe

    2014-10-15

    Graphical abstract: - Highlights: • Superhydrophobic randomly rough surfaces are generated by plasma etching. • Statistical analysis of roughness allows calculation of theWenzel roughness factor, r{sub W.} • A r{sub W} threshold is theoretically determined, above which superhydrophobicity is “robust”. • Dynamic wetting, e.g. with high speed impacting drops, confirms this prediction. - Abstract: Superhydrophobic surfaces are effective in practical applications provided they are “robust superhydrophobic”, i.e. able to retain the Cassie state, i.e. with water suspended onto the surface protrusions, even under severe conditions (high pressure, vibrations, high speed impact, etc.). We show that for randomly rough surfaces, given the Young angle, Cassie states are robust when a threshold value of the Wenzel roughness factor, r{sub W}, is exceeded. In particular, superhydrophobic nano-textured surfaces have been generated by self-masked plasma etching. In view of their random roughness, topography features, acquired by Atomic Force Microscopy, have been statistically analyzed in order to gain information on statistical parameters such as power spectral density, fractal dimension and Wenzel roughness factor (r{sub W}), which has been used to assess Cassie state robustness. Results indicate that randomly rough surfaces produced by plasma at high power or long treatment duration, which are also fractal self-affine, have a r{sub W} higher than the theoretical threshold, thus for them a robust superhydrophobicity is predicted. In agreement with this, under dynamic wetting conditionson these surfaces the most pronounced superhydrophobic character has been appreciated: they show the lowest contact angle hysteresis and result in the sharpest bouncing when hit by drops at high impact velocity.

  16. Modifying TiO{sub 2} surface architecture by oxygen plasma to increase dye sensitized solar cell efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Rajmohan, Gayathri Devi [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Dai, Xiujuan J., E-mail: jane.dai@deakin.edu.au [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Tsuzuki, Takuya; Lamb, Peter R. [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Plessis, Johan du [School of Applied Sciences, RMIT University, GPO Box 2476 V, Melbourne, Victoria 3001 (Australia); Huang, Fuzhi; Cheng, Yi-Bing [Department of Materials Engineering, Monash University, Melbourne, Victoria 3800 (Australia)

    2013-10-31

    Oxygen plasma treatment of TiO{sub 2} films has been used to improve the efficiency of dye sensitized solar cells. Both a commercial TiO{sub 2} sample and a TiO{sub 2} thin film synthesized by a sol-gel technique were treated using a custom built inductively coupled plasma apparatus. X-ray photoelectron spectroscopy revealed that oxygen-plasma treatment increased the number of oxygen functional groups (hydroxyl groups) and introduced some Ti{sup 3+} species on the surface of TiO{sub 2}. A sample solar cell with plasma treated TiO{sub 2} showed an overall solar-to-electricity conversion efficiency of 4.3%, about a 13% increase over untreated TiO{sub 2}. The photon conversion efficiency for the plasma treated TiO{sub 2} was 34% higher than untreated TiO{sub 2}. This enhanced cell-performance is partly due to increased dye adsorption from an increase in surface oxygen functional groups and also may be partly due to Ti{sup 3+} states on the surface of TiO{sub 2}. - Highlights: • Oxygen plasma is used to generate hydroxyl groups on the surface of TiO{sub 2} • Parallel study was conducted using a spin coated TiO{sub 2} and a Commercial TiO{sub 2} film. • The plasma functionalization caused increased dye uptake. • Some species in Ti{sup 3+} state are also generated after oxygen plasma. • Dye sensitised solar cell with functionalised electrode showed improved efficiency.

  17. Lage-area planar RF plasma productions by surface waves

    International Nuclear Information System (INIS)

    Nonaka, S.

    1994-01-01

    Large-area rf plasmas are confirmed to be produced by means of RF discharges inside a large-area dielectric tube. The plasma space is 73 cm x 176 cm and 2.5 cm. The plasma is thought to be produced by an odd plasma-surface wave (PSW ο ) in case of using large-area electrodes and by an even plasma-surface wave (PSW ο ) in case of without the electrodes. (author). 7 refs, 4 figs

  18. Reel-to-Reel Atmospheric Pressure Dielectric Barrier Discharge (DBD Plasma Treatment of Polypropylene Films

    Directory of Open Access Journals (Sweden)

    Lukas JW Seidelmann

    2017-03-01

    Full Text Available Atmospheric pressure plasma treatment of the surface of a polypropylene film can significantly increase its surface energy and, thereby improve the printability of the film. A laboratory-scale dielectric barrier discharge (DBD system has therefore been developed, which simulates the electrode configuration and reel-to-reel web transport mechanism used in a typical industrial-scale system. By treating the polypropylene in a nitrogen discharge, we have shown that the water contact angle could be reduced by as much as 40° compared to the untreated film, corresponding to an increase in surface energy of 14 mNm−1. Ink pull-off tests showed that the DBD plasma treatment resulted in excellent adhesion of solvent-based inks to the polypropylene film.

  19. XIX Conference on Plasma Surface Interactions

    International Nuclear Information System (INIS)

    Kurnaev, V A; Gasparyan, Yu M

    2016-01-01

    The 19 th Conference on Plasma Surface Interactions (PSI-2016) was held at National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) on 28-29 January 2016. This is a traditional annual meeting organized by MEPhI for many years and devoted to the recent achievements of Russian scientists and foreign colleagues in experimental and computer simulations of plasma and its components' interactions with plasma faced materials (PFM) for fusion devices and plasma technologies. The conference agenda covered a broad list of topics including: • plasma induced erosion and modification of materials; • radiation damage in materials; • lithium and liquid metals as PFM; • modeling of plasma surface interaction and processes in SOL of tokamak plasma; • diagnostics of plasma-surface interaction. The aim of the conference was to present and discuss new results in PSI in a wide audience with different areas of expertise. The important feature of the conference is to give PhD and undergraduate students the opportunity for approbation of results of their scientific activity and improve their knowledge in the novel directions of R and D in the field of fusion and plasma technologies. In total, more than 80 experienced and young researchers participated in the conference. These Proceedings contain 21 papers selected for publication, which were reviewed by the invited international team of editors (T. Tanabe, S. Petrovich, Ch. Grisolia, Yu. Martynenko, S. Krasheninnikov, L. Begrambekov, A. Pisarev). The Conference as well as editing of this issue were supported by National Research Nuclear University MEPhI in the framework of the Russian Academic Excellence Project (contract No. 02.a03.21.0005, 27.08.2013). Finally, we would like to thank all of the speakers, participants and organizing committee members for their contribution to the conference. Acknowledgements The organizers of the conference would like to thank for the support from National Research

  20. Hydrophobic treatment on polymethylmethacrylate surface by nanosecond-pulse DBDs in CF{sub 4} at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Cheng [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China); Key Laboratory of Power Electronics and Electric Drive, Chinese Academy of Sciences, Beijing 100190 (China); Zhou, Yang [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China); Shao, Tao, E-mail: st@mail.iee.ac.cn [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China); Key Laboratory of Power Electronics and Electric Drive, Chinese Academy of Sciences, Beijing 100190 (China); Xie, Qing [State Key Laboratory of Alternate Electrical Power System with Renewable Energy Sources, North China Electric Power University, Baoding 071003 (China); Xu, Jiayu [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wenjin [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China); Key Laboratory of Power Electronics and Electric Drive, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-08-30

    Highlights: • Increase in hydrophobicity on PMMA is achieved after the DBD treatment in CF{sub 4}, and the water contact angle can increase from 68° to 100° after treatment. • Nanosecond-pulse DBD is used for the surface treatment and the power density is about 114.8 mW/cm{sup 2}. • The effects of applied voltage, CF{sub 4} flow, and time on plasma treatment are investigated. • Plasma treatment causes morphological change, significantly increases the roughness of the surface, and introduces fluorine-containing groups into the polymethylmethacrylate surface. • Hydrophobic behavior of the treated PMMA surface is slightly affected by the aging effect. - Abstract: Nanosecond-pulse dielectric barrier discharge (DBD) can provide non-thermal plasmas with extremely high energy and high density, which can result in a series of complicated physical and chemical reactions in the surface treatment of polymers. Therefore, in this paper, hydrophobic treatment of polymethylmethacrylate (PMMA) surface is conducted by nanosecond-pulse DBD in carbon tetrafluoride (CF{sub 4}) at atmospheric pressure. Investigations on surface morphology and chemical composition before and after the DBD treatment in CF{sub 4} are conducted with the contact angle measurement, atomic force microscope, Fourier transform infrared spectroscopy, and X-ray photoelectron spectrometer. The effects of the applied voltage, CF{sub 4} flow rate, and treatment time on the hydrophobic modification are studied. Results show that the contact angles of the treated PMMA surface increases with the applied voltage, and it could be greatly affected by the CF{sub 4} flow rate and the treatment time. The water contact angle can increase from 68° to 100° after the treatment. Furthermore, both surface morphology and chemical composition of the PMMA samples are changed. Both the increase of the surface roughness and the occurrence of fluorine-containing functional groups on the PMMA surface treated by DBD in CF

  1. Influence of absorbed moisture on surface hydrophobization of ethanol pretreated and plasma treated ramie fibers

    International Nuclear Information System (INIS)

    Zhou Zhou; Wang Jilong; Huang Xiao; Zhang Liwen; Moyo, Senelisile; Sun Shiyuan; Qiu Yiping

    2012-01-01

    The existence of moisture in the substrate material may influence the effect of atmospheric pressure plasma treatment. Our previous study has found that the employment of ethanol pretreatment and plasma treatment can effectively induce hydrophobic surface modification of cellulose fiber to enhance the compatibility to polypropylene (PP) matrix, and this study aims to investigate the influence of fiber moisture regain on the treatment effect of this technique. Ramie fibers with three different moisture regains (MR) (2.5, 6.1 and 23.5%) are pretreated with ethanol followed by atmospheric pressure plasma treatment. Scanning electron microscope (SEM) shows that the 2.5% MR group has the most significant plasma etching effect. X-ray photoelectron spectroscopy (XPS) analysis indicates an increase of C-C and a decrease of C-O bond in the plasma treated groups, and the largest raise of C-C bond for the 2.5% MR group. The water contact angles of the 2.5 and 6.1% MR groups increase, whereas no significant change is showed in the 23.5% MR group. The interfacial shear strengths (IFSS) measured by microbond pull-out test are raised by 44 and 25% when moisture regains are 2.5 and 6.1%, while presented no apparent improvement at high moisture regain of 23.5%. Therefore, it can be concluded that moisture regain has negative influence on the surface hydrophobization of ramie fibers in the improvement of adhesion property to PP matrix.

  2. Influence of absorbed moisture on surface hydrophobization of ethanol pretreated and plasma treated ramie fibers

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Zhou; Wang Jilong; Huang Xiao; Zhang Liwen; Moyo, Senelisile; Sun Shiyuan [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China); Qiu Yiping, E-mail: ypqiu@dhu.edu.cn [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China)

    2012-03-01

    The existence of moisture in the substrate material may influence the effect of atmospheric pressure plasma treatment. Our previous study has found that the employment of ethanol pretreatment and plasma treatment can effectively induce hydrophobic surface modification of cellulose fiber to enhance the compatibility to polypropylene (PP) matrix, and this study aims to investigate the influence of fiber moisture regain on the treatment effect of this technique. Ramie fibers with three different moisture regains (MR) (2.5, 6.1 and 23.5%) are pretreated with ethanol followed by atmospheric pressure plasma treatment. Scanning electron microscope (SEM) shows that the 2.5% MR group has the most significant plasma etching effect. X-ray photoelectron spectroscopy (XPS) analysis indicates an increase of C-C and a decrease of C-O bond in the plasma treated groups, and the largest raise of C-C bond for the 2.5% MR group. The water contact angles of the 2.5 and 6.1% MR groups increase, whereas no significant change is showed in the 23.5% MR group. The interfacial shear strengths (IFSS) measured by microbond pull-out test are raised by 44 and 25% when moisture regains are 2.5 and 6.1%, while presented no apparent improvement at high moisture regain of 23.5%. Therefore, it can be concluded that moisture regain has negative influence on the surface hydrophobization of ramie fibers in the improvement of adhesion property to PP matrix.

  3. Effects of pre- and post-electrospinning plasma treatments on electrospun PCL nanofibers to improve cell interactions

    International Nuclear Information System (INIS)

    Asadian, M; Grande, S; Morent, R; Nikiforov, A; De Geyter, N; Declercq, H

    2017-01-01

    In this study, liquid plasma treatment was used to improve the morphology of Poly-ε-CaproLactone (PCL) NanoFibers (NFs), followed by performing a Dielectric Barrier Discharge (DBD) plasma surface modification to enhance the hydrophilicity of electrospun mats generated from plasma-modified PCL solutions. Cell interaction studies performed after 1 day and 7 days clearly revealed the highly increased cellular interactions on the double plasma-treated nanofibers compared to the pristine ones due to the combination of (1) a better NF morphology and (2) an increased surface hydrophilicity. (paper)

  4. Comparison of the properties polyamide 6.6 surfaces treated by plasma and by ionizing radiation

    International Nuclear Information System (INIS)

    Irineu, Rosa Maria da Silva

    2010-01-01

    This study aims to compare the surface properties of polyamide 6.6 plasma treatment and ionizing radiation, as well as determine the best technique and condition of the surface activation, adhesion of the same order and polyacrylic rubber used in manufacturing of automotive retainers. Treatment of polyamide 6.6 plasma was performed using an equipment 'Electronic Diener - Plasma - Surface-Technology LFG40' with nitrogen gas at a pressure of 1.40 kg/cm 2 . Samples of polyamide 6.6 were also treated with ionizing radiation, atmospheric pressure and in vacuum, using an industrial electron accelerator, Dynamitron JOB 188 with radiation dose of 5, 10, 20, 40, 50, 100, 200, 300, 400 and 500kGy with a dose rate of 11.22 kGy/s for all doses and rate of 11.22 kGy/s and 22.38 kGy/s for a dose of 20kGy. After the processes of surface modification of polyamide 6.6, part of the untreated samples, treated by plasma and by ionizing radiation were incorporated into the polyacrylic rubber, and another part was designed to characterize the surface using the techniques of SEM / EDS, FT- IR, PIXE / RBS, AFM and contact angle. Untreated samples and the irradiated samples did not join the polyacrylic rubber. The samples treated by plasma joined the polyacrylic rubber efficiently and showed differences in roughness in SEM and AFM, and an increase in contact angle when compared with untreated samples. The irradiated samples showed no significant differences in the analysis of properties used in this study when compared with untreated samples. Ionizing radiation was not effective in surface modification of polyamide 6.6 for adherence with polyacrylic rubber. (author)

  5. Surface modification of chitosan/PEO nanofibers by air dielectric barrier discharge plasma for acetylcholinesterase immobilization

    Energy Technology Data Exchange (ETDEWEB)

    Dorraki, Naghme, E-mail: n.dorraki@web.sbu.ac.ir [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Safa, Nasrin Navab [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Jahanfar, Mehdi [Protein Research Center, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Ghomi, Hamid [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Ranaei-Siadat, Seyed-Omid [Protein Research Center, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of)

    2015-09-15

    Highlights: • We used an economical and effective method for surface modification. • Chitosan/PEO nanofibrous membranes were modified by air-DBD plasma. • The most NH{sub 3}{sup +} group was generated on the 6 min plasma modified membrane. • We immobilized acetylcholinesterase on the plasma modified and unmodified membranes. • More enzyme activity was detected on the modified membrane by plasma. - Abstract: There are different methods to modify polymer surfaces for biological applications. In this work we have introduced air-dielectric barrier discharge (DBD) plasma at atmospheric pressure as an economical and safe method for modifying the surface of electrospun chitosan/PEO (90/10) nanofibers for acetylcholinesterase (AChE) immobilization. According to the contact angle measurement results, the nanofibers become highly hydrophilic when they are exposed to the DBD plasma for 6 min in compared to unmodified membrane. Attenuated total reflectance-Fourier transform infrared spectroscopy (ATR-FTIR) results reveal hydroxyl, C=O and NH{sub 3}{sup +} polar groups increment after 6 min plasma treatment. Contact angle measurements and ATR-FTIR results are confirmed by X-ray photoelectron spectroscopy (XPS). AChE at pH 7.4 carries a negative charge and after immobilization on the surface of plasma-treated nanofibrous membrane attracts the NH{sub 3}{sup +} group and more enzyme activity is detected on the plasma-modified nanofibers for 6 min in compared to unmodified nanofibers. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) are used for the surface topography and morphology characterization. The results have proved that air-DBD plasma is a suitable method for chitosan/PEO nanofibrous membrane modification as a biodegradable and functionalized substrate for enzyme immobilization.

  6. Benefits of oxygen and nitrogen plasma treatment in Vero cell affinity to poly(lactide-co-glycolide acid

    Directory of Open Access Journals (Sweden)

    Andrea Rodrigues Esposito

    2013-01-01

    Full Text Available Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. it is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. in this study, poly(lactide-co-glycolide, plga, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on vero cells culture. the plga membranes, which were characterized by sem and contact angle, showed increased surface rugosity and narrower contact angles. cell adhesion, cytotoxicity assay, sem and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction. Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. It is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. Plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. In this study, poly(lactide-co-glycolide, PLGA, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on Vero cells culture. The PLGA membranes, which were characterized by SEM and contact angle, showed increased surface rugosity and narrower contact angles. Cell adhesion, cytotoxicity assay, SEM and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction.

  7. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    Energy Technology Data Exchange (ETDEWEB)

    Surucu, Seda [Department of Metallurgical and Materials Engineering, Atilim University, Incek, Golbasi, 06836, Ankara (Turkey); Masur, Kai [Leibniz Institute for Plasma Science and Technology (Germany); Turkoglu Sasmazel, Hilal, E-mail: hilal.sasmazel@atilim.edu.tr [Department of Metallurgical and Materials Engineering, Atilim University, Incek, Golbasi, 06836, Ankara (Turkey); Von Woedtke, Thomas; Weltmann, Klaus Dieter [Leibniz Institute for Plasma Science and Technology (Germany)

    2016-11-01

    Highlights: • Electrospun PCL/chitosan/PCL scaffolds introduced to the literature by us were modified with atmospheric pressure plasma jets. • Plasma was fed into the system with different gas flow rates, time and distances. • Topographical and functional changes were examined by various characterization methods. • Optimum plasma treatment parameters for enhanced topography and functionality were determined. • Electrospun hybrid plasma surface modified samples showed the increased biocompatibility performance of L929 fibroblast cells. - Abstract: This paper reports Ar gas, Ar + O{sub 2}, Ar + O{sub 2} + N{sub 2} gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O{sub 2} + N{sub 2} gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O{sub 2} + N{sub 2} gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on

  8. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    International Nuclear Information System (INIS)

    Surucu, Seda; Masur, Kai; Turkoglu Sasmazel, Hilal; Von Woedtke, Thomas; Weltmann, Klaus Dieter

    2016-01-01

    Highlights: • Electrospun PCL/chitosan/PCL scaffolds introduced to the literature by us were modified with atmospheric pressure plasma jets. • Plasma was fed into the system with different gas flow rates, time and distances. • Topographical and functional changes were examined by various characterization methods. • Optimum plasma treatment parameters for enhanced topography and functionality were determined. • Electrospun hybrid plasma surface modified samples showed the increased biocompatibility performance of L929 fibroblast cells. - Abstract: This paper reports Ar gas, Ar + O_2, Ar + O_2 + N_2 gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O_2 + N_2 gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O_2 + N_2 gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on/within scaffolds.

  9. Plasma treatment: A Novel Medical Application

    International Nuclear Information System (INIS)

    Boonyawan, Dheerawan

    2015-01-01

    Cold atmospheric plasma (CAP) for the medical treatment is a new field in plasma application, called plasma medicine. CAP contrains mix of excited atoms and molecules, UV photons, charged particles as well as reactive oxygen species (ROS) and reactive nitrogen species (RNS). Typical species in air CAPs are O 3 , OH, N x , and HNO x . Two cold atomospheric plasma devices were utiized (either in an indirect or a direct way) for the treatment of physiologically healthy volunterrs, The results show that CAP is effective againts chronic wound infections and/ or for skin treatment in clinical trials. The current developments in this field have fuelled the hope that CAP could be, and interesting new therapeutic apptoach in the treatment of cancer.

  10. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    Science.gov (United States)

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  11. Surface modification of porous nanocrystalline TiO2 films for dye-sensitized solar cell application by various gas plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Yoon, Chang-Ho; Kim, Kang-Jin; Lee, Yeonhee

    2007-01-01

    Titanium dioxide (TiO 2 ) film for dye-sensitized solar cells (DSSCs) has surface defects such as oxygen vacancies created during the annealing process. The authors used a plasma treatment technique to reduce defects on TiO 2 surfaces. They investigated the influence of different gas plasma treatments of TiO 2 film on the photoelectric performance of DSSC. Short-circuit photocurrent density (J sc ), open-circuit photovoltage (V oc ), and the amount of adsorbed dye for DSSCs were measured. As a result, the solar-to-electricity conversion efficiencies of the O 2 - and N 2 -treated cells increased by 15%-20% compared to untreated cells. On the other hand, solar energy conversion efficiency of CF 4 -plasma treated cells decreased drastically. The increased amount of adsorbed dye on the TiO 2 film was measured by time-of-flight secondary ion mass spectrometry. TiO 2 surfaces modified by plasma treatment were characterized using analytical instruments such as x-ray photoelectron spectroscopy and near-edge x-ray absorption fine structure

  12. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  13. Influence of atmospheric pressure low-temperature plasma treatment on the shear bond strength between zirconia and resin cement.

    Science.gov (United States)

    Ito, Yuki; Okawa, Takahisa; Fukumoto, Takahiro; Tsurumi, Akiko; Tatsuta, Mitsuhiro; Fujii, Takamasa; Tanaka, Junko; Tanaka, Masahiro

    2016-10-01

    Zirconia exhibits excellent strength and high biocompatibility in technological applications and it is has therefore been investigated for clinical applications and research. Before setting prostheses, a crown prosthesis inner surface is sandblasted with alumina to remove contaminants and form small cavities. This alumina sandblasting causes stress-induced phase transition of zirconia. Atmospheric-pressure low-temperature plasma has been applied in the dental industry, particularly for adhesives, as a surface treatment to activate the surface energy and remove contaminants. The purpose of this study was to examine the influence of atmospheric-pressure low-temperature plasma treatment on the shear bond strength between zirconia and adhesive resin cement. The surface treatment method was classified into three groups: untreated (Cont group), alumina sandblast treatment (Sb group), and atmospheric-pressure low-temperature plasma treatment (Ps group). Adhesive resin cement was applied to stainless steel and bonded to zirconia. Shear adhesion tests were performed after complete hardening of the cement. Multiple comparisons were performed using a one-way analysis of variance and the Bonferroni method. X-ray diffractometry was used to examine the change in zirconia crystal structure. Statistically significant differences were noted between the control and Sb groups and between the control and Ps groups. In contrast, no statistically significant differences were noted for the Ps and Sb bond strength. Atmospheric-pressure low-temperature plasma treatment did not affect the zirconia crystal structure. Atmospheric-pressure low-temperature plasma treatment improves the bonding strength of adhesive resin cement as effectively as alumina sandblasting, and does not alter the zirconia crystal structure. Copyright © 2016 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  14. Duplex surface treatment of AISI 1045 steel via plasma nitriding of chromized layer

    International Nuclear Information System (INIS)

    Hakami, F.; Sohi, M. Heydarzadeh; Ghani, J. Rasizadeh

    2011-01-01

    In this work AISI 1045 steel were duplex treated via plasma nitriding of chromized layer. Samples were pack chromized by using a powder mixture consisting of ferrochromium, ammonium chloride and alumina at 1273 K for 5 h. The samples were then plasma-nitrided for 5 h at 803 K and 823 K, in a gas mixture of 75%N 2 + 25%H 2 . The treated specimens were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and Vickers micro-hardness test. The thickness of chromized layer before nitriding was about 8 μm and it was increased after plasma nitriding. According to XRD analysis, the chromized layer was composed of chromium and iron carbides. Plasma nitriding of chromized layer resulted in the formation of chromium and iron nitrides and carbides. The hardness of the duplex layers was significantly higher than the hardness of the base material or chromized layer. The main cause of the large improvement in surface hardness was due to the formation of Cr x N and Fe x N phases in the duplex treated layers. Increasing of nitriding temperature from 803 to 823 K enhanced the formation of CrN in the duplex treated layer and increased the thickness of the nitrided layer.

  15. Effects of fluorine-based plasma treatment and thermal annealing on high-Al content AlGaN Schottky contact

    International Nuclear Information System (INIS)

    Liu Fang; Qin Zhixin

    2016-01-01

    Fluorine plasma treatment was used prior to the Schottky metal deposition on the undoped Al 0.45 Ga 0.55 N, which aimed at the solar-blind wavelength. After fluorine plasma treatment and before depositing the Ni/Au Schottky, the samples were thermal annealed in the N 2 gas at 400 °C. The reverse leakage current density of Al 0.45 Ga 0.55 N Schottky diode was reduced by 2 orders of magnitude at −10 V. The reverse leakage current density was reduced by 3 orders of magnitude after thermal annealing. Further capacitance–frequency analysis revealed that the fluorine-based plasma treatment reduces the surface states of AlGaN by one order of magnitude at different surface state energies. The capacitance–frequency analysis also proved that the concentration of carriers in AlGaN top is reduced through fluorine plasma treatment. (paper)

  16. Effects of plasma treatment on the Ohmic characteristics of Ti/Al/Ti/Au contacts to n-AlGaN

    International Nuclear Information System (INIS)

    Cao, X. A.; Piao, H.; LeBoeuf, S. F.; Li, J.; Lin, J. Y.; Jiang, H. X.

    2006-01-01

    The effects of surface treatment using Cl 2 /BCl 3 and Ar inductive coupled plasmas on the Ohmic characteristics of Ti/Al/Ti/Au contacts to n-type Al x Ga 1-x N (x=0-0.5) were investigated. Plasma treatment significantly increased the surface conductivity of GaN and Al 0.1 Ga 0.9 N, leading to improved Ohmic behaviors of the contacts. However, it reduced the surface doping level in Al x Ga 1-x N (x≥0.3) and degraded the contact properties. Following a 900-1000 deg. C anneal, the Ti/Al/Ti/Au contacts to Al x Ga 1-x N (x=0-0.3) became truly Ohmic, with specific contact resistances of (3-7)x10 -5 Ω cm 2 , whereas the contact to Al 0.5 Ga 0.5 N remained rectifying even without the plasma treatment. X-ray photoelectron spectroscopy measurements confirmed that the Fermi level moved toward the conduction band in GaN after the plasma treatment, but it was pinned by plasma-induced deep-level states in Al 0.5 Ga 0.5 N. This study emphasizes the need to mitigate plasma damage introduced during the mesa etch step for AlGaN-based deep-UV emitters and detectors

  17. Mechanical strength and hydrophobicity of cotton fabric after SF6 plasma treatment

    Science.gov (United States)

    Kamlangkla, K.; Paosawatyanyong, B.; Pavarajarn, V.; Hodak, Jose H.; Hodak, Satreerat K.

    2010-08-01

    Surface treatments to tailor fabric properties are in high demand by the modern garment industry. We studied the effect of radio-frequency inductively coupled SF plasma on the surface characteristics of cotton fabric. The duration of the treatment and the SF pressure were varied systematically. We measured the hydrophobicity of treated cotton as a function of storage time and washing cycles. We used the weight loss (%) along with the etching rate, the tensile strength, the morphology changes and the hydrophobicity of the fabric as observables after treatments with different plasma conditions. The weight loss remains below 1% but it significantly increases when the treatment time is longer than 5 min. Substantial changes in the surface morphology of the fiber are concomitant with the increased etching rate and increased weight loss with measurable consequences in their mechanical characteristics. The measured water absorption time reaches the maximum of 210 min when the SF pressure is higher than 0.3 Torr. The water contact angle ( 149°) and the absorption time (210 min) of cotton treated with extreme conditions appear to be durable as long as the fabric is not washed. X-ray photoelectron spectroscopy analysis reveals that the water absorption time of the fabric follows the same increasing trend as the fluorine/carbon ratio at the fabric surface and atom density of fluorine measured by Ar actinometer.

  18. Mechanical strength and hydrophobicity of cotton fabric after SF6 plasma treatment

    International Nuclear Information System (INIS)

    Kamlangkla, K.; Paosawatyanyong, B.; Pavarajarn, V.; Hodak, Jose H.; Hodak, Satreerat K.

    2010-01-01

    Surface treatments to tailor fabric properties are in high demand by the modern garment industry. We studied the effect of radio-frequency inductively coupled SF 6 plasma on the surface characteristics of cotton fabric. The duration of the treatment and the SF 6 pressure were varied systematically. We measured the hydrophobicity of treated cotton as a function of storage time and washing cycles. We used the weight loss (%) along with the etching rate, the tensile strength, the morphology changes and the hydrophobicity of the fabric as observables after treatments with different plasma conditions. The weight loss remains below 1% but it significantly increases when the treatment time is longer than 5 min. Substantial changes in the surface morphology of the fiber are concomitant with the increased etching rate and increased weight loss with measurable consequences in their mechanical characteristics. The measured water absorption time reaches the maximum of 210 min when the SF 6 pressure is higher than 0.3 Torr. The water contact angle (149 deg.) and the absorption time (210 min) of cotton treated with extreme conditions appear to be durable as long as the fabric is not washed. X-ray photoelectron spectroscopy analysis reveals that the water absorption time of the fabric follows the same increasing trend as the fluorine/carbon ratio at the fabric surface and atom density of fluorine measured by Ar actinometer.

  19. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  20. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  1. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  2. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  3. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  4. Surface damage of W exposed to combined stationary D plasma and ELMs-like pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Y.Z., E-mail: jaja880816@aliyun.com [Science and Technology on Reactor Fuel and Materials Laboratory, Nuclear Power Institute of China, Chengdu, Sichuan 610213 (China); Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Liu, W., E-mail: liuw@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, B.; Qu, S.L. [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Morgan, T.W. [FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research, 5612AJ Eindhoven (Netherlands)

    2017-04-15

    The surface damage of W under D plasma and ELMs-like transient heat loads was studied by combined stationary and pulsed D plasma. Low-flux transient heat loads will promote blister formation due to the gas expansion inside the blisters. On the contrary, high-flux transient heat loads will mitigate blistering due to the high surface temperature. Therefore, blistering on W surface first increased and then decreased with the increasing transient heat loads. The promotion effect of pulsed plasma on blistering is more obvious on [001] and [110] surfaces than on [111] surface, and the orientation dependence of blisters was mitigated by the transient heat loads. Surface modification induced by transient heat loads only formed on [001] and [110] surfaces, but did not form on [111] surface. The orientation dependence of surface modification was mainly due to the slipping system of dislocations.

  5. Plasma treatment of carbon fibres and glass-fibre-reinforced polyesters at atmospheric pressure for adhesion improvement

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Løgstrup Andersen, Tom; Toftegaard, Helmuth Langmaack

    2014-01-01

    Atmospheric pressure plasma treatment is useful for adhesion improvement, because cleaning, roughening and addition of polar functional groups can be expected at the surfaces. Its possible applications in the wind energy industry include plasma treatment of fibres and fibre-reinforced polymer...... composites before assembling them to build wind turbine blades. In the present work, unsized carbon fibres are continuously treated using a dielectric barrier discharge plasma in helium at atmospheric pressure, and carbon fibre reinforced epoxy composite plates are manufactured for the mechanical test....... The plasma treatment improved fracture toughness, indicating that adhesion between the fibres and the epoxy was enhanced by the treatment. In addition, glass-fibre-reinforced polyester plates are treated using a gliding arc and an ultrasound enhanced dielectric barrier discharge, improving the wettability...

  6. Fabrication of Durably Superhydrophobic Cotton Fabrics by Atmospheric Pressure Plasma Treatment with a Siloxane Precursor

    Directory of Open Access Journals (Sweden)

    Jing Yang

    2018-04-01

    Full Text Available The surface treatment of fabrics in an atmospheric environment may pave the way for commercially viable plasma modifications of fibrous matters. In this paper, we demonstrate a durably superhydrophobic cotton cellulose fabric prepared in a single-step graft polymerization of hexamethyldisiloxane (HMDSO by N2 and O2 atmospheric pressure plasma. We systematically investigated effects on contact angle (CA and surface morphology of the cotton fabric under three operational parameters: precursor value; ionization gas flow rate; and plasma cycle time. Surface morphology, element composition, chemical structure and hydrophobic properties of the treated fabric were characterized by scanning electron microscope (SEM, EDS, FTIR and CA on the fabrics. The results indicated that a layer of thin film and nano-particles were evenly deposited on the cotton fibers, and graft polymerization occurred between cellulose and HMDSO. The fabric treated by O2 plasma exhibited a higher CA of 162° than that treated by N2 plasma which was about 149°. Furthermore, the CA of treated fabrics decreased only 0°~10° after storing at the ambient conditions for four months, and treated fabrics could also endure the standard textile laundering procedure in AATCC 61-2006 with minimum change. Therefore, this single-step plasma treatment method is shown to be a novel and environment-friendly way to make durable and superhydrophobic cotton fabrics.

  7. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  8. The role of energetic ions from plasma in the creation of nanostructured materials and stable polymer surface treatments

    International Nuclear Information System (INIS)

    Bilek, M.M.M.; Newton-McGee, K.; McKenzie, D.R.; McCulloch, D.G.

    2006-01-01

    Plasma processes for the synthesis of new materials as thin films have enabled the production of a wide variety of new materials. These include meta-stable phases, which are not readily found in nature, and more recently, materials with structure on the nanoscale. Study of plasma synthesis processes at the fundamental level has revealed that ion energy, depositing flux and growth surface temperature are the critical parameters affecting the microstructure and the properties of the thin film materials formed. In this paper, we focus on the role of ion flux and impact energy in the creation of thin films with nanoscale structure in the form of multilayers. We describe three synthesis strategies, based on the extraction of ions from plasma sources and involving modulation of ion flux and ion energy. The microstructure, intrinsic stress and physical properties of the multilayered samples synthesized are studied and related back to the conditions at the growth surface during deposition. When energetic ions of a non-condensing species are used, it is possible to place active groups on the surfaces of materials such as polymers. These active groups can then be used as bonding sites in subsequent chemical attachment of proteins or other macromolecules. If the energy of the non-condensing ions is increased to a few keV then modified layers buried under the surface can be produced. Here we describe a method by which the aging effect, which is often observed in plasma surface modifications on polymers, can be reduced and even eliminated using high energy ion bombardment

  9. Plasma-aided surface technology for modification of materials referred to fire protection

    International Nuclear Information System (INIS)

    Dineff, P.; Gospodinova, D.; Kostova, L.; Vladkova, T.; Chen, E.

    2008-01-01

    There has been considerable interest in dielectric barrier air discharge at atmospheric pressure and room temperature over the past decade due to the increased number of industrial applications. New plasma-aided capillary impregnation technology for flame spreading stop and fire protection of porous materials was developed. Research, based on thermogravimetric analysis (TGA), differential thermal analysis (DTA), and differential scanning calorimetry (DSC), proves that plasma-chemical surface pre-treatment exert material change on chemical interaction between phosphorus containing flame retardant and wood matrix (Pinus sylvestris, Bulgaria; Pseudotsuga, Canada)

  10. Surface multipole guide field for plasma injection

    International Nuclear Information System (INIS)

    Breun, R.A.; Rael, B.H.; Wong, A.Y.

    1977-01-01

    Described here is a surface guide field system which is useful for injection of plasmas into confinement devices. Experimental results are given for 5--25-eV hydrogen plasmas produced by a coaxial discharge (Marshall) gun. It is found that better than 90% of the plasma produced by the gun is delivered to the end of the guide 180 cm away, while the neutral component falls by more than an order of magnitude. For these results the rod current providing the magnetic field had to be large enough to provide at least 1.5-ion gyroradii from the center of the guide to the surface of the inner rod

  11. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  12. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  13. Plasma treatment for influence of cold in different phases of formation of calcium phosphate on the surface of nanocomposite Al{sub 2}O{sub 3}/ZrO{sub 2}; Influencia do tratamento por plasma a frio na formacao de diferentes fases de fosfatos de calcio sobre a superficie do nanocomposito de Al{sub 2}O{sub 3}/ZrO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Santos, K.H.; Ferreira, J.A.; Osiro, D.; Nascimento, L.I.S.; Pallone, E.M.J.A., E-mail: katiahsantos@usp.br [Universidade de Sao Paulo (FZEA/USP), Pirassununga, SP (Brazil). Faculdade de Zootecnia e Engenharia de Alimentos; Colnago, L.B. [Embrapa Instrumentacao, Sao Carlos, SP (Brazil); Alves Junior, C. [Universidade Federal Rural do Semi-Arido (UFERSA),Mossoro, RN (Brazil)

    2016-07-01

    Among the different techniques used in surface treatment of biomaterials, the plasma has been noted for its ability to promote changes in surface roughness of the treated material. The objective of this study was to evaluate the influence of treatment by plasma in the formation of calcium phosphate nanocomposite on the surface of Al2O3/ZrO2 (5% by vol.). For this, samples were formed, calcined, sintered, surface treated and coated biomimeticamente plasma for 14 days. The surface characterization was performed by confocal microscopy and spectroscopy, Fourier transform infrared (FTIR). After coating, the samples were characterized by FTIR and X-ray diffraction X-ray (XRD). It was observed that the treatments improved surface roughness. Furthermore, regardless of the surface treatment were observed only three phases of calcium phosphates: HA α -TCP and -β-TCP. It is worth noting that depending on the composition, there are variations in the amount of phosphates, as well as the percentages of the different phases. (author)

  14. Improvement of NiMoNb to polyimide adhesion by inductively coupled nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Bang, S.-H., E-mail: zxclucy@snu.ac.kr

    2016-01-01

    Graphical abstract: - Highlights: • NiMoNb was introduced as the adhesion layer for flexible Cu-clad laminate structure. • The effect of sputtering and plasma power on the peel strength was studied. • Plasma pretreatment in inductively coupled plasma greatly affects the peel strength. • FCCL with NiMoNb adhesion layer show outstanding peel strength. - Abstract: In this study, the effect of sputtering power on the peel strength of the flexible copper clad laminate (FCCL) was evaluated before and after heat treatment using 180° peel test. An increase in the sputtering powers from 200 W to 600 W increased film density and improved peel strength. To enhance peel strength much more, an inductively coupled plasma (ICP) was treated on the PI surface using N{sub 2} gas with Ar as a function of RF power. A dramatic enhancement of the peel strength, 923 N/m was achieved, especially after heat treatment by changing ICP power from 200 W to 900 W. The reduction ratio of the peel strength for the 900 W plasma-treated FCCL was only 12%, whereas that for the 200 W plasma-treated FCCL was 43%. The root mean square (RMS) surface roughness with PIs exposed to both 200 W and 900 W plasma treatments was rarely changed, while X-ray photoelectron spectroscopy (XPS) showed the substantial increase of C–N functional groups. To obtain insight the film characteristics, the NiMoNb/PI interfaces were investigated by a high resolution transmission electron microscopy (HR-TEM).

  15. Formation and treatment of materials with microwave plasmas

    International Nuclear Information System (INIS)

    Camps, E.; Garcia, J.L.; Romero, S.

    1996-01-01

    The plasmas technology occupies day by day a more important place in the development of new materials, with properties superior to those developed with conventional techniques. Some processes have already been established and are exploited to industrial level. These basically include the plasmas that are generated within discharges of continuous current, as well as those with alternate fields of frequency in the range of radiofrequency (13.6 MHz usually). Nevertheless, the need to increase the efficiency of the work of plasma used, has given as a result the study of plasmas generated to higher frequencies (2.45 GHz), known as m icrowave plasmas . An important development in the treatment of materials at low pressures and temperature, are those known as microwave discharges of the type of cyclotron resonances of the electrodes, that is, a discharge submerged into a magnetic field. These discharges have the advantage of not including electrodes, they can generate plasmas with higher density of ionized and excited particles, can work under low pressures (∼ 1m Torr), and have higher ionizing coefficient (∼ 1%), than other kind of discharge. With the aim to study the accuracy in work of the microwave discharges in magnetic fields, the National Institute of Nuclear Research (ININ) designed and built a gadget of this type which is actually used in the formation of thin films of the diamond type and of amorphous silicon. At the same time, experiments for nitrating steels, in order to establish the mechanisms that would allow to build samples, with surfaces stronger and resistant to corrosion, at short-time treatments, than those needed, when using other kinds of discharges. (Author)

  16. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    International Nuclear Information System (INIS)

    Subrahmanyan, S.; Dillard, J.G.; Love, B.J.; Romand, M.; Charbonnier, M.

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T g , the surface functionalities were absorbed within the bulk and surface rearrangement occurred within 10 h of annealing time. As a result of rearrangement, the benefit of adhesion enhancement by plasma is lost and the adhesion to copper is reduced

  17. Low Stress Mechanical Properties of Plasma-Treated Cotton Fabric Subjected to Zinc Oxide-Anti-Microbial Treatment

    Directory of Open Access Journals (Sweden)

    Chi-Wai Kan

    2013-01-01

    Full Text Available Cotton fabrics are highly popular because of their excellent properties such as regeneration, bio-degradation, softness, affinity to skin and hygroscopic properties. When in contact with the human body, cotton fabrics offer an ideal environment for microbial growth due to their ability to retain oxygen, moisture and warmth, as well as nutrients from spillages and body sweat. Therefore, an anti-microbial coating formulation (Microfresh and Microban together with zinc oxide as catalyst was developed for cotton fabrics to improve treatment effectiveness. In addition, plasma technology was employed in the study which roughened the surface of the materials, improving the loading of zinc oxides on the surface. In this study, the low stress mechanical properties of plasma pre-treated and/or anti-microbial-treated cotton fabric were studied. The overall results show that the specimens had improved bending properties when zinc oxides were added in the anti-microbial coating recipe. Also, without plasma pre-treatment, anti-microbial-treatment of cotton fabric had a positive effect only on tensile resilience, shear stress at 0.5° and compressional energy, while plasma-treated specimens had better overall tensile properties even after anti-microbial treatment.

  18. fabrics induced by cold plasma treatments

    Indian Academy of Sciences (India)

    Some selective cold plasma processing modify specific surface properties of ... obtain information on the chemical and physical processing involved in ... charges of suitable gases. such plasma species can give rise to several concurrent.

  19. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    CERN Document Server

    Subramanian, S; Love, B J; Romand, M; Charbonnier, M

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T sub g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T sub g , the surface functionalities were absorbed within the bulk and surface rearrangement occurre...

  20. About a possibility of increasing the adhesion strength between mineral glass and polymeric binder under radio-frequency induction plasma treatment

    International Nuclear Information System (INIS)

    Miftakhov, I S; Trofimov, A V; Nagmutdinova, A I; Voznesensky, E F; Sharifullin, F S; Krasina, I V; Rakhmatullina, G R

    2017-01-01

    The paper investigated influences of radio-frequency induction plasma treatment on the surface of sheet mineral glasses for household purpose. Discussion for casting the most suitable treatment modes and theirs substantiation is shown. During the investigation the most productive plasma treatment modes for applied binders have been found. It is shown that the durability of adhesive joints between mineral glass and polymeric binder under low-temperature plasma treatment increase to 65%. (paper)

  1. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  2. Effect of corona discharge plasma jet on surface-borne microorganisms and sprouting of broccoli seeds.

    Science.gov (United States)

    Kim, Je-Wook; Puligundla, Pradeep; Mok, Chulkyoon

    2017-01-01

    Different pathogenic microorganisms have been reported to cause sprouts-associated outbreaks. In order to sterilise and enhance the germination of seeds, non-thermal plasma has been increasingly investigated in the field of agricultural science as an alternative to the traditional pre-sowing seed treatments. This work aimed to evaluate the effect of corona discharge plasma jet (CDPJ) on disinfection of the natural bio-contaminants of broccoli seed and also studied the plasma effect on sprout seed germination rate and physico-chemical properties of sprouts. Aerobic bacteria, moulds and yeasts, B. cereus, E. coli, Salmonella spp. were detected on the broccoli seed surface. After 0-3 min treatment using CDPJ, the detected microorganisms were reduced in the range of 1.2-2.3 log units. Inactivation patterns were better explained using pseudo-first-order kinetics. The plasma treatment of seeds up to 2 min exhibited a positive effect on germination rate, seedling growth. The physico-chemical and sensory characteristics of sprouts were unaffected due to the CDPJ treatment of their respective seeds. Corona discharge plasma jet can potentially be used for microbial decontamination of broccoli seeds. In addition, the plasma treatment of broccoli sprout seeds has enabled a significant enhancement in their germination rate and seedling growth without compromising physico-chemical and sensory characteristics of their corresponding sprouts. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  3. Surface modification by cold-plasma technique for dental implants—Bio-functionalization with binding pharmaceuticals

    Directory of Open Access Journals (Sweden)

    Masao Yoshinari

    2011-08-01

    At the bone tissue/implant interface, a thin calcium phosphate coating and rapid heating with infrared radiation were effective in controlling the dissolution without cracking the coating. These thin calcium phosphate coatings may directly promote osteogenisis, but also enable immobilization and subsequent drug delivery system (DDS of bisphosphonates. Simvastatin is also an effective candidate that is reported to increase the expression of BMP-2. The thin-film of hexamethyldisiloxane (HMDSO was plasma-polymerized onto titanium, and then HMDSO surface was activated by O2-plasma treatment. A quartz crystal microbalance (QCM-D technique demonstrated that simvastatin was immobilized on the plasma-treated surfaces due to introduction of O2-functional groups. At the soft tissue/implant interface, multi-grooved surface topographies and utilizing the adhesive proteins such as fibronectin or laminin-5 may help in providing a biological seal around the implant. At the oral fluid/implant interface, an alumina coating, F+-implantation and immobilization of anti-microbial peptides were responsible for inhibiting the biofilm accumulation.

  4. Nanostructuring of polymethylpentene by plasma and heat treatment for improved biocompatibility

    Czech Academy of Sciences Publication Activity Database

    Slepička, P.; Kasálková-Slepičková, N.; Kolská, Z.; Macková, Anna; Bačáková, Lucie; Švorčík, V.; Malinský, Petr; Trostová, S.

    2012-01-01

    Roč. 97, č. 7 (2012), s. 1075-1082 ISSN 0141-3910 R&D Projects: GA ČR GA106/09/0125; GA ČR(CZ) GAP108/10/1106; GA ČR(CZ) GAP108/12/1168 Institutional support: RVO:61389005 ; RVO:67985823 Keywords : Polymethylpentene * Plasma treatment * Thermal treatment * Surface chemistry * Cell proliferation * Morfology Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 2.770, year: 2012

  5. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  6. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  7. Plasma surface interaction studies in Japan

    International Nuclear Information System (INIS)

    Hino, T.; Hirohata, Y.; Yamashina, T.

    1994-01-01

    In order to achieve a long burning time period in a fusion reactor, the interactions between the plasma facing materials and the fusion plasma have to be well controlled. Namely, the radiation loss due to impurities and deterioration of the energy confinement time due to fuel particle recyclings have to be suppressed, in addition to the requirement of heat removal based on a high heat flux component. Recently, in Japan, the plasma facing material/component has been very actively developed for ITER and Large Helical Device (LHD). In this review paper, we briefly introduce the following issues, (1) progress of plasma surface interactions in tokamaks and helical devices, (2) development of plasma facing materials, (3) divertor development, (4) boronization, (5) selective pumping of helium ash, (6) tritium retention, and (7) neutron damage of graphite plasma facing material. (author)

  8. Study of plasma-surface interaction at the GOL-3 facility

    Energy Technology Data Exchange (ETDEWEB)

    Shoshin, A.A., E-mail: shoshin@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arakcheev, A.S., E-mail: asarakcheev@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arzhannikov, A.V., E-mail: A.V.Arzhannikov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Burdakov, A.V., E-mail: a.v.burdakov@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State Technical University, Novosibirsk 630092 (Russian Federation); Ivanov, I.A., E-mail: I.A.Ivanov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Kasatov, A.A., E-mail: a.a.kasatov@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kuklin, K.N., E-mail: K.N.Kuklin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Polosatkin, S.V., E-mail: S.V.Polosatkin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Postupaev, V.V., E-mail: V.V.Postupaev@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Sinitsky, S.L., E-mail: S.L.Sinitsky@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); and others

    2017-01-15

    The review presents experimental studies of plasma-surface interaction and materials behavior under plasma loads done in the multiple-mirror trap of the GOL-3 facility. In the experiments for the PSI, the energy density in the extracted plasma stream varies from 0.5 to 30 MJ/m{sup 2}. Parameters of near-surface plasma measured by a set of diagnostics are reviewed. Surface patterns of targets exposed to the plasma are analyzed. The erosion depth depends on the energy loads—it rises from 0 to 600 μm at 0.5 and 30 MJ/m{sup 2}, correspondingly. Cracking and evolution of graphite and tungsten surface morphology are discussed. The enthalpy of brittle destruction of graphite (10 kJ/g), which determines the threshold of bulk damage of targets irradiated with a charged-particle flux with large penetration depth, was determined. Comparison of different facilities for PSI studies are presented. Heat flux play a key role to the target surface erosion.

  9. Mechanical strength and hydrophobicity of cotton fabric after SF{sub 6} plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kamlangkla, K. [Nanoscience and Nanotechnology Program, Center of Innovative Nanotechnology, Chulalongkorn University, Bangkok 10330 (Thailand); Paosawatyanyong, B. [Department of Physics, Faculty of Science, Chulalongkorn University, and ThEP Center, Commission on Higher Education, Bangkok 10330 (Thailand); Pavarajarn, V. [Department of Chemical Engineering, Faculty of Engineering, Chulalongkorn University, Bangkok 10330 (Thailand); Hodak, Jose H. [Department of Physics, Faculty of Science, Mahidol University, Bangkok 10400 (Thailand); Hodak, Satreerat K., E-mail: Satreerat.H@Chula.ac.th [Department of Physics, Faculty of Science, Chulalongkorn University, and ThEP Center, Commission on Higher Education, Bangkok 10330 (Thailand)

    2010-08-01

    Surface treatments to tailor fabric properties are in high demand by the modern garment industry. We studied the effect of radio-frequency inductively coupled SF{sub 6} plasma on the surface characteristics of cotton fabric. The duration of the treatment and the SF{sub 6} pressure were varied systematically. We measured the hydrophobicity of treated cotton as a function of storage time and washing cycles. We used the weight loss (%) along with the etching rate, the tensile strength, the morphology changes and the hydrophobicity of the fabric as observables after treatments with different plasma conditions. The weight loss remains below 1% but it significantly increases when the treatment time is longer than 5 min. Substantial changes in the surface morphology of the fiber are concomitant with the increased etching rate and increased weight loss with measurable consequences in their mechanical characteristics. The measured water absorption time reaches the maximum of 210 min when the SF{sub 6} pressure is higher than 0.3 Torr. The water contact angle (149 deg.) and the absorption time (210 min) of cotton treated with extreme conditions appear to be durable as long as the fabric is not washed. X-ray photoelectron spectroscopy analysis reveals that the water absorption time of the fabric follows the same increasing trend as the fluorine/carbon ratio at the fabric surface and atom density of fluorine measured by Ar actinometer.

  10. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  11. Surface modification of polyethylene by plasma

    International Nuclear Information System (INIS)

    Colin O, E.

    2003-01-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  12. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  13. Stochastic clustering of material surface under high-heat plasma load

    Science.gov (United States)

    Budaev, Viacheslav P.

    2017-11-01

    The results of a study of a surface formed by high-temperature plasma loads on various materials such as tungsten, carbon and stainless steel are presented. High-temperature plasma irradiation leads to an inhomogeneous stochastic clustering of the surface with self-similar granularity - fractality on the scale from nanoscale to macroscales. Cauliflower-like structure of tungsten and carbon materials are formed under high heat plasma load in fusion devices. The statistical characteristics of hierarchical granularity and scale invariance are estimated. They differ qualitatively from the roughness of the ordinary Brownian surface, which is possibly due to the universal mechanisms of stochastic clustering of material surface under the influence of high-temperature plasma.

  14. Apparatus for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1990-01-01

    An apparatus suitable for plasma surface treating (e.g., forming a membrane layer on a substrate surface) comprises a plasma generation section which is operable at least at substantially atmospheric pressure and is in communication via at least one plasma inlet (e.g., a nozzle) with an enclosed

  15. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  16. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  17. Assessment of Atmospheric Pressure Plasma Treatment for Implant Osseointegration

    Directory of Open Access Journals (Sweden)

    Natalie R. Danna

    2015-01-01

    Full Text Available This study assessed the osseointegrative effects of atmospheric pressure plasma (APP surface treatment for implants in a canine model. Control surfaces were untreated textured titanium (Ti and calcium phosphate (CaP. Experimental surfaces were their 80-second air-based APP-treated counterparts. Physicochemical characterization was performed to assess topography, surface energy, and chemical composition. One implant from each control and experimental group (four in total was placed in one radius of each of the seven male beagles for three weeks, and one implant from each group was placed in the contralateral radius for six weeks. After sacrifice, bone-to-implant contact (BIC and bone area fraction occupancy (BAFO were assessed. X-ray photoelectron spectroscopy showed decreased surface levels of carbon and increased Ti and oxygen, and calcium and oxygen, posttreatment for Ti and CaP surfaces, respectively. There was a significant (P<0.001 increase in BIC for APP-treated textured Ti surfaces at six weeks but not at three weeks or for CaP surfaces. There were no significant (P=0.57 differences for BAFO between treated and untreated surfaces for either material at either time point. This suggests that air-based APP surface treatment may improve osseointegration of textured Ti surfaces but not CaP surfaces. Studies optimizing APP parameters and applications are warranted.

  18. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  19. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  20. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    Energy Technology Data Exchange (ETDEWEB)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun; Sonde, Sushant; Banerjee, Sanjay K. [Department of Electrical and Computer Engineering, Microelectronics Research Center, The University of Texas at Austin, 10100 Burnet Road, Austin, Texas 78758 (United States); Kwon, Hyuk-Min [SK Hynix, Icheon, 2091, Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do 136-1 (Korea, Republic of); Orzali, Tommaso; Kim, Tae-Woo, E-mail: twkim78@gmail.com [SEMATECH Inc., 257 Fuller Rd #2200, Albany, New York 12203 (United States); Kim, Dae-Hyun [Kyungpook National University, 80, Daehak-ro, Buk-gu, Daegu 702-701 (Korea, Republic of)

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}), which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.

  1. Polypropylene fibers modified by plasma treatment for preparation of Ag nanoparticles.

    Science.gov (United States)

    Tseng, Chun-Hao; Wang, Cheng-Chien; Chen, Chuh-Yung

    2006-03-09

    A novel method for preparing poly(propylene-graft-2-methacrylic acid 3-(bis-carboxymethylamino)-2-hydroxy-propyl ester)-silver fibers (PPG-IAg fibers) by plasma-induced grafting polymerization is presented in this study. The chelating groups, -N(CH2COO-)2 (GMA-IDA), on the surface of the PPG-I fibers are the coordination sites for chelating silver ions. At these sites, Ag nanoparticles were grown first by reduction with UV light with a wavelength of 366 nm, and second, through immersion in a 24% formaldehyde solution with pH values set variously at 2, 5, 8, and 11. The characteristics of the PPG-I fibers with differing durations of plasma treatment were monitored by using a Fourier transform infrared (FT-IR) spectroscope. Scanning electronic microscopy (SEM) and elemental analysis show that the percentage of GMA-IDA grafted onto PP fiber reaches a maximum when the plasma treatment time is 3 min. Plasma treatment time beyond a certain length of time results in an abundance of free radicals and causes considerable cross-linking on the fiber surface which thus decreases the extent of grafting. Moreover, the crystalline phase of Ag nanoparticles is identified by using X-ray diffraction (XRD). When the PPG-I fibers are reduced by the UV light method, SEM and TEM microscopes reveal that the size of the Ag nanoparticles on the fiber surface decreases significantly with the increase of pH values in aqueous solutions. Notably, in the reduction of formaldehyde solution, the particle size of Ag nanoparticles reaches a minimum at the lowest pH value. The TEM observations show that Ag nanoparticles are distributed both in the exterior and interior of the grafting layer. In addition, under high pH values the distribution of the Ag nanoparticles permeate more deeply in the GMA-IDA grafting layer due to the swelling effect of the GMA-IDA polymer.

  2. Plasma technology for waste treatment

    International Nuclear Information System (INIS)

    Cohn, D.R.

    1995-01-01

    Improved environmental cleanup technology is needed to meet demanding goals for remediation and treatment of future waste streams. Plasma technology has unique features which could provide advantages of reduced secondary waste, lower cost, and onsite treatment for a wide variety of applications. Plasma technology can provide highly controllable processing without the need for combustion heating. It can be used to provide high temperature processing (∼10,000 degrees C). Plasma technology can also be employed for low temperature processing (down to room temperature range) through selective plasma chemistry. A graphite electrode arc plasma furnace at MIT has been used to investigate high temperature processing of simulated solid waste for Department of Energy environmental cleanup applications. Stable, non-leachable glass has been produced. To ensure reliable operation and to meet environmental objectives, new process diagnostics have been developed to measure furnace temperature and to determine metals emissions in the gaseous effluent. Selective plasma destruction of dilute concentrations of hazardous compounds in gaseous waste streams has been investigated using electron beam generated plasmas. Selective destruction makes it possible to treat the gas steam at relatively low temperatures in the 30-300 degrees C range. On-line infrared measurements have been used in feedback operation to maximize efficiency and ensure desired performance. Plasma technology and associated process diagnostics will be used in future studies of a wide range of waste streams

  3. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  4. Quantification of air plasma chemistry for surface disinfection

    International Nuclear Information System (INIS)

    Pavlovich, Matthew J; Clark, Douglas S; Graves, David B

    2014-01-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O 3 ) and nitrogen oxides (NO and NO 2 , or NO x ) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NO x mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications. (paper)

  5. Quantification of air plasma chemistry for surface disinfection

    Science.gov (United States)

    Pavlovich, Matthew J.; Clark, Douglas S.; Graves, David B.

    2014-12-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O3) and nitrogen oxides (NO and NO2, or NOx) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NOx mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications.

  6. Improvement of the Performance of Graphite Felt Electrodes for Vanadium-Redox-Flow-Batteries by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Eva-Maria Hammer

    2014-02-01

    Full Text Available In the frame of the present contribution oxidizing plasma pretreatment is used for the improvement of the electrocatalytic activity of graphite felt electrodes for Vanadium-Redox-Flow-Batteries (VRB. The influence of the working gas media on the catalytic activity and the surface morphology is demonstrated. The electrocatalytical properties of the graphite felt electrodes were examined by cyclic voltammetry and electrochemical impedance spectroscopy. The obtained results show that a significant improvement of the redox reaction kinetics can be achieved for all plasma modified samples using different working gasses (Ar, N2 and compressed air in an oxidizing environment. Nitrogen plasma treatment leads to the highest catalytical activities at the same operational conditions. Through a variation of the nitrogen plasma treatment duration a maximum performance at about 14 min cm-2 was observed, which is also represented by a minimum of 90 Ω in the charge transfer resistance obtained by EIS measurements. The morphology changes of the graphitized surface were followed using SEM.

  7. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  8. Bactericidal effects of plasma-modified surface chemistry of silicon nanograss

    International Nuclear Information System (INIS)

    Ostrikov, Kola; Macgregor-Ramiasa, Melanie; Cavallaro, Alex; Ostrikov, Kostya; Vasilev, Krasimir

    2016-01-01

    The surface chemistry and topography of biomaterials regulate the adhesion and growth of microorganisms in ways that are still poorly understood. Silicon nanograss structures prepared via inductively coupled plasma etching were coated with plasma deposited nanometer-thin polymeric films to produce substrates with controlled topography and defined surface chemistry. The influence of surface properties on Staphylococcus aureus proliferation is demonstrated and explained in terms of nanograss substrate wetting behaviour. With the combination of the nanograss topography; hydrophilic plasma polymer coatings enhanced antimicrobial activity while hydrophobic coatings reduced it. This study advances the understanding of the effects of surface wettability on the bactericidal properties of reactive nano-engineered surfaces. (paper)

  9. On the origin of the changes in the opto-electrical properties of boron-doped zinc oxide films after plasma surface treatment for thin-film silicon solar cell applications

    Science.gov (United States)

    Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin

    2018-03-01

    The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.

  10. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  11. Surfaces electrons at dielectric plasma walls

    International Nuclear Information System (INIS)

    Heinisch, Rafael Leslie

    2013-01-01

    The concept of the electron surface layer introduced in this thesis provides a framework for the description of the microphysics of the surplus electrons immediately at the wall and thereby complements the modelling of the plasma sheath. In this work we have considered from a surface physics perspective the distribution and build-up of an electron adsorbate on the wall as well as the effect of the negative charge on the scattering of light by a spherical particle immersed in a plasma. In our electron surface layer model we treat the wall-bound electrons as a wall-thermalised electron distribution minimising the grand canonical potential and satisfying Poisson's equation. The boundary between the electron surface layer and the plasma sheath is determined by a force balance between the attractive image potential and the repulsive sheath potential and lies in front of the crystallographic interface. Depending on the electron affinity χ, that is the offset of the conduction band minimum to the potential in front of the surface, two scenarios for the wall-bound electrons are realised. For χ 0 electrons penetrate into the conduction band where they form an extended space charge. These different scenarios are also reflected in the electron kinetics at the wall which control the sticking coefficient and the desorption time. If χ -3 . For χ>0 electron physisorption takes place in the conduction band. For this case sticking coefficients and desorption times have not been calculated yet but in view of the more efficient scattering with bulk phonons, responsible for electron energy relaxation in this case, we expect them to be larger than for the case of χ 0 the electrons in the bulk of the particle modify the refractive index through their bulk electrical conductivity. In both cases the conductivity is limited by scattering with surface or bulk phonons. Surplus electrons lead to an increase of absorption at low frequencies and, most notably, to a blue-shift of an

  12. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Juárez-Moreno, J.A. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Ávila-Ortega, A. [Facultad de Ingeniería Química—UADY, Periférico Norte Kilómetro 33.5, Col. Chuburna de Hidalgo Inn, C.P. , 97203 Mérida, Yucatán (Mexico); Oliva, A.I. [Centro de Investigación y de Estudios Avanzados del IPN–Unidad Mérida, Km. 6 Antigua carretera a Progreso Apdo. Postal 73, Cordemex, 97310 Mérida, Yucatán (Mexico); Avilés, F. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Cauich-Rodríguez, J.V., E-mail: jvcr@cicy.mx [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico)

    2015-09-15

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups.

  13. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    International Nuclear Information System (INIS)

    Juárez-Moreno, J.A.; Ávila-Ortega, A.; Oliva, A.I.; Avilés, F.; Cauich-Rodríguez, J.V.

    2015-01-01

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups

  14. Surface chemistry of carbon removal from indium tin oxide by base and plasma treatment, with implications on hydroxyl termination

    International Nuclear Information System (INIS)

    Chaney, John A.; Koh, Sharon E.; Dulcey, Charles S.; Pehrsson, Pehr E.

    2003-01-01

    The surface chemistry of carbon removal from indium tin oxide (ITO) has been investigated with Auger electron spectroscopy (AES), high-resolution electron energy loss spectroscopy (HREELS), and high-resolution energy loss spectroscopy (HR-ELS). A vibrating Kelvin probe (KP) was used to monitor the work function (PHI) of ITO after cleaning, either by base-cleaning with alcoholic-KOH or by O 2 plasma-cleaning. Base-cleaning lowered PHI ITO as seen in the KP analysis, whereas plasma-cleaning slightly increased PHI ITO by an oxidative process. The degree of PHI ITO depression by base-cleaning was seen to depend on the initial surface, but the PHI depression itself was nonreductive to the ITO, as seen in the In-MNN AES lineshape. The nonreductive depression of PHI ITO by base-cleaning was further supported by a constant charge carrier density, as estimated from the HR-ELS. Base-cleaning was slightly more effective than the oxygen plasma in removing carbon from the ITO surface. However, base-cleaning preferentially removed graphitic carbon while leaving significant hydrocarbon contaminants, as determined by vibrational analysis with HREELS. All other ITO surfaces retained a significant carbon and hydrocarbon contamination as evidenced by AES and HREELS. There was little evidence of the formation of surface hydroxyl species, as expected for such an inherently contaminated surface as ITO

  15. Surface topography and morphology characterization of PIII irradiated silicon surface

    International Nuclear Information System (INIS)

    Sharma, Satinder K.; Barthwal, Sumit

    2008-01-01

    The effect of plasma immersion ion implantation (PIII) treatment on silicon surfaces was investigated by micro-Raman and atomic force microscopy (AFM) technique. The surface damage was given by the implantation of carbon, nitrogen, oxygen and argon ions using an inductively coupled plasma (ICP) source at low pressure. AFM studies show that surface topography of the PIII treated silicon wafers depend on the physical and chemical nature of the implanted species. Micro-Raman spectra indicate that the significant reduction of intensity of Raman peak after PIII treatment. Plasma immersion ion implantation is a non-line-of-sight ion implantation method, which allows 3D treatment of materials. Therefore, PIII based surface modification and plasma immersion ion deposition (PIID) coatings are applied in a wide range of situations.

  16. The hidden radiation chemistry in plasma modification and XPS analysis of polymer surfaces

    International Nuclear Information System (INIS)

    George, G.A.; Le, T.T.; Elms, F.M.; Wood, B.J.

    1996-01-01

    Full text: The surface modification of polymers using plasma treatments is being widely researched to achieve changes in the surface energetics and consequent wetting and reactivity for a range of applications. These include i) adhesion for polymer bonding and composite material fabrication and ii) biocompatibility of polymers when used as orthopedic implants, catheters and prosthetics. A low pressure rf plasma produces a variety of species from the introduced gas which may react with the surface of a hydrocarbon polymer, such as polyethylene. In the case of 0 2 and H 2 0, these species include oxygen atoms, singlet molecular oxygen and hydroxyl radicals, all of which may oxidise and, depending on their energy, ablate the polymer surface. In order to better understand the reactive species formed both in and downstream from a plasma and the relative contributions of oxidation and ablation, self-assembled monolayers of n-alkane thiols on gold are being used as well characterised substrates for quantitative X-ray photoelectron spectroscopy (XPS). The identification and quantification of oxidised carbon species on plasma treated polymers from broad, asymmetric XPS signals is difficult, so derivatisation is often used to enhance sensitivity and specificity. For example, trifluoroacetic anhydride (TFAA) selectively labels hydroxyl functionality. The surface analysis of a modified polymer surface may be confounded by high energy radiation chemistry which may occur during XPS analysis. Examples include scission of carbon-halogen bonds (as in TFM adducts), decarboxylation and main-chain polyene formation. The extent of free-radical chemistry occurring in polyethylene while undergoing XPS analysis may be seen by both ESR and FT-IR analysis

  17. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  18. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  19. Gliding arc surface treatment of glass-fiber-reinforced polyester enhanced by ultrasonic irradiation

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Norrman, Kion; Drews, Joanna Maria

    2011-01-01

    . The efficiency of such a plasma treatment at atmospheric pressure can be further improved by ultrasonic irradiation onto the surface during the treatment. In the present work glass fiber reinforced polyester (GFRP) plates are treated using an atmospheric pressure gliding arc with and without ultrasonic...

  20. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  1. Effect of atmospheric plasma treatment on seed germination of rice (Oryza sativa L.)

    Science.gov (United States)

    Penado, Keith Nealson M.; Mahinay, Christian Lorenz S.; Culaba, Ivan B.

    2018-01-01

    Multiple methods of improving plant development have been utilized over the past decades. Despite these improvements, there still exists a need for better planting methods due to the increasing population of a global community. Studies have reported that plasma treatment affects the growth and germination of a variety of plant species, including a multitude of grains which often takes the bulk in the diet of the average human being. This study explores the effect of atmospheric air plasma jet treatment on the seed germination of rice (Oryza sativa L.). The seeds were treated using an atmospheric air plasma jet for 1, 2, and 3 s. The effect of plasma exposure shows a reduction of trichomes on the surface of the seed. This caused a possible increase in wettability which significantly affected the seed germ length but did not affect the seed germination count after the germination period of 72 h.

  2. Plasma Onco-Immunotherapy: Novel Approach to Cancer Treatment

    Science.gov (United States)

    Fridman, Alexander

    2015-09-01

    Presentation is reviewing the newest results obtained by researchers of A.J. Drexel Plasma Institute on direct application of non-thermal plasma for direct treatment of different types of cancer by means of specific stimulation of immune system in the frameworks of the so-called onco-immunotherapy. Especial attention is paid to analysis of depth of penetration of different plasma-medical effects, from ROS, RNS, and ions to special biological signaling and immune system related processes. General aspects of the plasma-stimulation of immune system are discussed, pointing out specific medical applications. Most of experiments have been carried out using nanosecond pulsed DBD at low power and relatively low level of treatment doses, guaranteeing non-damage no-toxicity treatment regime. The nanosecond pulsed DBD physics is discussed mostly regarding its space uniformity and control of plasma parameters relevant to plasma medical treatment, and especially relevant to depth of penetration of different plasma medical effects. Detailed mechanism of the plasma-induced onco-immunotherapy has been suggested based upon preliminary in-vitro experiments with DBD treatment of different cancer cells. Sub-elements of this mechanism related to activation of macrophages and dendritic cells, specific stressing of cancer cells and the immunogenic cell death (ICD) are to be discussed based on results of corresponding in-vitro experiments. In-vivo experiments focused on the plasma-induced onco-immunotherapy were carried out in collaboration with medical doctors from Jefferson University hospital of Philadelphia. Todays achievements and nearest future prospective of clinical test focused on plasma-controlled cancer treatment are discussed in conclusion.

  3. Material Surface Characteristics and Plasma Performance in the Lithium Tokamak Experiment

    Science.gov (United States)

    Lucia, Matthew James

    The performance of a tokamak plasma and the characteristics of the surrounding plasma facing component (PFC) material surfaces strongly influence each other. Despite this relationship, tokamak plasma physics has historically been studied more thoroughly than PFC surface physics. The disparity is particularly evident in lithium PFC research: decades of experiments have examined the effect of lithium PFCs on plasma performance, but the understanding of the lithium surface itself is much less complete. This latter information is critical to identifying the mechanisms by which lithium PFCs affect plasma performance. This research focused on such plasma-surface interactions in the Lithium Tokamak Experiment (LTX), a spherical torus designed to accommodate solid or liquid lithium as the primary PFC. Surface analysis was accomplished via the novel Materials Analysis and Particle Probe (MAPP) diagnostic system. In a series of experiments on LTX, the MAPP x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS) capabilities were used for in vacuo interrogation of PFC samples. This represented the first application of XPS and TDS for in situ surface analysis of tokamak PFCs. Surface analysis indicated that the thin (dLi ˜ 100nm) evaporative lithium PFC coatings in LTX were converted to Li2O due to oxidizing agents in both the residual vacuum and the PFC substrate. Conversion was rapid and nearly independent of PFC temperature, forming a majority Li2O surface within minutes and an entirely Li2O surface within hours. However, Li2O PFCs were still capable of retaining hydrogen and sequestering impurities until the Li2 O was further oxidized to LiOH, a process that took weeks. For hydrogen retention, Li2O PFCs retained H+ from LTX plasma discharges, but no LiH formation was observed. Instead, results implied that H+ was only weakly-bound, such that it almost completely outgassed as H 2 within minutes. For impurity sequestration, LTX plasma performance

  4. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    Science.gov (United States)

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J. M.

    2013-10-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process, the plasma-treated fabrics absorb 24.7% more dye, and the K/S value of the acrylic fabric increases by 8.8%. With selected dyestuff molecules, new techniques can be designed to amplify the knowledge about plasma-treated surface modifications of macromolecules.

  5. Metastable states of plasma particles close to a charged surface

    Energy Technology Data Exchange (ETDEWEB)

    Shavlov, A. V., E-mail: shavlov@ikz.ru [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation); Tyumen State Oil and Gas University, 38, Volodarskogo St., 625000, Tyumen (Russian Federation); Dzhumandzhi, V. A. [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation)

    2015-09-15

    The free energy of the plasma particles and the charged surface that form an electroneutral system is calculated on the basis of the Poisson-Boltzmann equation. It is shown that, owing to correlation of light plasma particles near the charged surface and close to heavy particles of high charge, there can be metastable states in plasma. The corresponding phase charts of metastable states of the separate components of plasma, and plasma as a whole, are constructed. These charts depend on temperature, the charge magnitude, the size of the particles, and the share of the charge of the light carriers out of the total charge of the plasma particles.

  6. Continuous Plasma Treatment of Ultra-High-Molecular-Weight Polyethylene (UHMWPE) Fibres for Adhesion Improvement

    DEFF Research Database (Denmark)

    Teodoru, Steluta; Kusano, Yukihiro; Rozlosnik, Noemi

    2009-01-01

    A dielectric barrier discharge in Ar, He, He/O2, N2 or O2 at atmospheric pressure was used for the continuous plasma treatment of UHMWPE fibres. The influence of the input power of the discharge and the gas flow rate on surface modification is studied with the aim of adhesion improvement. Surface...

  7. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  8. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  9. Correlation between Fe–V–C alloys surface hardness and plasma temperature via LIBS technique

    Energy Technology Data Exchange (ETDEWEB)

    Messaoud Aberkane, S., E-mail: smessaoud@cdta.dz [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Bendib, A. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Yahiaoui, K.; Boudjemai, S.; Abdelli-Messaci, S.; Kerdja, T. [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Amara, S.E. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Harith, M.A. [National Institute of Laser Enhanced Science, Cairo University (Egypt)

    2014-05-01

    Highlights: • New application of LIBS in industry. • Hardness of metallic alloys estimation using LIBS calibration curves. • Linear correlation between the plasma temperature and the hardness of metallic alloys. • The shock wave is fast when the material is hard. - Abstract: Surface hardness is a very important characteristic of metals. Its monitoring plays a key role in industry. In the present paper, using laser induced breakdown spectroscopy (LIBS), Fe–V{sub 18%}–C{sub 1%} alloys with different heat treatments have been used for making the correlation between surface hardness and laser-induced plasma temperatures. All investigated samples were characterized by the same ferrite phase with different Vickers surface hardnesses. The differences in hardness values were attributed to the crystallite size changes. A linear relationship has been obtained between the Vickers surface hardness and the laser induced plasma temperature. For comparison the relation between surface hardness and the ratio of the vanadium ionic to atomic spectral lines intensities (VII/VI) provided good linear results too. However, adopting the proposed approach of using the plasma temperature, instead, is more reliable in view of the difficulties that could be encountered in choosing the proper ionic and atomic spectral lines. To validate this approach we have investigated the shock wave speed induced by laser interaction with the used samples. It was found that harder is the material faster is the shock wave. The determination of the surface hardness via measuring T{sub e} shows the feasibility of using LIBS as an easy and reliable method for in situ industrial application for production control.

  10. Effects of atmospheric air plasma treatment of graphite and carbon felt electrodes on the anodic current from Shewanella attached cells.

    Science.gov (United States)

    Epifanio, Monica; Inguva, Saikumar; Kitching, Michael; Mosnier, Jean-Paul; Marsili, Enrico

    2015-12-01

    The attachment of electrochemically active microorganisms (EAM) on an electrode is determined by both the chemistry and topography of the electrode surface. Pre-treatment of the electrode surface by atmospheric air plasma introduces hydrophilic functional groups, thereby increasing cell attachment and electroactivity in short-term experiments. In this study, we use graphite and carbon felt electrodes to grow the model EAM Shewanella loihica PV-4 at oxidative potential (0.2 V vs. Ag/AgCl). Cell attachment and electroactivity are measured through electrodynamic methods. Atmospheric air plasma pre-treatment increases cell attachment and current output at graphite electrodes by 25%, while it improves the electroactivity of the carbon felt electrodes by 450%. Air plasma pre-treatment decreased the coulombic efficiency on both carbon felt and graphite electrodes by 60% and 80%, respectively. Microbially produced flavins adsorb preferentially at the graphite electrode, and air plasma pre-treatment results in lower flavin adsorption at both graphite and carbon felt electrodes. Results show that air plasma pre-treatment is a feasible option to increase current output in bioelectrochemical systems. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Science.gov (United States)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  12. Parametric plasma surface instabilities with p-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation can be large compared to plasma ion density gradient scale lengths. The authors restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary region is characterized by three parameters: (1) the ion density gradient length; (2) the electron Debye length; and (3) the excursion of boundary electrons as they move in response to monochromatic p-polarized radiation. A thin vacuum plasma transition layer, in which the ion density gradient scale length is large compared with the Debye length and the electron excursion, is included in the analysis of plasma stability. The recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case they have found both spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields due to nonlinear surface currents. LFTPD growth rate profiles are displayed as a function of pump amplitude. The results of a time domain simulation of this mode is also shown

  13. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  14. Dual Electrolytic Plasma Processing for Steel Surface Cleaning and Passivation

    Science.gov (United States)

    Yang, L.; Zhang, P.; Shi, J.; Liang, J.; Tian, W. B.; Zhang, Y. M.; Sun, Z. M.

    2017-10-01

    To remove the rust on rebars and passivate the fresh surfaces, electrodes reversing electrolytic plasma processing (EPP) was proposed and conducted in a 10 wt.% Na2CO3 aqueous solution. The morphology and the composition of the surface were investigated by SEM and XPS. Experimental results show that the rust on the surface was removed effectively by cathode EPP, and a passive film containing Cr2O3 was achieved by the succeeding anode EPP treatment, by a simple operation of reversing the bias. The corrosion resistance was evaluated in a 3.5 wt.% NaCl aqueous solution using an electrochemical workstation. In comparison, the corrosion resistance was improved by the succeeding anode EPP treatment, which is evidenced by a positive shift of the open-circuit potential, an increase in the electrochemical impedance representing the inner layer by 76.8% and the decrease in the corrosion current density by 49.6%. This is an effective and environment-friendly technique to clean and passivate rebars and similar steel materials.

  15. Effect of Argon Plasma Treatment Variables on Wettability and Antibacterial Properties of Polyester Fabrics

    Science.gov (United States)

    Senthilkumar, Pandurangan; Karthik, Thangavelu

    2016-04-01

    In this research work, the effect of argon plasma treatment variables on the comfort and antibacterial properties of polyester fabric has been investigated. The SEM micrographs and FTIR analysis confirms the modification of fabric surface. The Box-Behnken design was used for the optimization of plasma process variables and to evaluate the effects and interactions of the process variables, i.e. operating power, treatment time and distance between the electrodes on the characteristics of polyester fabrics. The optimum conditions of operating power 600 W, treatment time 30 s, and the distance between the electrodes of 2.8 mm was arrived using numerical prediction tool in Design-Expert software. The plasma treated polyester fabrics showed better fabric characteristics particularly in terms of water vapour permeability, wickability and antibacterial activity compared to untreated fabrics, which confirms that the modified structure of polyester fabric.

  16. Nuclear Fusion Research Understanding Plasma-Surface Interactions

    CERN Document Server

    Clark, Robert E.H

    2005-01-01

    It became clear in the early days of fusion research that the effects of the containment vessel (erosion of "impurities") degrade the overall fusion plasma performance. Progress in controlled nuclear fusion research over the last decade has led to magnetically confined plasmas that, in turn, are sufficiently powerful to damage the vessel structures over its lifetime. This book reviews current understanding and concepts to deal with this remaining critical design issue for fusion reactors. It reviews both progress and open questions, largely in terms of available and sought-after plasma-surface interaction data and atomic/molecular data related to these "plasma edge" issues.

  17. Investigation of Surface Pre-Treatment Methods for Wafer-Level Cu-Cu Thermo-Compression Bonding

    Directory of Open Access Journals (Sweden)

    Koki Tanaka

    2016-12-01

    Full Text Available To increase the yield of the wafer-level Cu-Cu thermo-compression bonding method, certain surface pre-treatment methods for Cu are studied which can be exposed to the atmosphere before bonding. To inhibit re-oxidation under atmospheric conditions, the reduced pure Cu surface is treated by H2/Ar plasma, NH3 plasma and thiol solution, respectively, and is covered by Cu hydride, Cu nitride and a self-assembled monolayer (SAM accordingly. A pair of the treated wafers is then bonded by the thermo-compression bonding method, and evaluated by the tensile test. Results show that the bond strengths of the wafers treated by NH3 plasma and SAM are not sufficient due to the remaining surface protection layers such as Cu nitride and SAMs resulting from the pre-treatment. In contrast, the H2/Ar plasma–treated wafer showed the same strength as the one with formic acid vapor treatment, even when exposed to the atmosphere for 30 min. In the thermal desorption spectroscopy (TDS measurement of the H2/Ar plasma–treated Cu sample, the total number of the detected H2 was 3.1 times more than the citric acid–treated one. Results of the TDS measurement indicate that the modified Cu surface is terminated by chemisorbed hydrogen atoms, which leads to high bonding strength.

  18. Harmonic surface wave propagation in plasma

    International Nuclear Information System (INIS)

    Shivarova, A.; Stoychev, T.

    1980-01-01

    Second order harmonic surface waves generated by one fundamental high-frequency surface wave are investigated experimentally in gas discharge plasma. Two types of harmonic waves of equal frequency, associated with the linear dispersion relation and the synchronism conditions relatively propagate. The experimental conditions and the different space damping rates of the waves ensure the existence of different spatial regions (consecutively arranged along the plasma column) of a dominant propagation of each one of these two waves. Experimental data are obtained both for the wavenumbers and the space damping rates by relatively precise methods for wave investigations such as the methods of time-space diagrams and of phase shift measurements. The results are explained by the theoretical model for nonlinear mixing of dispersive waves. (author)

  19. Plasma facing surface composition during NSTX Li experiments

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C.H., E-mail: cskinner@pppl.gov [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States); Sullenberger, R. [Department of Mechanical and Aerospace Engineering, Princeton University, NJ 08540 (United States); Koel, B.E. [Department of Chemical and Biological Engineering, Princeton University, NJ 08540 (United States); Jaworski, M.A.; Kugel, H.W. [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States)

    2013-07-15

    Lithium conditioned plasma facing surfaces have lowered recycling and enhanced plasma performance on many fusion devices. However, the nature of the plasma–lithium surface interaction has been obscured by the difficulty of in-tokamak surface analysis. We report laboratory studies of the chemical composition of lithium surfaces exposed to typical residual gases found in tokamaks. Solid lithium and a molybdenum alloy (TZM) coated with lithium have been examined using X-ray photoelectron spectroscopy, temperature programmed desorption, and Auger electron spectroscopy both in ultrahigh vacuum conditions and after exposure to trace gases. Lithium surfaces near room temperature were oxidized after exposure to 1–2 Langmuirs of oxygen or water vapor. The oxidation rate by carbon monoxide was four times less. Lithiated PFC surfaces in tokamaks will be oxidized in about 100 s depending on the tokamak vacuum conditions.

  20. On solitary surface waves in cold plasmas

    International Nuclear Information System (INIS)

    Vladimirov, S.V.; Yu, M.Y.; Stenflo, L.

    1993-01-01

    A new type of nonlinear electromagnetic solitary surface waves propagating along the boundary of a cold plasma is discussed. These waves are described by a novel nonlinear evolution equation, obtained when the nonlinear surface currents at the boundary are taken into consideration. (Author)