WorldWideScience

Sample records for plasma splay process

  1. Criteria for Seismic Splay Fault Activation During Subduction Earthquakes

    Science.gov (United States)

    Dedontney, N.; Templeton, E.; Bhat, H.; Dmowska, R.; Rice, J. R.

    2008-12-01

    As sediment is added to the accretionary prism or removed from the forearc, the material overlying the plate interface must deform to maintain a wedge structure. One of the ways this internal deformation is achieved is by slip on splay faults branching from the main detachment, which are possibly activated as part of a major seismic event. As a rupture propagates updip along the plate interface, it will reach a series of junctions between the shallowly dipping detachment and more steeply dipping splay faults. The amount and distribution of slip on these splay faults and the detachment determines the seafloor deformation and the tsunami waveform. Numerical studies by Kame et al. [JGR, 2003] of fault branching during dynamic slip-weakening rupture in 2D plane strain showed that branch activation depends on the initial stress state, rupture velocity at the branching junction, and branch angle. They found that for a constant initial stress state, with the maximum principal stress at shallow angles to the main fault, branch activation is favored on the compressional side of the fault for a range of branch angles. By extending the part of their work on modeling the branching behavior in the context of subduction zones, where critical taper wedge concepts suggest the angle that the principal stress makes with the main fault is shallow, but not horizontal, we hope to better understand the conditions for splay fault activation and the criteria for significant moment release on the splay. Our aim is to determine the range of initial stresses and relative frictional strengths of the detachment and splay fault that would result in seismic splay fault activation. In aid of that, we conduct similar dynamic rupture analyses to those of Kame et al., but use explicit finite element methods, and take fuller account of overall structure of the zone (rather than focusing just on the branching junction). Critical taper theory requires that the basal fault be weaker than the overlying

  2. Crevasse Splays Versus Avulsions: A Recipe for Land Building With Levee Breaches

    Science.gov (United States)

    Nienhuis, Jaap H.; Törnqvist, Torbjörn E.; Esposito, Christopher R.

    2018-05-01

    Natural-levee breaches can not only initiate an avulsion but also, under the right circumstances, lead to crevasse splay formation and overbank sedimentation. The formative conditions for crevasse splays are not well understood, yet such river sediment diversions form an integral part of billion-dollar coastal restoration projects. Here we use Delft3D to investigate the influence of vegetation and soil consolidation on the evolution of a natural-levee breach. Model simulations show that crevasse splays heal because floodplain aggradation reduces the water surface slope, decreasing water discharge into the flood basin. Easily erodible and unvegetated floodplains increase the likelihood for channel avulsions. Denser vegetation and less potential for soil consolidation result in small crevasse splays that are not only efficient sediment traps but also short-lived. Successful crevasse splays that generate the largest land area gain for the imported sediment require a delicate balance between water and sediment discharge, vegetation root strength, and soil consolidation.

  3. Evaluation of influence of splay fault growth on groundwater flow around geological disposal system

    International Nuclear Information System (INIS)

    Takai, Shizuka; Takeda, Seiji; Sakai, Ryutaro; Shimada, Taro; Munakata, Masahiro; Tanaka, Tadao

    2017-01-01

    In geological disposal, the direct effect of active faults on geological repositories is avoided at the stage of site characterization, however, uncertainty remains for the avoidance of faults derived from active faults, which are concealed deep under the ground and are difficult to detect by site investigation. In this research, the influence of the growth of undetected splay faults on a natural barrier in a geological disposal system due to the future action of faults was evaluated. We investigated examples of splay faults in Japan and set conditions for the growth of splay faults. Furthermore, we assumed a disposal site composed of sedimentary rock and made a hydrogeological model of the growth of splay faults. We carried out groundwater flow analyses, changing parameters such as the location and depth of the repository and the growth velocity of splay faults. We carried out groundwater flow analyses, changing parameters such as the location and depth of the repository and the growth velocity of splay faults. The results indicate that the main flow path from the repository is changed into an upward flow along the splay fault due to its growth and that the average velocity to the ground surface becomes one or two orders of magnitude higher than that before its growth. The results also suggest that splay fault growth leads to the possibility of the downward flow of oxidizing groundwater from the ground surface area. (author)

  4. A boomerang-shaped reduction in interlayer phase coherence in Bi2Sr2CaCu208+y with splayed columnar defects

    International Nuclear Information System (INIS)

    Kato, T; Shibauchi, T; Matsuda, Y; Thompson, J R; Krusin-Elbaum, L

    2009-01-01

    We present evidence for entangled solid vortex matter in a glassy state in a layered superconductor Bi 2 Sr 2 CaCu 2 O 8+y containing randomly splayed linear defects. The interlayer phase coherence(IPC)-probed by the Josephson plasma resonance-is enhanced at high temperatures, reflecting the recoupling of vortex liquid by the defects. At low temperatures in the vortex solid state, the interlayer coherence follows a boomerang-shaped reentrant temperature path with an unusual low field decrease in coherence, indicative of meandering vortices. This behavior suggests strongly suppressed IPC in this system, which may be explained by the vortex entanglement induced by the columnar defects in the 'splayed-glass' state.

  5. Focused exhumation along megathrust splay faults in Prince William Sound, Alaska

    Science.gov (United States)

    Haeussler, Peter J.; Armstrong, Phillip A; Liberty, Lee M; Ferguson, Kelly M; Finn, Shaun P; Arkle, Jeannette C; Pratt, Thomas L.

    2015-01-01

    Megathrust splay faults are a common feature of accretionary prisms and can be important for generating tsunamis during some subduction zone earthquakes. Here we provide new evidence from Alaska that megathrust splay faults have been conduits for focused exhumation in the last 5 Ma. In most of central Prince William Sound, published and new low-temperature thermochronology data indicate little to no permanent rock uplift over tens of thousands of earthquake cycles. However, in southern Prince William Sound on Montague Island, apatite (U–Th)/He ages are as young as 1.1 Ma indicating focused and rapid rock uplift. Montague Island lies in the hanging wall of the Patton Bay megathrust splay fault system, which ruptured during the 1964 M9.2 earthquake and produced ∼9 m of vertical uplift. Recent geochronology and thermochronology studies show rapid exhumation within the last 5 Ma in a pattern similar to the coseismic uplift in the 1964 earthquake, demonstrating that splay fault slip is a long term (3–5 my) phenomena. The region of slower exhumation correlates with rocks that are older and metamorphosed and constitute a mechanically strong backstop. The region of rapid exhumation consists of much younger and weakly metamorphosed rocks, which we infer are mechanically weak. The region of rapid exhumation is separated from the region of slow exhumation by the newly identified Montague Strait Fault. New sparker high-resolution bathymetry, seismic reflection profiles, and a 2012 Mw4.8 earthquake show this feature as a 75-km-long high-angle active normal fault. There are numerous smaller active normal(?) faults in the region between the Montague Strait Fault and the splay faults. We interpret this hanging wall extension as developing between the rapidly uplifting sliver of younger and weaker rocks on Montague Island from the essentially fixed region to the north. Deep seismic reflection profiles show the splay faults root into the subduction megathrust where there

  6. Holocene deposition and megathrust splay fault geometries within Prince William Sound, Alaska

    Science.gov (United States)

    Finn, S.; Liberty, L. M.; Haeussler, P. J.; Pratt, T. L.

    2011-12-01

    New high resolution sparker seismic reflection data, in conjunction with reprocessed legacy seismic data, provide the basis for a new fault, fold, and Holocene sediment thickness database for Prince William Sound, Alaska. Additionally, legacy airgun seismic data in Prince William Sound and the Gulf of Alaska tie features on these new sparker data to deeper portions of megathrust splay faults. We correlate regionally extensive bathymetric lineaments within Prince William Sound to megathrust splay faults, such as the ones that ruptured in the 1964 M9.2 earthquake. Lastly, we estimate Holocene sediment thickness within Prince William Sound to better constrain the Holocene fault history throughout the region. We identify three seismic facies related to Holocene, Quaternary, and Tertiary strata that are crosscut by numerous high angle normal faults in the hanging wall of the megathrust splay faults. The crustal-scale seismic reflection profiles show splay faults emerging from 20 km depth between the Yakutat block and North American crust and surfacing as the Hanning Bay and Patton Bay faults. A change in exhumation rates, slip rates, and fault orientation appears near Hinchinbrook that we attribute to differences in subducted slab geometry. Based on our slip rate analysis, we calculate average Holocene displacements of 20 m and 100 m in eastern and western Prince William Sound, respectively. Landward of two splay faults exposed on Montague Island, we observe subsidence, faulting, and landslides that record deformation associated with the 1964 and older megathrust earthquakes.

  7. Megathrust splay faults at the focus of the Prince William Sound asperity, Alaska

    Science.gov (United States)

    Liberty, Lee M.; Finn, Shaun P.; Haeussler, Peter J.; Pratt, Thomas L.; Peterson, Andrew

    2013-10-01

    sparker and crustal-scale air gun seismic reflection data, coupled with repeat bathymetric surveys, document a region of repeated coseismic uplift on the portion of the Alaska subduction zone that ruptured in 1964. This area defines the western limit of Prince William Sound. Differencing of vintage and modern bathymetric surveys shows that the region of greatest uplift related to the 1964 Great Alaska earthquake was focused along a series of subparallel faults beneath Prince William Sound and the adjacent Gulf of Alaska shelf. Bathymetric differencing indicates that 12 m of coseismic uplift occurred along two faults that reached the seafloor as submarine terraces on the Cape Cleare bank southwest of Montague Island. Sparker seismic reflection data provide cumulative Holocene slip estimates as high as 9 mm/yr along a series of splay thrust faults within both the inner wedge and transition zone of the accretionary prism. Crustal seismic data show that these megathrust splay faults root separately into the subduction zone décollement. Splay fault divergence from this megathrust correlates with changes in midcrustal seismic velocity and magnetic susceptibility values, best explained by duplexing of the subducted Yakutat terrane rocks above Pacific plate rocks along the trailing edge of the Yakutat terrane. Although each splay fault is capable of independent motion, we conclude that the identified splay faults rupture in a similar pattern during successive megathrust earthquakes and that the region of greatest seismic coupling has remained consistent throughout the Holocene.

  8. Megathrust splay faults at the focus of the Prince William Sound asperity, Alaska

    Science.gov (United States)

    Liberty, Lee M.; Finn, Shaun P.; Haeussler, Peter J.; Pratt, Thomas L.; Peterson, Andrew

    2013-01-01

    High-resolution sparker and crustal-scale air gun seismic reflection data, coupled with repeat bathymetric surveys, document a region of repeated coseismic uplift on the portion of the Alaska subduction zone that ruptured in 1964. This area defines the western limit of Prince William Sound. Differencing of vintage and modern bathymetric surveys shows that the region of greatest uplift related to the 1964 Great Alaska earthquake was focused along a series of subparallel faults beneath Prince William Sound and the adjacent Gulf of Alaska shelf. Bathymetric differencing indicates that 12 m of coseismic uplift occurred along two faults that reached the seafloor as submarine terraces on the Cape Cleare bank southwest of Montague Island. Sparker seismic reflection data provide cumulative Holocene slip estimates as high as 9 mm/yr along a series of splay thrust faults within both the inner wedge and transition zone of the accretionary prism. Crustal seismic data show that these megathrust splay faults root separately into the subduction zone décollement. Splay fault divergence from this megathrust correlates with changes in midcrustal seismic velocity and magnetic susceptibility values, best explained by duplexing of the subducted Yakutat terrane rocks above Pacific plate rocks along the trailing edge of the Yakutat terrane. Although each splay fault is capable of independent motion, we conclude that the identified splay faults rupture in a similar pattern during successive megathrust earthquakes and that the region of greatest seismic coupling has remained consistent throughout the Holocene.

  9. Anatomy and dimensions of fluvial crevasse-splay deposits: Examples from the Cretaceous Castlegate Sandstone and Neslen Formation, Utah, U.S.A.

    Science.gov (United States)

    Burns, C. E.; Mountney, N. P.; Hodgson, D. M.; Colombera, L.

    2017-04-01

    Crevasse-splay deposits form a volumetrically significant component of many fluvial overbank successions (up to 90% in some successions).Yet the relationships between the morphological form of accumulated splay bodies and their internal facies composition remains poorly documented from ancient successions. This work quantifies lithofacies distributions and dimensions of exhumed crevasse-splay architectural elements in the Campanian Castlegate Sandstone and Neslen Formation, Mesaverde Group, Utah, USA, to develop a depositional model. Fluvial crevasse-splay bodies thin from 2.1 m (average) to 0.8 m (average) and fine from a coarsest recorded grain size of lower-fine sand to fine silt away from major trunk channel bodies. Internally, the preserved deposits of splays comprise laterally and vertically variable sandstone and siltstone facies associations: proximal parts are dominated by sharp and erosional-based sandstone-prone units, which may be structureless or may comprise primary current lineation on beds and erosional gutter casts; medial parts comprise sets of climbing-ripple strata and small scale deformed beds; distal parts comprise sets of lower-stage plane beds and complex styles of lateral grading into fine-grained floodbasin siltstones and coals. Lithofacies arrangements are used to establish the following: (i) recognition criteria for crevasse-splay elements; (ii) criteria for the differentiation between distal parts of crevasse-splay bodies and floodplain fines; and (iii) empirical relationships with which to establish the extent (ca. 500 m long by 1000 m wide) and overall semi-elliptical planform shape of crevasse-splay bodies. These relationships have been established by high-resolution stratigraphic correlation and palaeocurrent analysis to identify outcrop orientation with respect to splay orientation. This permits lateral changes in crevasse-splay facies architecture to be resolved. Facies models describing the sedimentology and architecture of

  10. A boomerang-shaped reduction in interlayer phase coherence in Bi{sub 2}Sr{sub 2}CaCu{sub 2}0{sub 8+y} with splayed columnar defects

    Energy Technology Data Exchange (ETDEWEB)

    Kato, T; Shibauchi, T; Matsuda, Y [Department of Physics, Kyoto University, Sakyo-ku, Kyoto 606-8502 (Japan); Thompson, J R [Department of Physics and Astronomy, University of Tennessee, Knoxville, Tennessee 37996 (United States); Krusin-Elbaum, L, E-mail: katou@scphys.kyoto-u.ac.j [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2009-03-01

    We present evidence for entangled solid vortex matter in a glassy state in a layered superconductor Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8+y} containing randomly splayed linear defects. The interlayer phase coherence(IPC)-probed by the Josephson plasma resonance-is enhanced at high temperatures, reflecting the recoupling of vortex liquid by the defects. At low temperatures in the vortex solid state, the interlayer coherence follows a boomerang-shaped reentrant temperature path with an unusual low field decrease in coherence, indicative of meandering vortices. This behavior suggests strongly suppressed IPC in this system, which may be explained by the vortex entanglement induced by the columnar defects in the 'splayed-glass' state.

  11. Targets on the basis of ferrites and high-temperature superconductors for ion-plasma sputtering

    International Nuclear Information System (INIS)

    Lepeshev, A.A.; Saunin, V.N.; Telegin, S.V.; Polyakova, K.P.; Seredkin, V.A.; Pol'skij, A.I.

    2000-01-01

    Paper describes a method to produce targets for ion-plasma sputtering using plasma splaying of the appropriate powders on a cooled metal basis. Application of the plasma process was demonstrated to enable to produce complex shaped targets under the controlled atmosphere on the basis of ceramic materials ensuring their high composition homogeneity, as well as, reliable mechanical and thermal contact of the resultant coating with the base. One carried out experiments in ion-plasma sputtering of targets to prepare ferrite polycrystalline films to be used in magnetooptics and to prepare high-temperature superconductor epitaxial films [ru

  12. Voltage splay modes and enhanced phase locking in a modified linear Josephson array

    International Nuclear Information System (INIS)

    Harris, E.B.; Garland, J.C.

    1997-01-01

    We analyze a modified linear Josephson-junction array in which additional unbiased junctions are used to greatly enhance phase locking. This geometry exhibits strong correlated behavior, with an external magnetic field tuning the voltage splay angle between adjacent Josephson oscillators. The array displays a coherent in-phase mode for f=(1)/(2), where f is the magnetic frustration, while for 0 p (f)=2aV dc /Φ 0 (1-2f). The locked splay modes are found to be tolerant of critical current disorder approaching 100%. The stability of the array has also been studied by computing Floquet exponents. These exponents are found to be negative for all array lengths, with a 1/N 2 dependence, N being the number of series-connected junctions. copyright 1996 The American Physical Society

  13. The relationship of near-surface active faulting to megathrust splay fault geometry in Prince William Sound, Alaska

    Science.gov (United States)

    Finn, S.; Liberty, L. M.; Haeussler, P. J.; Northrup, C.; Pratt, T. L.

    2010-12-01

    We interpret regionally extensive, active faults beneath Prince William Sound (PWS), Alaska, to be structurally linked to deeper megathrust splay faults, such as the one that ruptured in the 1964 M9.2 earthquake. Western PWS in particular is unique; the locations of active faulting offer insights into the transition at the southern terminus of the previously subducted Yakutat slab to Pacific plate subduction. Newly acquired high-resolution, marine seismic data show three seismic facies related to Holocene and older Quaternary to Tertiary strata. These sediments are cut by numerous high angle normal faults in the hanging wall of megathrust splay. Crustal-scale seismic reflection profiles show splay faults emerging from 20 km depth between the Yakutat block and North American crust and surfacing as the Hanning Bay and Patton Bay faults. A distinct boundary coinciding beneath the Hinchinbrook Entrance causes a systematic fault trend change from N30E in southwestern PWS to N70E in northeastern PWS. The fault trend change underneath Hinchinbrook Entrance may occur gradually or abruptly and there is evidence for similar deformation near the Montague Strait Entrance. Landward of surface expressions of the splay fault, we observe subsidence, faulting, and landslides that record deformation associated with the 1964 and older megathrust earthquakes. Surface exposures of Tertiary rocks throughout PWS along with new apatite-helium dates suggest long-term and regional uplift with localized, fault-controlled subsidence.

  14. Saddle-splay screening and chiral symmetry breaking in toroidal nematics

    OpenAIRE

    Koning, Vinzenz; van Zuiden, Benjamin C.; Kamien, Randall D.; Vitelli, Vincenzo

    2013-01-01

    We present a theoretical study of director fields in toroidal geometries with degenerate planar boundary conditions. We find spontaneous chirality: despite the achiral nature of nematics the director configuration show a handedness if the toroid is thick enough. In the chiral state the director field displays a double twist, whereas in the achiral state there is only bend deformation. The critical thickness increases as the difference between the twist and saddle-splay moduli grows. A positiv...

  15. Origin of a crustal splay fault and its relation to the seismogenic zone and underplating at the erosional north Ecuador-south Colombia oceanic margin

    Science.gov (United States)

    Collot, J.-Y.; Agudelo, W.; Ribodetti, A.; Marcaillou, B.

    2008-12-01

    Splay faults within accretionary complexes are commonly associated with the updip limit of the seismogenic zone. Prestack depth migration of a multichannel seismic line across the north Ecuador-south Colombia oceanic margin images a crustal splay fault that correlates with the seaward limit of the rupture zone of the 1958 (Mw 7.7) tsunamogenic subduction earthquake. The splay fault separates 5-6.6 km/s velocity, inner wedge basement rocks, which belong to the accreted Gorgona oceanic terrane, from 4 to 5 km/s velocity outer wedge rocks. The outer wedge is dominated by basal tectonic erosion. Despite a 3-km-thick trench fill, subduction of 2-km-high seamount prevented tectonic accretion and promotes basal tectonic erosion. The low-velocity and poorly reflective subduction channel that underlies the outer wedge is associated with the aseismic, décollement thrust. Subduction channel fluids are expected to migrate upward along splay faults and alter outer wedge rocks. Conversely, duplexes are interpreted to form from and above subducting sediment, at ˜14- to 15-km depths between the overlapping seismogenic part of the splay fault and the underlying aseismic décollement. Coeval basal erosion of the outer wedge and underplating beneath the apex of inner wedge control the margin mass budget, which comes out negative. Intraoceanic basement fossil listric normal faults and a rift zone inverted in a flower structure reflect the evolution of the Gorgona terrane from Cretaceous extension to likely Eocene oblique compression. The splay faults could have resulted from tectonic inversion of listric normal faults, thus showing how inherited structures may promote fluid flow across margin basement and control seismogenesis.

  16. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  17. Cold seeps and splay faults on Nankai margin

    Science.gov (United States)

    Henry, P.; Ashi, J.; Tsunogai, U.; Toki, T.; Kuramoto, S.; Kinoshita, M.; Lallemant, S. J.

    2003-04-01

    Cold seeps (bacterial mats, specific fauna, authigenic carbonates) are common on the Nankai margin and considered as evidence for seepage of methane bearing fluids. Camera and submersible surveys performed over the years have shown that cold seeps are generally associated with active faults. One question is whether part of the fluids expelled originate from the seismogenic zone and migrate along splay faults to the seafloor. The localisation of most cold seeps on the hanging wall of major thrusts may, however, be interpreted in various ways: (a) footwall compaction and diffuse flow (b) fluid channelling along the fault zone at depths and diffuse flow near the seafloor (c) erosion and channelling along permeable strata. In 2002, new observations and sampling were performed with submersible and ROV (1) on major thrusts along the boundary between the Kumano forearc basin domain and the accretionary wedge domain, (2) on a fault affecting the forearc (Kodaiba fault), (3) on mud volcanoes in the Kumano basin. In area (1) tsunami and seismic inversions indicate that the targeted thrusts are in the slip zone of the To-Nankai 1944 earthquakes. In this area, the largest seep zone, continuous over at least 2 km, coincides with the termination of a thrust trace, indicating local fluid channelling along the edge of the fault zone. Kodaiba fault is part of another splay fault system, which has both thrusting and strike-slip components and terminates westward into an en-echelon fold system. Strong seepage activity with abundant carbonates was found on a fold at the fault termination. One mud volcano, rooted in one of the en-echelon fold, has exceptionally high seepage activity compared with the others and thick carbonate crusts. These observations suggest that fluid expulsion along fault zones is most active at fault terminations and may be enhanced during fault initiation. Preliminary geochemical results indicate signatures differ between seep sites and suggests that the two

  18. Off-fault tip splay networks: a genetic and generic property of faults indicative of their long-term propagation, and a major component of off-fault damage

    Science.gov (United States)

    Perrin, C.; Manighetti, I.; Gaudemer, Y.

    2015-12-01

    Faults grow over the long-term by accumulating displacement and lengthening, i.e., propagating laterally. We use fault maps and fault propagation evidences available in literature to examine geometrical relations between parent faults and off-fault splays. The population includes 47 worldwide crustal faults with lengths from millimeters to thousands of kilometers and of different slip modes. We show that fault splays form adjacent to any propagating fault tip, whereas they are absent at non-propagating fault ends. Independent of parent fault length, slip mode, context, etc, tip splay networks have a similar fan shape widening in direction of long-term propagation, a similar relative length and width (~30 and ~10 % of parent fault length, respectively), and a similar range of mean angles to parent fault (10-20°). Tip splays more commonly develop on one side only of the parent fault. We infer that tip splay networks are a genetic and a generic property of faults indicative of their long-term propagation. We suggest that they represent the most recent damage off-the parent fault, formed during the most recent phase of fault lengthening. The scaling relation between parent fault length and width of tip splay network implies that damage zones enlarge as parent fault length increases. Elastic properties of host rocks might thus be modified at large distances away from a fault, up to 10% of its length. During an earthquake, a significant fraction of coseismic slip and stress is dissipated into the permanent damage zone that surrounds the causative fault. We infer that coseismic dissipation might occur away from a rupture zone as far as a distance of 10% of the length of its causative fault. Coseismic deformations and stress transfers might thus be significant in broad regions about principal rupture traces. This work has been published in Comptes Rendus Geoscience under doi:10.1016/j.crte.2015.05.002 (http://www.sciencedirect.com/science/article/pii/S1631071315000528).

  19. Saddle-splay elasticity of nematic structures confined to a cylindrical capillary

    International Nuclear Information System (INIS)

    Kralj, S.; Zumer, S.

    1995-01-01

    The stability of nematic structures within a cylindrical capillary whose wall exhibits a homeotropic boundary condition is studied. The structures are obtained numerically from Euler-Lagrange equations resulting from the minimization of the Frank free energy functional. Stability diagrams are presented showing dependence on elastic properties, surface anchoring, and external transversal field strength. Emphasis is given to the effects of the saddle-splay elastic constant (K 24 ), which plays an important role in the weak anchoring regime. A new structure---the planar polar structure with two line defects---is predicted. It is shown that it is stable in a finite interval of the external field strength in the strong anchoring regime

  20. Splay states in globally coupled Josephson arrays: Analytical prediction of Floquet multipliers

    International Nuclear Information System (INIS)

    Strogatz, S.H.; Mirollo, R.E.

    1993-01-01

    In recent numerical experiments on series arrays of overdamped Josephson junctions, Nichols and Wiesenfeld [Phys. Rev. A 45, 8430 (1992)] discovered that the periodic states known as splay states are neutrally stable in all but four directions in phase space. We present a theory that accounts for this enormous degree of neutral stability. The theory also predicts the four non-neutral Floquet multipliers to within 0.1% of their numerically computed values. The analytical approach used here may be appli- cable to other globally coupled systems of oscillators, such as multimode lasers, electronic oscillator circuits, and solid-state laser arrays

  1. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  2. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  3. Upper-plate splay fault earthquakes along the Arakan subduction belt recorded by uplifted coral microatolls on northern Ramree Island, western Myanmar (Burma)

    Science.gov (United States)

    Shyu, J. Bruce H.; Wang, Chung-Che; Wang, Yu; Shen, Chuan-Chou; Chiang, Hong-Wei; Liu, Sze-Chieh; Min, Soe; Aung, Lin Thu; Than, Oo; Tun, Soe Thura

    2018-02-01

    Upper-plate structures that splay out from the megathrusts are common features along major convergent plate boundaries. However, their earthquake and tsunami hazard potentials have not yet received significant attention. In this study, we identified at least one earthquake event that may have been produced by an upper-plate splay fault offshore western Myanmar, based on U-Th ages of uplifted coral microatolls. This event is likely an earthquake that was documented historically in C.E. 1848, with an estimated magnitude between 6.8 and 7.2 based on regional structural characteristics. Such magnitude is consistent with the observed co-seismic uplift amount of ∼0.5 m. Although these events are smaller in magnitude than events produced by megathrusts, they may produce higher earthquake and tsunami hazards for local coastal communities due to their proximity. Our results also indicate that earthquake events with co-seismic uplift along the coast may not necessarily produce a flight of marine terraces. Therefore, using only records of uplifted marine terraces as megathrust earthquake proxies may overlook the importance of upper-plate splay fault ruptures, and underestimate the overall earthquake frequency for future seismic and tsunami hazards along major subduction zones of the world.

  4. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  5. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  6. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  7. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  8. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  9. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  10. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  11. Voltage splay modes and enhanced phase locking in a modified linear Josephson array

    Science.gov (United States)

    Harris, E. B.; Garland, J. C.

    1997-02-01

    We analyze a modified linear Josephson-junction array in which additional unbiased junctions are used to greatly enhance phase locking. This geometry exhibits strong correlated behavior, with an external magnetic field tuning the voltage splay angle between adjacent Josephson oscillators. The array displays a coherent in-phase mode for f=, where f is the magnetic frustration, while for 0tolerant of critical current disorder approaching 100%. The stability of the array has also been studied by computing Floquet exponents. These exponents are found to be negative for all array lengths, with a 1/N2 dependence, N being the number of series-connected junctions.

  12. Uncertainties in the Forecasted Performance of Sediment Diversions Associated with Differences Between "Optimized" Diversion Design Criteria and the Natural Crevasse-Splay Sub-Delta Life-Cycle

    Science.gov (United States)

    Brown, G.

    2017-12-01

    Sediment diversions have been proposed as a crucial component of the restoration of Coastal Louisiana. They are generally characterized as a means of creating land by mimicking natural crevasse-splay sub-delta processes. However, the criteria that are often promoted to optimize the performance of these diversions (i.e. large, sand-rich diversions into existing, degraded wetlands) are at odds with the natural processes that govern the development of crevasse-splay sub-deltas (typically sand-lean or sand-neutral diversions into open water). This is due in large part to the fact that these optimization criteria have been developed in the absence of consideration for the natural constraints associated with fundamental hydraulics: specifically, the conservation of mechanical energy. Although the implementation of the aforementioned optimization criteria have the potential to greatly increase the land-building capacity of a given diversion, the concomitant widespread inundation of the existing wetlands (an unavoidable consequence of diverting into a shallow, vegetated embayment), and the resultant stresses on existing wetland vegetation, have the potential to dramatically accelerate the loss of these existing wetlands. Hence, there are inherent uncertainties in the forecasted performance of sediment diversions that are designed according to the criteria mentioned above. This talk details the reasons for these uncertainties, using analytic and numerical model results, together with evidence from field observations and experiments. The likelihood that, in the foreseeable future, these uncertainties can be reduced, or even rationally bounded, is discussed.

  13. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  14. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  15. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  16. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  17. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  18. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  19. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  20. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  1. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  2. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  3. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  4. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  5. Using Magnetics and Topography to Model Fault Splays of the Hilton Creek Fault System within the Long Valley Caldera

    Science.gov (United States)

    De Cristofaro, J. L.; Polet, J.

    2017-12-01

    The Hilton Creek Fault (HCF) is a range-bounding extensional fault that forms the eastern escarpment of California's Sierra Nevada mountain range, near the town of Mammoth Lakes. The fault is well mapped along its main trace to the south of the Long Valley Caldera (LVC), but the location and nature of its northern terminus is poorly constrained. The fault terminates as a series of left-stepping splays within the LVC, an area of active volcanism that most notably erupted 760 ka, and currently experiences continuous geothermal activity and sporadic earthquake swarms. The timing of the most recent motion on these fault splays is debated, as is the threat posed by this section of the Hilton Creek Fault. The Third Uniform California Earthquake Rupture Forecast (UCERF3) model depicts the HCF as a single strand projecting up to 12km into the LVC. However, Bailey (1989) and Hill and Montgomery-Brown (2015) have argued against this model, suggesting that extensional faulting within the Caldera has been accommodated by the ongoing volcanic uplift and thus the intracaldera section of the HCF has not experienced motion since 760ka.We intend to map the intracaldera fault splays and model their subsurface characteristics to better assess their rupture history and potential. This will be accomplished using high-resolution topography and subsurface geophysical methods, including ground-based magnetics. Preliminary work was performed using high-precision Nikon Nivo 5.C total stations to generate elevation profiles and a backpack mounted GEM GS-19 proton precession magnetometer. The initial results reveal a correlation between magnetic anomalies and topography. East-West topographic profiles show terrace-like steps, sub-meter in height, which correlate to changes in the magnetic data. Continued study of the magnetic data using Oasis Montaj 3D modeling software is planned. Additionally, we intend to prepare a high-resolution terrain model using structure-from-motion techniques

  6. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  7. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  8. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  9. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  10. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  11. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  12. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  13. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  14. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  15. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  16. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  17. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  18. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  19. Landslides and megathrust splay faults captured by the late Holocene sediment record of eastern Prince William Sound, Alaska

    Science.gov (United States)

    Finn, S.P.; Liberty, Lee M.; Haeussler, Peter J.; Pratt, Thomas L.

    2015-01-01

    We present new marine seismic‐reflection profiles and bathymetric maps to characterize Holocene depositional patterns, submarine landslides, and active faults beneath eastern and central Prince William Sound (PWS), Alaska, which is the eastern rupture patch of the 1964 Mw 9.2 earthquake. We show evidence that submarine landslides, many of which are likely earthquake triggered, repeatedly released along the southern margin of Orca Bay in eastern PWS. We document motion on reverse faults during the 1964 Great Alaska earthquake and estimate late Holocene slip rates for these growth faults, which splay from the subduction zone megathrust. Regional bathymetric lineations help define the faults that extend 40–70 km in length, some of which show slip rates as great as 3.75  mm/yr. We infer that faults mapped below eastern PWS connect to faults mapped beneath central PWS and possibly onto the Alaska mainland via an en echelon style of faulting. Moderate (Mw>4) upper‐plate earthquakes since 1964 give rise to the possibility that these faults may rupture independently to potentially generate Mw 7–8 earthquakes, and that these earthquakes could damage local infrastructure from ground shaking. Submarine landslides, regardless of the source of initiation, could generate local tsunamis to produce large run‐ups along nearby shorelines. In a more general sense, the PWS area shows that faults that splay from the underlying plate boundary present proximal, perhaps independent seismic sources within the accretionary prism, creating a broad zone of potential surface rupture that can extend inland 150 km or more from subduction zone trenches.

  20. The chromatin remodeler SPLAYED regulates specific stress signaling pathways.

    Directory of Open Access Journals (Sweden)

    Justin W Walley

    2008-12-01

    Full Text Available Organisms are continuously exposed to a myriad of environmental stresses. Central to an organism's survival is the ability to mount a robust transcriptional response to the imposed stress. An emerging mechanism of transcriptional control involves dynamic changes in chromatin structure. Alterations in chromatin structure are brought about by a number of different mechanisms, including chromatin modifications, which covalently modify histone proteins; incorporation of histone variants; and chromatin remodeling, which utilizes ATP hydrolysis to alter histone-DNA contacts. While considerable insight into the mechanisms of chromatin remodeling has been gained, the biological role of chromatin remodeling complexes beyond their function as regulators of cellular differentiation and development has remained poorly understood. Here, we provide genetic, biochemical, and biological evidence for the critical role of chromatin remodeling in mediating plant defense against specific biotic stresses. We found that the Arabidopsis SWI/SNF class chromatin remodeling ATPase SPLAYED (SYD is required for the expression of selected genes downstream of the jasmonate (JA and ethylene (ET signaling pathways. SYD is also directly recruited to the promoters of several of these genes. Furthermore, we show that SYD is required for resistance against the necrotrophic pathogen Botrytis cinerea but not the biotrophic pathogen Pseudomonas syringae. These findings demonstrate not only that chromatin remodeling is required for selective pathogen resistance, but also that chromatin remodelers such as SYD can regulate specific pathways within biotic stress signaling networks.

  1. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  2. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  3. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  4. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  5. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  6. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  7. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  8. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  9. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  10. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  11. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  12. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  13. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  14. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  15. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  16. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  17. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  18. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  19. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  20. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  1. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  2. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  3. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  4. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  5. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  6. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  7. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  8. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  9. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  10. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  11. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  12. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  13. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  14. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  15. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  16. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  17. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  18. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  19. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  20. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  1. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  2. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  3. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  4. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  5. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  6. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  7. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  8. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  9. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  10. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  11. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  12. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  13. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  14. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  15. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  16. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  17. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  18. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  19. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  20. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  1. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  2. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  3. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  4. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  5. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  6. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  7. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  8. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  9. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  10. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  11. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  12. Invariant Theory for Dispersed Transverse Isotropy: An Efficient Means for Modeling Fiber Splay

    Science.gov (United States)

    Freed, alan D.; Einstein, Daniel R.; Vesely, Ivan

    2004-01-01

    Most soft tissues possess an oriented architecture of collagen fiber bundles, conferring both anisotropy and nonlinearity to their elastic behavior. Transverse isotropy has often been assumed for a subset of these tissues that have a single macroscopically-identifiable preferred fiber direction. Micro-structural studies, however, suggest that, in some tissues, collagen fibers are approximately normally distributed about a mean preferred fiber direction. Structural constitutive equations that account for this dispersion of fibers have been shown to capture the mechanical complexity of these tissues quite well. Such descriptions, however, are computationally cumbersome for two-dimensional (2D) fiber distributions, let alone for fully three-dimensional (3D) fiber populations. In this paper, we develop a new constitutive law for such tissues, based on a novel invariant theory for dispersed transverse isotropy. The invariant theory is based on a novel closed-form splay invariant that can easily handle 3D fiber populations, and that only requires a single parameter in the 2D case. The model is polyconvex and fits biaxial data for aortic valve tissue as accurately as the standard structural model. Modification of the fiber stress-strain law requires no re-formulation of the constitutive tangent matrix, making the model flexible for different types of soft tissues. Most importantly, the model is computationally expedient in a finite-element analysis.

  13. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  14. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  15. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  16. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  17. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  18. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  19. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  20. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  1. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  2. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  3. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  4. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  5. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  6. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  7. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  8. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  9. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  10. Application of UAV-SfM photogrammetry and aerial LiDAR to a disastrous flood: multitemporal topographic measurement of a newly formed crevasse splay of the Kinu River, central Japan

    OpenAIRE

    Izumida, Atsuto; Uchiyama, Shoichiro; Sugai, Toshihiko

    2017-01-01

    Geomorphic impacts of a disastrous crevasse splay that formed in September 2015 and its post-formation modifications were quantitatively documented by using multitemporal, high-definition digital surface models (DSMs) of an inhabited and cultivated floodplain of the Kinu River, central Japan. The DSMs used were based on pre-flood (resolution, 2 m) and post-flood (resolution, 1 m) aerial light detection and ranging (LiDAR) data from January 2007 and September 2015, respectively, ...

  11. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  12. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  13. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  14. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  15. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  18. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  19. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  20. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  1. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  2. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  3. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  4. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  5. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  6. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  7. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  8. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  9. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  10. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  11. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  12. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  13. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  14. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  15. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  16. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  17. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  18. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  19. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  20. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  1. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  2. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  3. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  4. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  5. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  6. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  7. Sediment Dynamics Within Buffer Zone and Sinkhole Splay Areas Under Extreme Soil Disturbance Conditions.

    Science.gov (United States)

    Schoonover, Jon E; Crim, Jackie F; Williard, Karl W J; Groninger, John W; Zaczek, James J; Pattumma, Klairoong

    2015-09-01

    Sedimentation dynamics were assessed in sinkholes within training areas at Ft. Knox Military Installation, a karst landscape subjected to decades of tracked vehicle use and extreme soil disturbance. Sinkholes sampled were sediment-laden and behaved as intermittent ponds. Dendrogeomorphic analyses were conducted using willow trees (Salix spp.) located around the edge of 18 sinkholes to estimate historical sedimentation rates, and buried bottles were installed in 20 sinkholes at the center, outer edge, and at the midpoint between the center and edge to estimate annual sedimentation rates. Sedimentation data were coupled with vegetation characteristics of sinkhole buffers to determine relationships among these variables. The dendrogeomorphic method estimated an average accumulation rate of 1.27 cm year(-1) translating to a sediment loss rate of 46.1 metric ton year(-1) from the training areas. However, sediment export to sinkholes was estimated to be much greater (118.6 metric ton year(-1)) via the bottle method. These data suggest that the latter method provided a more accurate estimate since accumulation was greater in the center of sinkholes compared to the periphery where dendrogeomorphic data were collected. Vegetation data were not tightly correlated with sedimentation rates, suggesting that further research is needed to identify a viable proxy for direct measures of sediment accumulation in this extreme deposition environment. Mitigation activities for the sinkholes at Ft. Knox's tank training area, and other heavily disturbed karst environments where extreme sedimentation exists, should consider focusing on flow path and splay area management.

  8. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  9. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  10. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  11. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  12. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  13. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  14. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  15. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  16. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  17. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  18. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  19. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  20. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  1. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  2. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  3. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  4. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  5. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  6. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  7. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  8. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  9. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  11. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  12. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  13. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  14. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  15. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  16. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  17. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  18. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  19. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  20. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  1. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  3. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  4. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  5. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  6. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  7. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  8. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  9. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  10. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  11. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  12. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  13. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  14. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  15. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  16. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  17. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  18. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  19. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  20. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  1. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  2. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  3. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  4. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  5. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  6. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  7. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  8. Simulation study of MHD relaxation and reconnection processes in RFP plasma

    International Nuclear Information System (INIS)

    Kusano, Kanya; Kunimoto, Kaito; Suzuki, Yoshio; Tamano, Teruo; Sato, Tetsuya

    1991-01-01

    The authors have studied several nonlinear processes in RFP plasma through the use of 3D MHD simulations. In particular, they have shed light on: (1) dynamo and self-sustainment in reversed-field pinch (RFP), (2) phase locking process in MHD relaxation, and (3) the heating and acceleration in magnetic reconnection process. First, the contributions of the kink (m = 1) mode (linearly unstable) and of the m = 0 mode (driven by nonlinear coupling) to the dynamo are qualitatively evaluated using a high accuracy simulation. It is found that, if the free energy to drive kink instabilities is as small as that in the actual experimental plasma, the m = 0 modes, driven nonlinearly, play a more important role for the flux generation than the kink modes. Secondly, numerical simulations of the self-sustainment process in a RFP are performed. It is confirmed that the self-sustainment process is a coherent oscillating process composed of the MHD relaxation and the resistive diffusion processes. Toroidal phase locking process of kink modes is numerically observed in simulations of self-reversal and self-sustainment processes. It has characteristics similar to the slinky mode observed in the OHTE experiment. A detailed investigation reveals that nonlinear coupling between the most unstable two kink modes governs the entire dynamics in all kink modes and leads to the phase locking process. They find that reconnection can accelerate plasma over a local Alfven speed. This is a result of the fact that the magnetic field in the downstream area plays a similar role to de Laval nozzle. They also investigate the heating mechanisms in reconnection process. It is revealed that the viscous heating rate is as large as the joule heating rate in the reconnection process. This result implies that the viscous heating in the reconnection process is an important candidate for the mechanism to explain the RFP experiments where the ion temperatures is higher than the electron temperature

  9. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  10. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  11. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  12. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  13. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  14. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  15. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  16. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  17. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  18. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  19. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  20. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  1. Transport processes in multicomponent plasma

    International Nuclear Information System (INIS)

    Zissis, G.

    2002-01-01

    Full text: This book treats in detail, as indicated in the title, the transport phenomena in multicomponent plasmas. Here, the term 'transport' applies to the study of mass and energy transfer in plasmas due to the interactions between pairs of particles only. Radiation is legitimately omitted; anyway, radiative transfer is another field of study. As the author himself mentions in the introduction, 'the term multicomponent plasma implies a partially or fully ionized mixture of arbitrary number of species of neutral and charged particles satisfying the condition of quasi-neutrality'. In fact, this book treats a large variety of plasmas applying to different systems ranging from low-pressure systems which may be far from local thermodynamic equilibrium (LTE) conditions, to thermal plasmas in LTE or near-LTE states with special attention to two-temperature systems; partially ionized plasmas with low ionization degree for which electron-neutral interactions are predominant, to systems with higher ionization degrees in which charged particle interactions are no more negligible. In addition, for all the above stated situations, the author treats both plasmas which are subjected to an external electromagnetic field and those which are not (homogeneous and inhomogeneous cases). Furthermore, in the last chapters a special discussion concerning molecular plasmas is presented. Taking into account the evolution of plasma modelling in the last few years, the subject is of current interest and the reader will find in the book a large amount of information necessary for a good understanding of transport phenomena in plasmas: for a plasma simulation specialist, this book may be regarded as reference text, which includes all necessary mathematical relations for his work. However, it should not be considered a simple formulary; the reader will also find here an excellent description of the theoretical basis necessary for the derivation of all given expressions. To this point of view

  2. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  3. Observations and Simulations of Formation of Broad Plasma Depletions Through Merging Process

    Science.gov (United States)

    Huang, Chao-Song; Retterer, J. M.; Beaujardiere, O. De La; Roddy, P. A.; Hunton, D.E.; Ballenthin, J. O.; Pfaff, Robert F.

    2012-01-01

    Broad plasma depletions in the equatorial ionosphere near dawn are region in which the plasma density is reduced by 1-3 orders of magnitude over thousands of kilometers in longitude. This phenomenon is observed repeatedly by the Communication/Navigation Outage Forecasting System (C/NOFS) satellite during deep solar minimum. The plasma flow inside the depletion region can be strongly upward. The possible causal mechanism for the formation of broad plasma depletions is that the broad depletions result from merging of multiple equatorial plasma bubbles. The purpose of this study is to demonstrate the feasibility of the merging mechanism with new observations and simulations. We present C/NOFS observations for two cases. A series of plasma bubbles is first detected by C/NOFS over a longitudinal range of 3300-3800 km around midnight. Each of the individual bubbles has a typical width of approx 100 km in longitude, and the upward ion drift velocity inside the bubbles is 200-400 m/s. The plasma bubbles rotate with the Earth to the dawn sector and become broad plasma depletions. The observations clearly show the evolution from multiple plasma bubbles to broad depletions. Large upward plasma flow occurs inside the depletion region over 3800 km in longitude and exists for approx 5 h. We also present the numerical simulations of bubble merging with the physics-based low-latitude ionospheric model. It is found that two separate plasma bubbles join together and form a single, wider bubble. The simulations show that the merging process of plasma bubbles can indeed occur in incompressible ionospheric plasma. The simulation results support the merging mechanism for the formation of broad plasma depletions.

  4. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  5. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  6. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  7. Mode-conversion process and overdense-plasma heating in the electron cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Nakajima, S.; Abe, H.

    1988-01-01

    Through a particle-simulation investigation, a new mode-conversion process, through which an incident fast extraordinary mode (fast X mode) is converted into an electron Bernstein mode (B mode) via a (slow extraordinary mode slow X mode), is discovered in plasmas whose maximum density exceeds the cutoff density of the slow X mode. The converted B mode is found to heat the electrons efficiently in an overdense plasma region, when the plasma has the optimum density gradient at the plasma surface

  8. Acceleration processes in the magnetospheric plasma: a review

    Energy Technology Data Exchange (ETDEWEB)

    Nishida, A [Tokyo Univ. (Japan). Inst. of Space and Aeronautical Science

    1975-01-01

    Our present knowledge on the acceleration process in the magnetospheric plasma is reviewed and major problems are summarized. Acceleration processes can be classified into three categories. First, acceleration can be made by the reconnection process in the magnetotail. The occurrence of reconnection during substorm expansion phases has been confirmed, but details of the energy conversion mechanism need be clarified. Second, acceleration by the electric potential drop along magnetic field lines has been strongly suggested from observations of precipitating particles. The position and structure of the potential layer, however, have not been clarified, and theoretical understanding of the process is still in the early stage of development. Third, particles can be adiabatically heated as they are driven toward the earth in the course of their convective motion. Spatial structure and dynamical development of the auroral precipitation pattern represent both challenge and clue to the understanding of the magnetospheric acceleration process.

  9. Numerical studies of transport processes in Tokamak plasma

    International Nuclear Information System (INIS)

    Spineanu, F.; Vlad, M.

    1984-09-01

    The paper contains the summary of a set of studies of the transport processes in tokamak plasma, performed with a one-dimensional computer code. The various transport models (which are implemented by the expressions of the transport coefficients) are presented in connection with the regimes of the dynamical development of the discharge. Results of studies concerning the skin effect and the large scale MHD instabilities are also included

  10. Ionization processes in the Fe 27 region of hot iron plasma in the field of hard gamma radiation

    International Nuclear Information System (INIS)

    Illarionov, A.F.

    1989-01-01

    A highly ionized hot plasma of an iron 26 56 Fe-type heavy element in the field of hard ionizing gamma-ray radiation is considered. The processes of ionization and recombination are discussed for a plasma consisting of the fully ionized Fe 27 and the hydrogen-like Fe 26 ions of iron in the case of large optical depth of the plasma with respect to the photoionization by gamma-ray quanta. The self-ionization process of a hot plasma with the temperature kT ≅ I (I being the ionization potential), due to the production of the own ionizing gamma-ray quanta, by the free-free (ff) and recombination (fb) radiation mechanisms, is investigated. It is noted that in the stationary situation the process of self-ionization of a hot plasma imposes the restriction upon the plasma temperature, kT<1.5 I. It is shown that the ionization of heavy-ion plasma by the impact of thermal electrons is dominating over the processes of ff- and fb-selfionization of plasma only by the large concentration of hydrogen-like iron at the periphery of the region of fully ionized iron Fe 27

  11. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  12. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  13. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  14. Development of Process for Plasma Spray:Case Study for Molybdenum

    Czech Academy of Sciences Publication Activity Database

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matějíček, Jiří; Gilmore, D. L.; Neiser, R. A.

    2003-01-01

    Roč. 348, 1-2 (2003), s. 54-66 ISSN 0921-5093 Grant - others:NSF(US) DMR9632570 Institutional research plan: CEZ:AV0Z2043910 Keywords : process maps, plasma spray, thermal spray Subject RIV: JG - Metallurgy Impact factor: 1.365, year: 2003

  15. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  16. Spheroidization by Plasma Processing and Characterization of Stainless Steel Powder for 3D Printing

    Science.gov (United States)

    Ji, Lina; Wang, Changzhen; Wu, Wenjie; Tan, Chao; Wang, Guoyu; Duan, Xuan-Ming

    2017-10-01

    Stainless steel 316L (SS 316L) powder was spheroidized by plasma processing to improve its suitability for powder 3D printing. The obtained spheroidized (sphero) powder was characterized in terms of its crystalline phases, elemental composition, morphology, particle size and distribution, light absorption, and flow properties. The elemental composition of the sphero powder met the Chinese standard for SS 316L except for its Si content. The volume fraction of ferrite increased after plasma processing. Furthermore, plasma processing was shown to not only reduce the mean size of the particles in the size range of 10 to 100 μm but also generate particles in the size range of 0.1 to 10 μm. The smaller particles filled the voids among larger particles, increasing the powder density. The light absorption was also increased owing to enhanced internal reflection. Although the basic flow energy decreased after plasma processing, the flow function (FF) value was smaller for the sphero powder, indicating a lower flowability of the sphero powder. However, the density of SS 316L pieces printed with commercial and sphero powders was 98.76 pct and 98.16 pct of the SS 316L bulk density, respectively, indicating the suitability of the sphero powder for 3D printing despite an FF below 10.

  17. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  18. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  19. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  20. Design of a uranium-dioxide powder spheroidization system by plasma processing

    Science.gov (United States)

    Cavender, Daniel

    The plasma spheroidization system (PSS) is the first process in the development of a tungsten-uranium dioxide (W-UO2) ceramic-metallic (cermet) fuel for nuclear thermal rocket (NTR) propulsion. For the purposes of fissile fuel retention, UO2 spheroids ranging in size from 50 - 100 micrometers (μm) in diameter will be encapsulated in a tungsten shell. The PSS produces spherical particles by melting angular stock particles in an argon-hydrogen plasma jet where they become spherical due to surface tension. Surrogate CeO 2 powder was used in place of UO2 for system and process parameter development. Stock and spheroidized powders were micrographed using optical and scanning electron microscopy and evaluated by statistical methods to characterize and compare the spherocity of pre and post process powders. Particle spherocity was determined by irregularity parameter. Processed powders showed a statistically significant improvement in spherocity, with greater that 60% of the examined particles having an irregularity parameter of equal to or lower than 1.2, compared to stock powder.

  1. Observation of hydrodynamic processes of radiation-ablated plasma in a small hole

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hang; Kuang, Longyu; Jiang, Shaoen, E-mail: jiangshn@vip.sina.com; Ding, Yongkun, E-mail: ding-yk@vip.sina.com [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Song, Tianming; Yang, Jiamin, E-mail: yjm70018@sina.cn; Zhu, Tuo; Lin, Zhiwei; Zheng, Jianhua; Zhang, Haiying; Yu, Ruizhen; Liu, Shenye [Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Hu, Guangyue; Zhao, Bin; Zheng, Jian [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China)

    2015-07-15

    In the hohlraum used in laser indirect-drive inertial confinement fusion experiments, hydrodynamic processes of radiation-ablated high-Z plasma have a great effect on laser injection efficiency, radiation uniformity, and diagnosis of hohlraum radiation field from diagnostic windows (DW). To study plasma filling in the DWs, a laser-irradiated Ti disk was used to generate 2–5 keV narrow energy band X-ray as the intense backlighter source, and laser-produced X-ray in a hohlraum with low-Z foam tamper was used to heat a small hole surrounded by gold wall with 150 μm in diameter and 100 μm deep. The hydrodynamic movement of the gold plasma in the small hole was measured by an X-ray framing camera and the results are analyzed. Quantitative measurement of the plasma areal density distribution and evolution in the small hole can be used to assess the effect of plasma filling on the diagnosis from the DWs.

  2. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  3. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  4. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  5. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  6. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  7. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  8. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  9. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    International Nuclear Information System (INIS)

    Chang, Jane

    2012-01-01

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization

  10. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jane

    2012-07-27

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization.

  11. Formation Process of Non-Neutral Plasmas by Multiple Electron Beams on BX-U

    Science.gov (United States)

    Sanpei, Akio; Himura, Haruhiko; Masamune, Sadao

    An imaging diagnostic system, which is composed of a handmade phosphor screen and a high-speed camera, has been applied to identify the dynamics of multiple electron beams on BX-U. The relaxation process of those toward a non-neutral plasma is experimentally identified. Also, the radial density profile of the plasma is measured as a function of time. Assuming that the plasma is a spheroidal shape, the value of electron density ne is in the range between 2.2 × 106 and 4.4 × 108 cm-3 on BX-U.

  12. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  13. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  14. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  15. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  16. Development of procedure using plasma welding process to produce 125I seeds

    International Nuclear Information System (INIS)

    Feher, Anselmo

    2006-01-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing 125 I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed 125 I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal 125 I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  17. Investigation of Physical Processes Limiting Plasma Density in DIII--D

    Science.gov (United States)

    Maingi, R.

    1996-11-01

    Understanding the physical processes which limit operating density is crucial in achieving peak performance in confined plasmas. Studies from many of the world's tokamaks have indicated the existence(M. Greenwald, et al., Nucl. Fusion 28) (1988) 2199 of an operational density limit (Greenwald limit, n^GW_max) which is proportional to the plasma current and independent of heating power. Several theories have reproduced the current dependence, but the lack of a heating power dependence in the data has presented an enigma. This limit impacts the International Thermonuclear Experimental Reactor (ITER) because the nominal operating density for ITER is 1.5 × n^GW_max. In DIII-D, experiments are being conducted to understand the physical processes which limit operating density in H-mode discharges; these processes include X-point MARFE formation, high core recycling and neutral pressure, resistive MHD stability, and core radiative collapse. These processes affect plasma properties, i.e. edge/scrape-off layer conduction and radiation, edge pressure gradient and plasma current density profile, and core radiation, which in turn restrict the accessible density regime. With divertor pumping and D2 pellet fueling, core neutral pressure is reduced and X-point MARFE formation is effectively eliminated. Injection of the largest-sized pellets does cause transient formation of divertor MARFEs which occasionally migrate to the X-point, but these are rapidly extinguished in pumped discharges in the time between pellets. In contrast to Greenwald et al., it is found that the density relaxation time after pellets is largely independent of the density relative to the Greenwald limit. Fourier analysis of Mirnov oscillations indicates the de-stabilization and growth of rotating, tearing-type modes (m/n= 2/1) when the injected pellets cause large density perturbations, and these modes often reduce energy confinement back to L-mode levels. We are examining the mechanisms for de

  18. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  19. Plasma amyloid levels within the Alzheimer's process and correlations with central biomarkers.

    Science.gov (United States)

    Hanon, Olivier; Vidal, Jean-Sébastien; Lehmann, Sylvain; Bombois, Stéphanie; Allinquant, Bernadette; Tréluyer, Jean-Marc; Gelé, Patrick; Delmaire, Christine; Blanc, Fredéric; Mangin, Jean-François; Buée, Luc; Touchon, Jacques; Hugon, Jacques; Vellas, Bruno; Galbrun, Evelyne; Benetos, Athanase; Berrut, Gilles; Paillaud, Elèna; Wallon, David; Castelnovo, Giovanni; Volpe-Gillot, Lisette; Paccalin, Marc; Robert, Philippe-Henri; Godefroy, Olivier; Dantoine, Thierry; Camus, Vincent; Belmin, Joël; Vandel, Pierre; Novella, Jean-Luc; Duron, Emmanuelle; Rigaud, Anne-Sophie; Schraen-Maschke, Suzanna; Gabelle, Audrey

    2018-02-17

    Diagnostic relevance of plasma amyloid β (Aβ) for Alzheimer's disease (AD) process yields conflicting results. The objective of the study was to assess plasma levels of Aβ 42 and Aβ 40 in amnestic mild cognitive impairment (MCI), nonamnestic MCI, and AD patients and to investigate relationships between peripheral and central biomarkers. One thousand forty participants (417 amnestic MCI, 122 nonamnestic MCI, and 501 AD) from the Biomarker of AmyLoïd pepTide and AlZheimer's diseAse Risk multicenter prospective study with cognition, plasma, cerebrospinal fluid (CSF), and magnetic resonance imaging assessments were included. Plasma Aβ 1-42 and Aβ 1-40 were lower in AD (36.9 [11.7] and 263 [80] pg/mL) than in amnestic MCI (38.2 [11.9] and 269 [68] pg/mL) than in nonamnestic MCI (39.7 [10.5] and 272 [52] pg/mL), respectively (P = .01 for overall difference between groups for Aβ 1-42 and P = .04 for Aβ 1-40 ). Globally, plasma Aβ 1-42 correlated with age, Mini-Mental State Examination, and APOE ε4 allele. Plasma Aβ 1-42 correlated with all CSF biomarkers in MCI but only with CSF Aβ 42 in AD. Plasma Aβ was associated with cognitive status and CSF biomarkers, suggesting the interest of plasma amyloid biomarkers for diagnosis purpose. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  20. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  1. Atomic and molecular processes in fusion plasmas

    International Nuclear Information System (INIS)

    Kato, Daiji; Nakamura, Nobuyuki

    2013-01-01

    One of important issues concerning steady state sustainment of magnetically confined plasmas (MCPs) is distribution of impurity ions in the MCPs and radiation powers by the ions. Since tungsten divertors will be used in ITER, the primary element of heavy impurity ions would be tungsten. Tungsten cannot be fully ionized even in core plasmas of ITER. Line radiations by bound electrons of the tungsten ions following electron impact excitations decrease temperatures of the core plasmas. Thus, it is required to keep tungsten concentration in the core plasmas as small as possible. (J.P.N.)

  2. Plasma processes inside dispenser hollow cathodes

    International Nuclear Information System (INIS)

    Mikellides, Ioannis G.; Katz, Ira; Goebel, Dan M.; Polk, James E.; Jameson, Kristina K.

    2006-01-01

    A two-dimensional fluid model of the plasma and neutral gas inside dispenser orificed hollow cathodes has been developed to quantify plasma processes that ultimately determine the life of the porous emitters inserted in these devices. The model self-consistently accounts for electron emission from the insert as well as for electron and ion flux losses from the plasma. Two cathodes, which are distinctively different in size and operating conditions, have been simulated numerically. It is found that the larger cathode, with outer tube diameter of 1.5 cm and orifice diameter of 0.3 cm, establishes an effective emission zone that spans approximately the full length of the emitter when operated at a discharge current of 25 A and a flow rate of 5.5 sccm. The net heating of the emitter is caused by ions that are produced by ionization of the neutral gas inside the tube and are then accelerated by the sheath along the emitter. The smaller cathode, with an outer diameter of 0.635 cm and an orifice diameter of 0.1 cm, does not exhibit the same operational characteristics. At a flow rate of 4.25 sccm and discharge current of 12 A, the smaller cathode requires 4.5 times the current density near the orifice and operates with more than 6 times the neutral particle density compared to the large cathode. As a result, the plasma particle density is almost one order of magnitude higher compared to the large cathode. The plasma density in this small cathode is high enough such that the Debye length is sufficiently small to allow 'sheath funneling' into the pores of the emitter. By accessing areas deeper into the insert material, it is postulated that the overall emission of electrons is significantly enhanced. The maximum emission current density is found to be about 1 A/mm 2 in the small cathode, which is about one order of magnitude higher than attained in the large cathode. The effective emission zone in the small cathode extends to about 15% of the emitter length only, and the

  3. Reuse of effluent from dyeing process of polyamide fibers modified by double barrier discharge (DBD) plasma

    OpenAIRE

    Oliveira, Fernando Ribeiro; Steffens, F.; Souto, A. Pedro; Zille, Andrea

    2016-01-01

    Published online: 27 Feb 2015 Low-temperature plasma technology becomes more and more attractive compared with traditional wet processes in textile preparation and finishing due to its high efficiency and low environmental impact. The objective of this study was to investigate the influence of dielectric barrier discharge plasma treatment on the trichromic dyeing process of polyamide 6.6 (PA66) and the reuse of the generated effluents for new dyeing processes. Chemical and physical charact...

  4. Design and fabrication of a glovebox for the Plasma Hearth Process radioactive bench-scale system

    International Nuclear Information System (INIS)

    Wahlquist, D.R.

    1996-01-01

    This paper presents some of the design considerations and fabrication techniques for building a glovebox for the Plasma Hearth Process (PHP) radioactive bench-scale system. The PHP radioactive bench-scale system uses a plasma torch to process a variety of radioactive materials into a final vitrified waste form. The processed waste will contain plutonium and trace amounts of other radioactive materials. The glovebox used in this system is located directly below the plasma chamber and is called the Hearth Handling Enclosure (HHE). The HHE is designed to maintain a confinement boundary between the processed waste and the operator. Operations that take place inside the HHE include raising and lowering the hearth using a hydraulic lift table, transporting the hearth within the HHE using an overhead monorail and hoist system, sampling and disassembly of the processed waste and hearth, weighing the hearth, rebuilding a hearth, and sampling HEPA filters. The PHP radioactive bench-scale system is located at the TREAT facility at Argonne National Laboratory-West in Idaho Falls, Idaho

  5. 8th Symposium on elementary processes and chemical reactions in low temperature plasma. Pt. 1 and 2

    International Nuclear Information System (INIS)

    Morvova, M.

    1990-11-01

    The document contains invited papers on low temperature plasma physics and its application. Among them, 9 papers deal with the experimental and theoretical investigation and modelling of elementary plasma processes and particle kinematics in electric discharges of various type and purpose. Each of the following 3 papers presents a survey of some advanced plasma technology, as are laser plasma chemistry, plasma production of diamond-like carbon films and of special fine powders. The ionized Van der Waals clusters, shock waves in interplanetary plasma, and plasma acceleration in electromagnetic plasma launchers are the topics of the remaining three papers. (J.U.)

  6. Characterization of Mullite-Zirconia Composite Processed by Non-Transferred and Transferred Arc Plasma

    International Nuclear Information System (INIS)

    Yugeswaran, S.; Selvarajan, V.; Lusvarghi, L.; Tok, A. I. Y.; Krishna, D. Siva Rama

    2009-01-01

    The arc plasma melting technique is a simple method to synthesize high temperature reaction composites. In this study, mullite-zirconia composite was synthesized by transferred and non-transferred arc plasma melting, and the results were compared. A mixture of alumina and zircon powders with a mole ratio of 3: 2 were ball milled for four hours and melted for two minutes in the transferred and non-transferred mode of plasma arcs. Argon and air were used as plasma forming gases. The phase and microstructural formation of melted samples were investigated by X-ray diffraction (XRD) and scanning electron microscope (SEM). The microstructure of the composites was found to be affected by the mode of melting. In transferred arc melting, zirconia flowers with uniform lines along with mullite whiskers were obtained. In the case of non-transferred arc plasma melting, mullite whiskers along with star shape zirconia were formed. Differential thermal analysis (DTA) of the synthesized mullite-zirconia composites provided a deeper understanding of the mechanisms of mullite formation during the two different processes. (plasma technology)

  7. The Plasma Hearth Process Technology Development Project

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Wolfe, P.

    1993-01-01

    The US DOE Office of Technology Development (OTD) is currently evaluating the Plasma Hearth Process (PHP) for potential treatment of several DOE waste types. The PHP is a high-temperature vitrification process that has potential application for a wide range of mixed waste types in both the low-level and transuranic mixed waste categories. The PHP is being tested under both the OTD Mixed Waste Integrated Program and the Buried Waste Integrated Demonstration. Initial testing has been completed on several different surrogate waste forms that are representative of some of the DOE mixed waste streams. Destruction of organic material exceeds that of conventional incineration technologies. The vitrified residual has leaching characteristics comparable to glass formulations produced in the high-level waste program. The first phase of the PHP demonstration project has been successfully completed, and the project is currently beginning a comprehensive second phase of development and testing

  8. Entanglement of solid vortex matter: a boomerang-shaped reduction forced by disorder in interlayer phase coherence in Bi2Sr2CaCu2O8+y.

    Science.gov (United States)

    Kato, T; Shibauchi, T; Matsuda, Y; Thompson, J R; Krusin-Elbaum, L

    2008-07-11

    We present evidence for entangled solid vortex matter in a glassy state in a layered superconductor Bi2Sr2CaCu2O8+y containing randomly splayed linear defects. The interlayer phase coherence--probed by the Josephson plasma resonance--is enhanced at high temperatures, reflecting the recoupling of vortex liquid by the defects. At low temperatures in the vortex solid state, the interlayer coherence follows a boomerang-shaped reentrant temperature path with an unusual low-field decrease in coherence, indicative of meandering vortices. We uncover a distinct temperature scaling between in-plane and out-of-plane critical currents with opposing dependencies on field and time, consistent with the theoretically proposed "splayed-glass" state.

  9. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  10. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  11. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  12. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  13. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  14. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  15. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  16. Dusty plasmas

    International Nuclear Information System (INIS)

    Jones, M.E.; Winske, D.; Keinigs, R.; Lemons, D.

    1996-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The objective of this project has been to develop a fundamental understanding of dusty plasmas at the Laboratory. While dusty plasmas are found in space in galactic clouds, planetary rings, and cometary tails, and as contaminants in plasma enhanced fabrication of microelectronics, many of their properties are only partially understood. Our work has involved both theoretical analysis and self-consistent plasma simulations to understand basic properties of dusty plasmas related to equilibrium, stability, and transport. Such an understanding can improve the control and elimination of plasma dust in industrial applications and may be important in the study of planetary rings and comet dust tails. We have applied our techniques to the study of charging, dynamics, and coagulation of contaminants in plasma processing reactors for industrial etching and deposition processes and to instabilities in planetary rings and other space plasma environments. The work performed in this project has application to plasma kinetics, transport, and other classical elementary processes in plasmas as well as to plasma waves, oscillations, and instabilities

  17. Aspects of plasma arc cutting process in the AISI 321 type stainless steel

    International Nuclear Information System (INIS)

    Souza Barros, I. de.

    1985-01-01

    Some aspects of plasma arc cutting process in the AISI321 stainless steel, used in nuclear industry, are analysed. The maximum values of the velocity of cutting and, the minimum quantity of energy per unit of length necesary for the plasma were determined. The localization of irregularities in the cut surface in function of the velocity of cutting was investigated. The cut surfaces were evaluated by surface roughness, using as measurement parameter, the distance between the sharpest salience and the deepest reentrance of the sample profile. The width of layer from thermal action of the plasma was influenced by the velocity of cutting. (Author) [pt

  18. Transport processes in plasmas

    International Nuclear Information System (INIS)

    Balescu, R.

    1988-01-01

    This part is devoted to the classical transport theory in plasmas. Ch. 1 is a chapter of 'pure' hamiltonian mechanics and starts with the study of the motion of an individual charged particle in the presence of an electromagnetic field. Ch. 2 introduces the tools of statistical mechanics for the study of large collections of charged particles. A kinetic theory is derived as a basic tool for transport theory. In ch. 3 the hydro-dynamic - or plasmadynamic - balance equations are derived. The macroscopic dynamical equations have the structure of an infinite hierarchy. This introduces the necessity of construction of a transport theory, by which te infinite set of equations can be reduced to a finite, closed set. This can only be done by a detailed analysis of the kinetic equation under well defined conditions. The tools for such nan analysis are developed in ch. 4. In ch. 5 the transport equations, relating the unknown fluxes of matter, momentum, energy and electricity to the hydrodynamic variables, are derived and discussed. In ch. 6 the results are incorporated into the wider framework of non-equilibrium thermodynamics by connecting the transport processes to the central concept of entropy production. In ch. 7 the results of transport theory are put back into the equations of plasmadynamics

  19. Development of process maps for plasma spray: case study for molybdenum

    International Nuclear Information System (INIS)

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matejicek, J.; Gilmore, D.L.; Neiser, R.A.

    2003-01-01

    A schematic representation referred to as 'process maps' examines the role of process variables on the properties of plasma-sprayed coatings. Process maps have been developed for air plasma spraying of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, primary gas flow, auxiliary gas flow, and powder carrier gas flow. In-flight particle temperatures and velocities were measured and diameters estimated in various areas of the spray plume. Empirical models were developed relating the input parameters to the in-flight particle characteristics. Molybdenum splats and coatings were produced at three distinct process conditions identified from the first-order process map experiments. In addition, substrate surface temperature during deposition was treated as a variable. Within the tested range, modulus, hardness and thermal conductivity increases with particle velocity, while oxygen content and porosity decreases. Increasing substrate deposition temperature resulted in dramatic improvement in coating thermal conductivity and modulus, while simultaneously increasing coating oxide content. Indentation reveals improved fracture resistance for the coatings prepared at higher substrate temperature. Residual stress was significantly affected by substrate temperature, although not to a great extent by particle conditions within the investigated parameter range. Coatings prepared at high substrate temperature with high-energy particles suffered considerably less damage in a wear test. The mechanisms behind these changes are discussed within the context relational maps, which have been proposed

  20. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  1. Effect of Plasma Nitriding Process Conditions on Corrosion Resistance of 440B Martensitic Stainless Steel

    Directory of Open Access Journals (Sweden)

    Łępicka Magdalena

    2014-09-01

    Full Text Available Martensitic stainless steels are used in a large number of various industrial applications, e.g. molds for plastic injections and glass moldings, automotive components, cutting tools, surgical and dental instruments. The improvement of their tribological and corrosion properties is a problem of high interest especially in medical applications, where patient safety becomes a priority. The paper covers findings from plasma nitrided AISI 440B (PN-EN or DIN X90CrMoV18 stainless steel corrosion resistance studies. Conventionally heat treated and plasma nitrided in N2:H2 reaction gas mixture (50:50, 65:35 and 80:20, respectively in two different temperature ranges (380 or 450°C specimens groups were examined. Microscopic observations and electrochemical corrosion tests were performed using a variety of analytical techniques. As obtained findings show, plasma nitriding of AISI 440B stainless steel, regardless of the process temperature, results in reduction of corrosion current density. Nevertheless, applying thermo-chemical process which requires exceeding temperature of about 400°C is not recommended due to increased risk of steel sensitization to intergranular and stress corrosion. According to the results, material ion nitrided in 450°C underwent leaching corrosion processes, which led to significant disproportion in chemical composition of the corroded and corrosion-free areas. The authors suggest further research into corrosion process of plasma nitrided materials and its degradation products.

  2. PARTICIPANT SUPPORT FOR THE 2010 GORDON RESEARCH CONFERENCE ON PLASMA PROCESSING SCIENCE (JULY 11-16,2010)

    Energy Technology Data Exchange (ETDEWEB)

    Uwe Kortshagen

    2011-06-14

    The 2010 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in low temperature plasma science and will explore the applications of low temperature plasma technology relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy and the production of fuels from renewable feedstocks, plasma-enabled medicine and sterilization, and environmental remediation and waste treatment. The conference will bring together in an informal atmosphere leaders in the field with junior investigators and graduate students. The special format of the Gordon Conferences, with programmed discussion sessions and ample time for informal gatherings in the afternoons and evenings, will provide for a fertile atmosphere of brainstorming and creative thinking among the attendees.

  3. Physical features of the wire-array Z-pinch plasmas imploding process

    International Nuclear Information System (INIS)

    Gao Chunming; Feng Kaiming

    2001-01-01

    In the process of research on controlled fusion reactors, scientists found that the Z-pinch plasma can produce very strong X-rays, comparing with other X-ray sources. In researching the process of imploding, the snowplow model and Haines model are introduced and proved. About amassing X-rays, several ways of discharging X-rays are carefully analyzed and the relative theories are proved. In doing simulations, the one dimension model is used in writing codes, the match relationships are calculated and the process of imploding is also simulated. Some useful and reasonable results are obtained

  4. Characteristics of Ni-based coating layer formed by laser and plasma cladding processes

    International Nuclear Information System (INIS)

    Xu Guojian; Kutsuna, Muneharu; Liu Zhongjie; Zhang Hong

    2006-01-01

    The clad layers of Ni-based alloy were deposited on the SUS316L stainless plates by CO 2 laser and plasma cladding processes. The smooth clad bead was obtained by CO 2 laser cladding process. The phases of clad layer were investigated by an optical microscope, scanning electron microscopy (SEM), X-ray diffractometer (XRD), electron probe microanalysis (EPMA) and energy-dispersive spectrometer (EDS). The microstructures of clad layers belonged to a hypereutectic structure. Primary phases consist of boride CrB and carbide Cr 7 C 3 . The eutectic structure consists of Ni + CrB or Ni + Cr 7 C 3 . Compared with the plasma cladding, the fine microstructures, low dilutions, high Vickers hardness and excellent wear resistance were obtained by CO 2 laser cladding. All that show the laser cladding process has a higher efficiency and good cladding quality

  5. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  6. The unified description of kinetic and hydrodynamic processes in gases and plasmas

    International Nuclear Information System (INIS)

    Klimontovich, Yu.L.

    1992-01-01

    The unified description of kinetic and hydrodynamic processes in gases and plasmas for all values of the Knudsen number is proposed. The generalized kinetic equation consists of the additional dissipative term and is defined by the diffusion of the distribution function in the coordinate space. This equation is used for the description of nonequilibrium processes in passive and active media. (orig.)

  7. Effects of Atomization Injection on Nanoparticle Processing in Suspension Plasma Spray

    Directory of Open Access Journals (Sweden)

    Hong-bing Xiong

    2016-05-01

    Full Text Available Liquid atomization is applied in nanostructure dense coating technology to inject suspended nano-size powder materials into a suspension plasma spray (SPS torch. This paper presents the effects of the atomization parameters on the nanoparticle processing. A numerical model was developed to simulate the dynamic behaviors of the suspension droplets, the solid nanoparticles or agglomerates, as well as the interactions between them and the plasma gas. The plasma gas was calculated as compressible, multi-component, turbulent jet flow in Eulerian scheme. The droplets and the solid particles were calculated as discrete Lagrangian entities, being tracked through the spray process. The motion and thermal histories of the particles were given in this paper and their release and melting status were observed. The key parameters of atomization, including droplet size, injection angle and velocity were also analyzed. The study revealed that the nanoparticle processing in SPS preferred small droplets with better atomization and less aggregation from suspension preparation. The injection angle and velocity influenced the nanoparticle release percentage. Small angle and low initial velocity might have more nanoparticles released. Besides, the melting percentage of nanoparticles and agglomerates were studied, and the critical droplet diameter to ensure solid melting was drawn. Results showed that most released nanoparticles were well melted, but the agglomerates might be totally melted, partially melted, or even not melted at all, mainly depending on the agglomerate size. For better coating quality, the suspension droplet size should be limited to a critical droplet diameter, which was inversely proportional to the cubic root of weight content, for given critical agglomerate diameter of being totally melted.

  8. An isotopic analysis process with optical emission spectrometry on a laser-produced plasma

    International Nuclear Information System (INIS)

    Mauchien, P.; Pietsch, W.; Petit, A.; Briand, A.

    1994-01-01

    The sample that is to be analyzed is irradiated with a laser beam to produce a plasma at the sample surface; the spectrum of the light emitted by the plasma is analyzed and the isotope composition of the sample is derived from the spectrometry. The process is preferentially applied to uranium and plutonium; it is rapid, simpler and cheaper than previous methods, and may be applied to 'in-situ' isotopic analysis in nuclear industry. 2 figs

  9. Optimization of Dimensional accuracy in plasma arc cutting process employing parametric modelling approach

    Science.gov (United States)

    Naik, Deepak kumar; Maity, K. P.

    2018-03-01

    Plasma arc cutting (PAC) is a high temperature thermal cutting process employed for the cutting of extensively high strength material which are difficult to cut through any other manufacturing process. This process involves high energized plasma arc to cut any conducting material with better dimensional accuracy in lesser time. This research work presents the effect of process parameter on to the dimensional accuracy of PAC process. The input process parameters were selected as arc voltage, standoff distance and cutting speed. A rectangular plate of 304L stainless steel of 10 mm thickness was taken for the experiment as a workpiece. Stainless steel is very extensively used material in manufacturing industries. Linear dimension were measured following Taguchi’s L16 orthogonal array design approach. Three levels were selected to conduct the experiment for each of the process parameter. In all experiments, clockwise cut direction was followed. The result obtained thorough measurement is further analyzed. Analysis of variance (ANOVA) and Analysis of means (ANOM) were performed to evaluate the effect of each process parameter. ANOVA analysis reveals the effect of input process parameter upon leaner dimension in X axis. The results of the work shows that the optimal setting of process parameter values for the leaner dimension on the X axis. The result of the investigations clearly show that the specific range of input process parameter achieved the improved machinability.

  10. Photostable bipolar fluorescent probe for video tracking plasma membranes related cellular processes.

    Science.gov (United States)

    Zhang, Xinfu; Wang, Chao; Jin, Liji; Han, Zhuo; Xiao, Yi

    2014-08-13

    Plasma membranes can sense the stimulations and transmit the signals from extracellular environment and then make further responses through changes in locations, shapes or morphologies. Common fluorescent membrane markers are not well suited for long time tracking due to their shorter retention time inside plasma membranes and/or their lower photostability. To this end, we develop a new bipolar marker, Mem-SQAC, which can stably insert into plasma membranes of different cells and exhibits a long retention time over 30 min. Mem-SQAC also inherits excellent photostability from the BODIPY dye family. Large two-photon absorption cross sections and long wavelength fluorescence emissions further enhance the competitiveness of Mem-SQAC as a membrane marker. By using Mem-SQAC, significant morphological changes of plasma membranes have been monitored during heavy metal poisoning and drug induced apoptosis of MCF-7 cells; the change tendencies are so distinctly different from each other that they can be used as indicators to distinguish different cell injuries. Further on, the complete processes of endocytosis toward Staphylococcus aureus and Escherichia coli by RAW 264.7 cells have been dynamically tracked. It is discovered that plasma membranes take quite different actions in response to the two bacteria, information unavailable in previous research reports.

  11. Plasma Process Modeling for Integrated Circuits Manufacturing

    OpenAIRE

    M. Meyyappan; T. R. Govindan

    1998-01-01

    A reactor model for plasma-based deposition and etching is presented. Two-dimensional results are discussed in terms of plasma density, ion flux, and ion energy. Approaches to develop rapid CAD-type models are discussed.

  12. Fiscal 1998 achievement report on regional consortium research and development project. Venture business fostering regional consortium in its 2nd year--Creation of key industries (Development of multi-purpose high-precision plasma process); 1998 nendo tamokuteki koseido netsu plasma process no kaihatsu seika hokokusho. 2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Research and development is conducted of a new material process using thermal plasma for manufacturing new ceramics efficiently and for forming a high-quality spray-deposited ceramic coating high in melting point. In the development of devices, addition of an insulation convergence section enables the construction of a plasma jet generator which operates with stability across a range from atmospheric pressure through 10{sup -1} Torr and exhibits high thermal efficiency of approximately 70%. In the study of basic matters, the thermal plasma process is experimented for its optimization and the interaction between thermal plasma and injected materials is elucidated. In the development of a technology for manufacturing high-quality sprayed coatings, optimum plasma spraying conditions are established for each grain size of alumina/titania composite materials, with the rate of one component to the other taken into consideration. The optimum plasma spraying conditions are determined after examining the state of fused powder recovered after plasma spraying, porosity, the rate of coating adhesion, etc. It is found that coatings formed by high-power thermal plasma application are attributable to the vapor-solid process and, thanks to the finding, a crystal orientation control technology is developed for such coatings. (NEDO)

  13. Modeling of physical processes in radio-frequency plasma thrusters

    OpenAIRE

    Tian, Bin

    2017-01-01

    This Thesis presents an investigation of the plasma-wave interaction in Helicon Plasma Thrusters (HPT). The HPT is a new concept of electric space propulsion, which generates plasmas with RF heating and provides thrust by the electrodeless acceleration of plasmas in a magnetic nozzle. An in-depth and extensive literature review of the state of the art of the models and experiments of plasma-wave interaction in helicon plasma sources and thrusters is carried out. Then, a theoret...

  14. Plasma Physics An Introduction to Laboratory, Space, and Fusion Plasmas

    CERN Document Server

    Piel, Alexander

    2010-01-01

    Plasma Physics gives a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The new fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a brief introduction to plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple and emphasizes the underlying concepts. T...

  15. Practical applications of ion beam and plasma processing for improving corrosion and wear protection

    CERN Document Server

    Klingenberg, M L; Wei, R; Demaret, J; Hirvonen, J

    2002-01-01

    A multi-year project for the US Army has been investigating the use of various ion beam and plasma-based surface treatments to improve the corrosion and wear properties of military hardware. These processes are intended to be complementary to, rather than competing with, other promising macro scale coating processes such high velocity oxy-fuel (HVOF) deposition, particularly in non-line-of- sight and flash chrome replacement applications. It is believed that these processes can improve the tribological and corrosion behavior of parts without significantly altering the dimensions of the part, thereby eliminating the need for further machining operations and reducing overall production costs. The ion beam processes chosen are relatively mature, low-cost processes that can be scaled-up. The key methods that have been considered under this program include nitrogen ion implantation into electroplated hard chrome, ion beam assisted chromium and chromium nitride coatings, and plasma-deposited diamond- like carbon an...

  16. Plasma processing of large curved surfaces for superconducting rf cavity modification

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2014-12-01

    Full Text Available Plasma-based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF cavities. We have demonstrated surface layer removal in an asymmetric nonplanar geometry, using a simple cylindrical cavity. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (rf circuit elements, gas pressure, rf power, chlorine concentration in the Cl_{2}/Ar gas mixtures, residence time of reactive species, and temperature of the cavity. Using variable radius cylindrical electrodes, large-surface ring-shaped samples, and dc bias in the external circuit, we have measured substantial average etching rates and outlined the possibility of optimizing plasma properties with respect to maximum surface processing effect.

  17. Effect of Plasma Spheroidization Process on the Microstructure and Crystallographic Phases of Silica, Alumina and Nickel Particles

    International Nuclear Information System (INIS)

    Hu Peng; Yan Shikai; Yuan Fangli; Bai Liuyang; Li Jinlin; Chen Yunfa

    2007-01-01

    During the plasma spheroidization process powders undergo different changes in their microstructures and crystal phases. In this paper, simple calculation of heat transfer between the plasma and a suspended particle was performed based on three hypotheses for the purpose of guiding experiments. Experimental investigation of the crystal phases and microstructural changes during the plasma processing was made using silica, alumina and nickel powders as starting materials. It has been revealed from the experimental results that these materials undergo different changes in crystal phases and microstructures, and these changes are essentially determined by the structures, properties and aggregate states of the starting materials

  18. Communication systems and the role of plasma processing

    International Nuclear Information System (INIS)

    Boswell, R.; Love, J.

    2000-01-01

    Full text: The term telecommunications now encompasses telephone and television, bringing information to two of our most developed senses. Traditionally, these systems have been analogue and quite well separated, but the advent of broad-band digital communication systems carried by glass fibres has brought about a fusion of sound and image so that simple telephone calls are mixed in with television and the web. A bunch of pulses is just a bunch of pulses. There is a major push to move all vision and sound to the web, requiring a huge increase in bandwidth. A number of areas in the US, especially in the Bay area of California where Silicon Valley and the dot.comms account for an economy of 34 billion dollars in the first quarter of the new millennium, homes with cable or DSL phone links can expect a data rate about 100 times faster than present modems. In Canberra, a 'roll out' by TRANSACT, a spin off company from ACTElectricityWater, expects to offer video on demand, telephone and television via links to private houses of up to 50MB.s -1 , over 1000 times that of our present system. Around the world the perceived need for band width, driven by 5MB.s -1 necessary for quality video, is increasing dramatically and will continue for the next decade. To achieve such high rates, many wavelengths are used to carry the pulsed information down each fibre in a system called Wavelength Division Multiplexing (WDM) and the multiplexers and demultiplexers are optical circuits fabricated in circular or rectangular waveguides. This is only the first step in the process of optical integration of many optical circuits on the same silicon chip. In this talk I will describe how some of these components are manufactured and how plasma processing is playing a pivotal role in the etching and deposition of the buried optical waveguides. As distinct from micro-electronics where plasma processing is well established and a mature technology, in the manufacture of optical circuits, plasmas

  19. Gap formation processes in a high-density plasma opening switch

    International Nuclear Information System (INIS)

    Grossmann, J.M.; Swanekamp, S.B.; Ottinger, P.F.; Commisso, R.J.; Hinshelwood, D.D.; Weber, B.V.

    1995-01-01

    A gap opening process in plasma opening switches (POS) is examined with the aid of numerical simulations. In these simulations, a high density (n e =10 14 --5x10 15 cm -3 ) uniform plasma initially bridges a small section of the coaxial transmission line of an inductive energy storage generator. A short section of vacuum transmission line connects the POS to a short circuit load. The results presented here extend previous simulations in the n e =10 12 --10 13 cm -3 density regime. The simulations show that a two-dimensional (2-D) sheath forms in the plasma near a cathode. This sheath is positively charged, and electrostatic sheath potentials that are large compared to the anode--cathode voltage develop. Initially, the 2-D sheath is located at the generator edge of the plasma. As ions are accelerated out of the sheath, it retains its original 2-D structure, but migrates axially toward the load creating a magnetically insulated gap in its wake. When the sheath reaches the load edge of the POS, the POS stops conducting current and the load current increases rapidly. At the end of the conduction phase a gap exists in the POS whose size is determined by the radial dimensions of the 2-D sheath. Simulations at various plasma densities and current levels show that the radial size of the gap scales roughly as B/n e , where B is the magnetic field. The results of this work are discussed in the context of long-conduction-time POS physics, but exhibit the same physical gap formation mechanisms as earlier lower density simulations more relevant to short-conduction-time POS. copyright 1995 American Institute of Physics

  20. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  1. Atmospheric-Pressure Plasma Interaction with Soft Materials as Fundamental Processes in Plasma Medicine.

    Science.gov (United States)

    Takenaka, Kosuke; Miyazaki, Atsushi; Uchida, Giichiro; Setsuhara, Yuichi

    2015-03-01

    Molecular-structure variation of organic materials irradiated with atmospheric pressure He plasma jet have been investigated. Optical emission spectrum in the atmospheric-pressure He plasma jet has been measured. The spectrum shows considerable emissions of He lines, and the emission of O and N radicals attributed to air. Variation in molecular structure of Polyethylene terephthalate (PET) film surface irradiated with the atmospheric-pressure He plasma jet has been observed via X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). These results via XPS and FT-IR indicate that the PET surface irradiated with the atmospheric-pressure He plasma jet was oxidized by chemical and/or physical effect due to irradiation of active species.

  2. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  3. Plasma physics an introduction to laboratory, space, and fusion plasmas

    CERN Document Server

    Piel, Alexander

    2017-01-01

    The enlarged new edition of this textbook provides a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The novel fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a concise description of modern plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple a...

  4. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  5. Plasma processed coating of laser fusion targets

    International Nuclear Information System (INIS)

    Johnson, W.L.; Letts, S.A.; Myers, D.W.; Crane, J.K.; Illige, J.D.; Hatcher, C.W.

    1979-01-01

    Coatings for laser fusion targets have been deposited in an inductively coupled discharge device by plasma polymerization. Two feed gases were used: perfluoro-2-butene, which produced a fluorocarbon coating (CF 1 3 ) with a density of 1.8 g/cc, and trans-2-butene which produced a hydrocarbon coating (CH 1 3 ) with a density of 1.0 g/cc. Uniform pin-hole free films have been deposited to a thickness of up to 30 μm of fluorocarbon and up to 110 μm of hydrocarbon. The effect of process variables on surface smoothness has been investigated. The basic defect in the coating has been found to result from shadowing by a small surface irregularity in an anisotropic coating flux

  6. Influence of plasma parameters in pulsed plasma gun on modification processes in exposed structural materials

    International Nuclear Information System (INIS)

    Byrka, O.V.; Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Garkusha, V.V.; Makhai, V.A.; Tereshin, V.I.

    2011-01-01

    This paper is focused on investigation of helium, nitrogen and krypton plasma streams generated by pulsed plasma gun (PPA). The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. Features of materials alloying from gas and metallic plasma as a result of the plasma ions mixing with the steel substrate in liquid phase are discussed also.

  7. Design and optimization of components and processes for plasma sources in advanced material treatments

    OpenAIRE

    Rotundo, Fabio

    2012-01-01

    The research activities described in the present thesis have been oriented to the design and development of components and technological processes aimed at optimizing the performance of plasma sources in advanced in material treatments. Consumables components for high definition plasma arc cutting (PAC) torches were studied and developed. Experimental activities have in particular focussed on the modifications of the emissive insert with respect to the standard electrode configuration, whi...

  8. Possibility for a self-consistent treatment of transport processes in a turbulent plasma

    International Nuclear Information System (INIS)

    Mondt, J.P.

    1985-06-01

    All commonly used models of plasma dynamics share a common flaw in their a priori validity. In particular, a solid foundation of plasma modelling on microscopic dynamics, as exists for moderately dilute gases, is obscured because of the difficulties inherent in the treatment of the potentially very important interplay between plasma waves and collisional processes. The present report briefly discusses the nature of these difficulties and presents a possible approach towards the establishment of a plasma theory founded on the microscopic particle dynamics. The essence of this approach is the realization that only discrete particle interactions can create correlations. These therefore come into being on different spatial scales depending on their cluster number, after which collective effects magnify them analogous to the growth of intial perturbations in an unstable system. Truncation of the Born-Bogolyubov-Green-Kirkwood-Yvon ('BBGKY') hierarchy thereby becomes a possibility through the introduction of a small parameter in intial conditions although the dynamical system in itself does not contain a uniformly small parameter

  9. The spray-drying process is sufficient to inactivate infectious porcine epidemic diarrhea virus in plasma.

    Science.gov (United States)

    Gerber, Priscilla F; Xiao, Chao-Ting; Chen, Qi; Zhang, Jianqiang; Halbur, Patrick G; Opriessnig, Tanja

    2014-11-07

    Porcine epidemic diarrhea virus (PEDV) is considered an emergent pathogen associated with high economic losses in many pig rearing areas. Recently it has been suggested that PEDV could be transmitted to naïve pig populations through inclusion of spray-dried porcine plasma (SDPP) into the nursery diet which led to a ban of SDPP in several areas in North America and Europe. To determine the effect of spray-drying on PEDV infectivity, 3-week-old pigs were intragastrically inoculated with (1) raw porcine plasma spiked with PEDV (RAW-PEDV-CONTROL), (2) porcine plasma spiked with PEDV and then spray dried (SD-PEDV-CONTROL), (3) raw plasma from PEDV infected pigs (RAW-SICK), (4) spray-dried plasma from PEDV infected pigs (SD-SICK), or (5) spray-dried plasma from PEDV negative pigs (SD-NEG-CONTROL). For the spray-drying process, a tabletop spray-dryer with industry-like settings for inlet and outlet temperatures was used. In the RAW-PEDV-CONTROL group, PEDV RNA was present in feces at day post infection (dpi) 3 and the pigs seroconverted by dpi 14. In contrast, PEDV RNA in feces was not detected in any of the pigs in the other groups including the SD-PEDV-CONTROL group and none of the pigs had seroconverted by termination of the project at dpi 28. This work provides direct evidence that the experimental spray-drying process used in this study was effective in inactivating infectious PEDV in the plasma. Additionally, plasma collected from PEDV infected pigs at peak disease did not contain infectious PEDV. These findings suggest that the risk for PEDV transmission through commercially produced SDPP is minimal. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  11. Diagnostics of microdischarge-integrated plasma sources for display and materials processing

    International Nuclear Information System (INIS)

    Tachibana, K; Kishimoto, Y; Kawai, S; Sakaguchi, T; Sakai, O

    2005-01-01

    Two different types of microdischarge-integrated plasma sources have been operated at around the atmospheric pressure range. The discharge characteristics were diagnosed by optical emission spectroscopy (OES), laser absorption spectroscopy (LAS) and microwave transmission (MT) techniques. The dynamic spatiotemporal behaviour of excited atoms was analysed using OES and LAS and the temporal behaviour of the electron density was estimated using the MT method. In Ar and Xe/Ne gases, waveforms of the MT signal followed the current waveform in the rise period and lasted longer according to the recombination losses. However, in He the waveform followed the density of metastable atoms, reflecting the production of a large amount of electrons by the Penning ionization process with impurities. The estimated peak electron density in those plasma sources is of the order of 10 12 cm -3 , and the metastable atom density can reach 10 13 cm -3 . Thus, it is suggested that these sources can be potentially applied to convenient material processing tools of large area operated stably at atmospheric pressure

  12. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  13. Assessment of changes in plasma hemoglobin and potassium levels in red cell units during processing and storage.

    Science.gov (United States)

    Saini, Nishant; Basu, Sabita; Kaur, Ravneet; Kaur, Jasbinder

    2015-06-01

    Red cell units undergo changes during storage and processing. The study was planned to assess plasma potassium, plasma hemoglobin, percentage hemolysis during storage and to determine the effects of outdoor blood collection and processing on those parameters. Blood collection in three types of blood storage bags was done - single CPDA bag (40 outdoor and 40 in-house collection), triple CPD + SAGM bag (40 in-house collection) and quadruple CPD + SAGM bag with integral leukoreduction filter (40 in-house collection). All bags were sampled on day 0 (day of collection), day 1 (after processing), day 7, day 14 and day 28 for measurement of percentage hemolysis and potassium levels in the plasma of bag contents. There was significant increase in percentage hemolysis, plasma hemoglobin and plasma potassium level in all the groups during storage (p levels during the storage of red blood cells. Blood collection can be safely undertaken in outdoor blood donation camps even in hot summer months in monitored blood transport boxes. SAGM additive solution decreases the red cell hemolysis and allows extended storage of red cells. Prestorage leukoreduction decreases the red cell hemolysis and improves the quality of blood. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Secondary electron emission from plasma processed accelerating cavity grade niobium

    Science.gov (United States)

    Basovic, Milos

    by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.

  15. Secondary Electron Emission from Plasma Processed Accelerating Cavity Grade Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Basovic, Milos [Old Dominion Univ., Norfolk, VA (United States)

    2016-05-01

    by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.

  16. Experimental investigation on the weld pool formation process in plasma keyhole arc welding

    Science.gov (United States)

    Van Anh, Nguyen; Tashiro, Shinichi; Van Hanh, Bui; Tanaka, Manabu

    2018-01-01

    This paper seeks to clarify the weld pool formation process in plasma keyhole arc welding (PKAW). We adopted, for the first time, the measurement of the 3D convection inside the weld pool in PKAW by stereo synchronous imaging of tungsten tracer particles using two sets of x-ray transmission systems. The 2D convection on the weld pool surface was also measured using zirconia tracer particles. Through these measurements, the convection in a wide range of weld pools from the vicinity of the keyhole to the rear region was successfully visualized. In order to discuss the heat transport process in a weld pool, the 2D temperature distribution on the weld pool surface was also measured by two-color pyrometry. The results of the comprehensive experimental measurement indicate that the shear force due to plasma flow is found to be the dominant driving force in the weld pool formation process in PKAW. Thus, heat transport in a weld pool is considered to be governed by two large convective patterns near the keyhole: (1) eddy pairs on the surface (perpendicular to the torch axis), and (2) eddy pairs on the bulk of the weld pool (on the plane of the torch). They are formed with an equal velocity of approximately 0.35 m s-1 and are mainly driven by shear force. Furthermore, the flow velocity of the weld pool convection becomes considerably higher than that of other welding processes, such as TIG welding and GMA welding, due to larger plasma flow velocity.

  17. Investigating the Plasma-Assisted and Thermal Catalytic Dry Methane Reforming for Syngas Production: Process Design, Simulation and Evaluation

    Directory of Open Access Journals (Sweden)

    Evangelos Delikonstantis

    2017-09-01

    Full Text Available The growing surplus of green electricity generated by renewable energy technologies has fueled research towards chemical industry electrification. By adapting power-to-chemical concepts, such as plasma-assisted processes, cheap resources could be converted into fuels and base chemicals. However, the feasibility of those electrified processes at large scale has not been investigated yet. Thus, the current work strives to compare, for first time in the literature, plasma-assisted production of syngas, from CH4 and CO2 (dry methane reforming, with thermal catalytic dry methane reforming. Specifically, both processes are conceptually designed to deliver syngas suitable for methanol synthesis (H2/CO ≥ 2 in mole. The processes are simulated in the Aspen Plus process simulator where different process steps are investigated. Heat integration and equipment cost estimation are performed for the most promising process flow diagrams. Collectively, plasma-assisted dry methane reforming integrated with combined steam/CO2 methane reforming is an effective way to deliver syngas for methanol production. It is more sustainable than combined thermal catalytic dry methane reforming with steam methane reforming, which has also been proposed for syngas production of H2/CO ≥ 2; in the former process, 40% more CO2 is captured, while 38% less H2O is consumed per mol of syngas. Furthermore, the plasma-assisted process is less complex than the thermal catalytic one; it requires higher amount of utilities, but comparable capital investment.

  18. Numerical Modeling of the Work Piece Region in the Plasma Arc Cutting Process

    Science.gov (United States)

    Osterhouse, David

    The plasma arc cutting process is widely used for the cutting of metals. The process, however, is not fully understood and further understanding will lead to further improvements. This work aims to elucidate the fundamental physical phenomena in the region where the plasma interacts with the work piece through the use of numerical modeling techniques. This model follows standard computational fluid dynamic methods that have been suitably modified to include plasma effects, assuming either local thermodynamic equilibrium or a slight non-equilibrium captured by the two-temperature assumption. This is implemented in the general purpose, open source CFD package, OpenFOAM. The model is applied to a plasma flow through a geometry that extends from inside the plasma torch to the bottom of the slot cut in the work piece. The shape of the kerf is taken from experimental measurements. The results of this model include the temperature, velocity, and electrical current distribution throughout the plasma. From this, the heat flux to and drag force on the work piece are calculated. The location of the arc attachment in the cut slot is also noted because it is a matter of interest in the published literature as well as significantly effecting the dynamics of the heat flux and drag force. The results of this model show that the LTE formulation is not sufficient to capture the physics present due to unphysical fluid dynamic instabilities and numerical problems with the arc attachment. The two-temperature formulation, however, captures a large part of the physics present. Of particular note, it is found that an additional inelastic collision factor is necessary to describe the increased energy transfer between electrons and diatomic molecules, which is widely neglected in published literature. It is also found that inclusion of the oxygen molecular ion is necessary to accurately describe the plasma flow, which has been neglected in all published two-temperature oxygen calculations

  19. Understanding the growth of micro and nano-crystalline AlN by thermal plasma process

    Science.gov (United States)

    Kanhe, Nilesh S.; Nawale, Ashok B.; Gawade, Rupesh L.; Puranik, Vedavati G.; Bhoraskar, Sudha V.; Das, Asoka K.; Mathe, Vikas L.

    2012-01-01

    We report the studies related to the growth of crystalline AlN in a DC thermal plasma reactor, operated by a transferred arc plasma torch. The reactor is capable of producing the nanoparticles of Al and AlN depending on the composition of the reacting gas. Al and AlN micro crystals are formed at the anode placed on the graphite and nano crystalline Al and AlN gets deposited on the inner surface of the plasma reactor. X-ray diffraction, Raman spectroscopy analysis, single crystal X-ray diffraction and TGA-DTA techniques are used to infer the purity of post process crystals as a hexagonal AlN. The average particle size using SEM was found to be around 30 μm. The morphology of nanoparticles of Al and AlN, nucleated by gas phase condensation in a homogeneous medium were studied by transmission electron microscopy analysis. The particle ranged in size between 15 and 80 nm in diameter. The possible growth mechanism of crystalline AlN at the anode has been explained on the basis of non-equilibrium processes in the core of the plasma and steep temperature gradient near its periphery. The gas phase species of AlN and various constituent were computed using Murphy code based on minimization of free energy. The process provides 50% yield of microcrystalline AlN and remaining of Al at anode and that of nanocrystalline h-AlN and c-Al collected from the walls of the chamber is about 33% and 67%, respectively.

  20. Electron capture and excitation processes in H+-H collisions in dense quantum plasmas

    Science.gov (United States)

    Jakimovski, D.; Markovska, N.; Janev, R. K.

    2016-10-01

    Electron capture and excitation processes in proton-hydrogen atom collisions taking place in dense quantum plasmas are studied by employing the two-centre atomic orbital close-coupling (TC-AOCC) method. The Debye-Hückel cosine (DHC) potential is used to describe the plasma screening effects on the Coulomb interaction between charged particles. The properties of a hydrogen atom with DHC potential are investigated as a function of the screening strength of the potential. It is found that the decrease in binding energy of nl levels with increasing screening strength is considerably faster than in the case of the Debye-Hückel (DH) screening potential, appropriate for description of charged particle interactions in weakly coupled classical plasmas. This results in a reduction in the number of bound states in the DHC potential with respect to that in the DH potential for the same plasma screening strength, and is reflected in the dynamics of excitation and electron capture processes for the two screened potentials. The TC-AOCC cross sections for total and state-selective electron capture and excitation cross sections with the DHC potential are calculated for a number of representative screening strengths in the 1-300 keV energy range and compared with those for the DH and pure Coulomb potential. The total capture cross sections for a selected number of screening strengths are compared with the available results from classical trajectory Monte Carlo calculations.

  1. The free radical process for the polymer surface treated by radio frequency plasma

    International Nuclear Information System (INIS)

    Ma Yuguang; Yang Meiling; Shen Jiacong; Zheng Yingguang

    1992-01-01

    The formation and translation of the free radicals on the polymer surface treated by plasmas were studied and observed by ESR measurement. The results show that C-C bond split was main reaction in the process of the polymer irradiated by plasma, by which a stable alkyl free radical was formed. When alkyl free radical contacted with air, they translate into peroxide radical instantaneously. The peroxide radical was not as stable as radical in vacuum, they can react each other to form some polar-groups on polymer surface. The interaction between the peroxide free radical and polymer chain was correlative not only to the structure of polymer but also to the molecular motion of the polymer chain. The nature of plasma treating polymer surface was that the peroxide radicals were led onto polymer surface

  2. Mechanisms of dust grain charging in plasma with allowance for electron emission processes

    Energy Technology Data Exchange (ETDEWEB)

    Mol’kov, S. I.; Savin, V. N., E-mail: moped@onego.ru [Petrozavodsk State University (Russian Federation)

    2017-02-15

    The process of dust grain charging is described with allowance for secondary, ion-induced, photoelectric, and thermal electron emission from the grain surface. The roughness of the grain surface is taken into account. An intermediate charging regime involving ion–atom collisions and electron ionization in the perturbed plasma region is analyzed using the moment equations and Poisson’s equation. A calculation method is proposed that allows one to take into account the influence of all the above effects and determine the radius of the plasma region perturbed by the dust grain.

  3. Fundamental Processes in Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Driscoll, Charles Fred [Univ. of California, San Diego, CA (United States)

    2017-11-03

    This Final Technical Report gives brief summaries of the plasma physics results developed under DOE grant DE-SC0002451; and provides reference to the published journal articles giving full scientific descriptions. General topics include 1) cyclotron modes; 2) damping and decay of Langmuir modes; 3) 2D vortex dynamics and diocotron modes; 4) separatrix-induced transport and damping; and 5) long-range collisional velocity slowing.

  4. Online quality monitoring of welding processes by means of plasma optical spectroscopy

    Science.gov (United States)

    Ferrara, Michele; Ancona, Antonio; Lugara, Pietro M.; Sibilano, Michele

    2000-02-01

    An optical monitoring system for the welding process has been developed; it is based on the study of the optical emission of the welding plasma plume, created during the welding of stainless steels and other iron-based materials. In the first approach a continuous wave CO2 laser of 2500-Watt maximum power, available at the INFM Research Unit labs in Bari University, has been used as welding source. A detailed spectroscopic study of the visible and UV welding plasma emission has been carried out; many transition lines corresponding to the elements composing the material to be welded have been found. By means of an appropriate selection of these lines and suitable algorithms, the electronic temperature of the plasma plume has been calculated and its evolution recorded as a function of several welding parameters. The behavior of the registered signal has resulted to be correlated to the welded joint quality. These findings have allowed to design and assemble a portable, non-intrusive and real-time welding quality optical sensor which has been successfully tested for laser welding of metals in different geometrical configurations; it has been capable of detecting a wide range of weld defects normally occurring during industrial laser metal-working. This sensor has also been tested in arc welding industrial processes (TIG) with promising results.

  5. A comprehensive view of solar-terrestrial relationships in terms of a chain of four dynamo-powered plasma acceleration processes

    International Nuclear Information System (INIS)

    Akasofu, S.-I.

    1983-01-01

    This paper emphasizes an effort to link processes which relate solar activity and magnetospheric disturbances in terms of energy transfer through a chain of four elements. In this view, each element is explicitly thought to be powered by a dynamo, namely the solar wind generation dynamo, the solar flare dynamo, the solar wind-magnetosphere dynamo and the aurora dynamo, respectively. Each dynamo powers a plasma acceleration process by the Lorentz force and the plasma flows thus generated are the solar wind, the flare-generated solar wind disturbance, the magnetospheric plasma convection and the ionospheric convection, respectively. Each plasma flow conveys the energy from one element to the next in the chain. Some of the kinetic energy of the photospheric plasma is eventually deposited in the polar ionosphere as heat energy. (author)

  6. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    to 1990 with only 31 papers per year on average, and a total of some 1300 papers, precedes a considerable growth of some 35-50% in research activity every five years, over the last 20 years or so. As shown in the table, the annual dissemination of the field is more than 1600 papers and the total number of papers is in excess of 20000. This upwards trajectory is typical of a strong and growing subject area in physical science, with considerable capacity in both fundamental science and applications. PeriodNumber of papersPapers per annum 1948-1990130031 1991-19952279456 1996-20003447689 2001-20054571914 2006-201066401328 2011 1658 In many of the dense plasma jets discussed above, strong physical forces generated by the plasma are often desired and this favours plasma generation at elevated gas pressure, including atmospheric pressure, which favours a high level of gas ionization. Historically it has been challenging to reduce and control the strong physical forces in high-pressure plasmas for applications where these are unwanted, for example, surface modification of polymeric sheets [5]. Indeed, there is a real need for a vast range of material processing applications at temperatures below 100oC (or below 400 K) and this favours atmospheric-pressure plasma jets sustained far from thermal equilibrium with the dissipated electrical energy largely used not in heat generation but in unleashing non-equilibrium chemical reactions. The long-standing difficulty of effectively controlling the level of gas ionization at atmospheric pressure was overcome by the technological breakthrough of achieving atmospheric-pressure glow discharges in the late 1980s [6]. A related challenge stemming from high collisionality of atmospheric-pressure plasmas (v >> ω0) means that large-area plasmas sustained between parallel-plate electrodes are very susceptible to strong plasma instabilities when molecular gases are introduced for processing applications. This led to an effective

  7. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  8. Investigation and optimisation of a plasma cathode electron beam gun for material processing applications

    OpenAIRE

    Del Pozo Rodriguez, Sofia

    2016-01-01

    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University London. This thesis describes design, development and testing work on a plasma cathode electron beam gun as well as plasma diagnosis experiments and Electron Beam (EB) current measurements carried out with the aim of maximising the power of the EB extracted and optimising the electron beam gun system for material processing applications. The elements which influence EB gun design are described...

  9. Numerical Simulation of Spheroidization Process of TiAl Alloy Powders in Radio Frequency Plasma

    OpenAIRE

    ZHU Langping; LU Xin; LIU Chengcheng; LI Jianchong; NAN Hai

    2017-01-01

    A numerical simulation method was used to study the radio frequency plasma spheroidization process of TiAl alloy powder. The effects of velocity field and temperature field on the motion trajectory and mass change of TiAl alloy powder with different particle size were analyzed.The results show that the temperature of powder particles increases rapidly under high temperature plasma, surface evaporation cause the reduction of particle size, and particles with small size tend to evaporate quickl...

  10. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  11. Mathematical modeling of quartz particle melting process in plasma-chemical reactor

    Energy Technology Data Exchange (ETDEWEB)

    Volokitin, Oleg, E-mail: volokitin-oleg@mail.ru; Volokitin, Gennady, E-mail: vgg-tomsk@mail.ru; Skripnikova, Nelli, E-mail: nks2003@mai.ru; Shekhovtsov, Valentin, E-mail: shehovcov2010@yandex.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Vlasov, Viktor, E-mail: rector@tsuab.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Ave., 634050, Tomsk (Russian Federation)

    2016-01-15

    Among silica-based materials vitreous silica has a special place. The paper presents the melting process of a quartz particle under conditions of low-temperature plasma. A mathematical model is designed for stages of melting in the experimental plasma-chemical reactor. As calculation data show, quartz particles having the radius of 0.21≤ r{sub p} ≤0.64 mm completely melt at W = 0.65 l/s particle feed rate depending on the Nusselt number, while 0.14≤ r{sub p} ≤0.44 mm particles melt at W = 1.4 l/s. Calculation data showed that 2 mm and 0.4 mm quartz particles completely melted during and 0.1 s respectively. Thus, phase transformations occurred in silicon dioxide play the important part in its heating up to the melting temperature.

  12. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  13. Design of an RF Antenna for a Large-Bore, High Power, Steady State Plasma Processing Chamber for Material Separation

    International Nuclear Information System (INIS)

    Rasmussen, D.A.; Freeman, R.L.

    2001-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between UT-Battelle, LLC, (Contractor), and Archimedes Technology Group, (Participant) is to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure. The project objectives are to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure

  14. Combined plasma gas-phase synthesis and colloidal processing of InP/ZnS core/shell nanocrystals

    OpenAIRE

    Hue Ryan; Gladfelter Wayne; Gresback Ryan; Kortshagen Uwe

    2011-01-01

    Abstract Indium phosphide nanocrystals (InP NCs) with diameters ranging from 2 to 5 nm were synthesized with a scalable, flow-through, nonthermal plasma process at a rate ranging from 10 to 40 mg/h. The NC size is controlled through the plasma operating parameters, with the residence time of the gas in the plasma region strongly influencing the NC size. The NC size distribution is narrow with the standard deviation being less than 20% of the mean NC size. Zinc sulfide (ZnS) shells were grown ...

  15. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  16. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  17. Temporal characterization of plasma cw high-power CO2 laser-matter interaction: contribution to the welding process control

    Science.gov (United States)

    Engel, Thierry; Kane, M.; Fontaine, Joel

    1997-08-01

    During high-power laser welding, gas ionization occurs above the sample. The resulting plasma ignition threshold is related to ionization potential of metallic vapors from the sample, and shielding gases used in the process. In this work, we have characterized the temporal behavior of the radiation emitted by the plasma during laser welding in order to relate the observed signals to the process parameters.

  18. The Use of Plasma Technique in Nitridation Process of Metal Alloy DIN 42CrMo4

    International Nuclear Information System (INIS)

    Purwanto; Malau, Viktor; Tjipto Sujitno

    2003-01-01

    Nitridation process with plasma technique is one of technique for surface treatment of a material. Research on plasma technique for nitridation process has been carried out to find out the nitridation effect on properties of metal alloy DIN 42CrM04. Nitridation process with plasma technique was conducted in a vacuum tube under following conditions 0.36 torr of pressure, 300 o C of temperature and nitridation times 1, 2, and 3 hours. Nitridation process was followed by hardness test measurement using High Quality Micro Hardness Tester machine, serial number MM-0054, as well as microstructure test using Scanning Electron Microscope (SEM) coupled with Energy Dispersive Spectroscopy (EDS) EDAX-DX4. The results showed that surface hardness increased after nitridation process. For nitridation processes for 1, 2, and 3 hours, the hardness increased from 291 kg/mm 2 to 303 kg/mm 2 , 324 kg/mm 2 and 403 kg/mm 2 , respectively. The results from micro structure observation showed that new phase of Ferro Nitride (Fe 4 N) has been formed with 4.17% nitrogen weight equivalent to 14.73% nitrogen atom and with the thickness of 5.71 μm, 5.08% nitrogen weight or 17.51% nitrogen atom and 6.78 μm thickness, and 5.69% nitrogen weight or 19.24% nitrogen atom and 8.57 μm thickness. (author)

  19. Agricultural and Food Processing Applications of Pulsed Power and Plasma Technologies

    Science.gov (United States)

    Takaki, Koichi

    Agricultural and food processing applications of pulsed power and plasma technologies are described in this paper. Repetitively operated compact pulsed power generators with a moderate peak power are developed for the agricultural and the food processing applications. These applications are mainly based on biological effects and can be categorized as germination control of plants such as Basidiomycota and arabidopsis inactivation of bacteria in soil and liquid medium of hydroponics; extraction of juice from fruits and vegetables; decontamination of air and liquid, etc. Types of pulsed power that have biological effects are caused with gas discharges, water discharges, and electromagnetic fields. The discharges yield free radicals, UV radiation, intense electric field, and shock waves. Biologically based applications of pulsed power and plasma are performed by selecting the type that gives the target objects the adequate result from among these agents or byproducts. For instance, intense electric fields form pores on the cell membrane, which is called electroporation, or influence the nuclei. This paper mainly describes the application of the pulsed power for the germination control of Basidiomycota i.e. mushroom, inactivation of fungi in the soil and the liquid medium in hydroponics, and extraction of polyphenol from skins of grape.

  20. Fundamental limitations of non-thermal plasma processing for internal combustion engine NOx control

    International Nuclear Information System (INIS)

    Penetrante, B.M.

    1993-01-01

    This paper discusses the physics and chemistry of non-thermal plasma processing for post-combustion NO x control in internal combustion engines. A comparison of electron beam and electrical discharge processing is made regarding their power consumption, radical production, NO x removal mechanisms, and by product formation. Can non-thermal deNO x operate efficiently without additives or catalysts? How much electrical power does it cost to operate? What are the by-products of the process? This paper addresses these fundamental issues based on an analysis of the electron-molecule processes and chemical kinetics

  1. Plasma cleaning for waste minimization

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1993-07-01

    Although plasma cleaning is a recognized substitute for solvent cleaning in removing organic contaminants, some universal problems in plasma cleaning processes prevent wider use of plasma techniques. Lack of understanding of the fundamental mechanisms of the process, unreliable endpoint detection techniques, and slow process times make plasma cleaning processes less than desirable. Our approach to address these plasma cleaning problems is described. A comparison of plasma cleaning rates of oxygen and oxygen/sulfur hexafluoride gases shows that fluorine-containing plasmas can enhance etch rates by 400% over oxygen alone. A discussion of various endpoint indication techniques is discussed and compared for application suitability. Work toward a plasma cleaning database is discussed. In addition to the global problems of plasma cleaning, an experiment where the specific mixed-waste problem of removal of machine oils from radioactive scrap metal is discussed.

  2. Charge Splitting In Situ Recorder (CSIR) for Real-Time Examination of Plasma Charging Effect in FinFET BEOL Processes

    Science.gov (United States)

    Tsai, Yi-Pei; Hsieh, Ting-Huan; Lin, Chrong Jung; King, Ya-Chin

    2017-09-01

    A novel device for monitoring plasma-induced damage in the back-end-of-line (BEOL) process with charge splitting capability is first-time proposed and demonstrated. This novel charge splitting in situ recorder (CSIR) can independently trace the amount and polarity of plasma charging effects during the manufacturing process of advanced fin field-effect transistor (FinFET) circuits. Not only does it reveal the real-time and in situ plasma charging levels on the antennas, but it also separates positive and negative charging effect and provides two independent readings. As CMOS technologies push for finer metal lines in the future, the new charge separation scheme provides a powerful tool for BEOL process optimization and further device reliability improvements.

  3. The investigation of order–disorder transition process of ZSM-5 induced by spark plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Liang [State Key Laboratory for Modification of Chemical Fibers and Polymer Materials, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Wang, Lianjun, E-mail: wanglj@dhu.edu.cn [State Key Laboratory for Modification of Chemical Fibers and Polymer Materials, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Jiang, Wan [Engineering Research Center of Advanced Glasses Manufacturing Technology, MOE, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Lin, He, E-mail: linhe@sinap.ac.cn [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, 239 Zhangheng Road, Pudong, Shanghai 200120 (China)

    2014-04-01

    Based on the amorphization of zeolites, an order–disorder transition method was used to prepare silica glass via Spark Plasma Sintering (SPS). In order to get a better understanding about the mechanism of amorphization induced by SPS, the intermediate products in this process were prepared and characterized by different characterization techniques. X-ray diffraction and High-energy synchrotron X-ray scattering show a gradual transformation from ordered crystal to glass. Local structural changes in glass network including Si–O bond length, O–Si–O bond angle, size of rings, coordination were detected by Infrared spectroscopy and {sup 29}Si magic-angle spinning nuclear magnetic resonance (NMR) spectroscopy. Topologically ordered, amorphous material with a different intermediate-range structure can be obtained by precise control of intermediate process which can be expected to optimize and design material. - Graphical abstract: Low-density, ordered zeolites collapse to the rigid amorphous glass through spark plasma sintering. The intermediate-range structure formed in the process of order–disorder transition may give rise to specific property. - Highlights: • Order–disorder transition process of ZSM-5 induced by spark plasma sintering was investigated using several methods including XRD, High-energy synchrotron X-ray scattering, SAXS, IR, NMR, ect. • Order–disorder transition induced by SPS was compared with TIA and PIA. • Three stages has been divided during the whole process. • The collapse temperature range which may give rise to intermediate-range structure has been located.

  4. Controlling the Plasma-Polymerization Process of N-Vinyl-2-pyrrolidone

    DEFF Research Database (Denmark)

    Norrman, Kion; Winther-Jensen, Bjørn

    2005-01-01

    N-vinyl-2-pyrrolidone was plasma-polymerized on glass substrates using a pulsed AC plasma. Pulsed AC plasma produces a chemical surface structure different from that produced by conventional RF plasma; this is ascribed to the different power regimes used. A high degree of control over the structure...... of the chemical surface was obtained using pulsed AC plasma, as shown by ToF-SIMS. It is demonstrated how the experimental conditions to some extent control the chemical structure of the plasma-polymerized film, e.g., film thickness, density of post-plasma-polymerized oligomeric chains, and the density of intact...

  5. Combined plasma gas-phase synthesis and colloidal processing of InP/ZnS core/shell nanocrystals

    Science.gov (United States)

    Gresback, Ryan; Hue, Ryan; Gladfelter, Wayne L.; Kortshagen, Uwe R.

    2011-12-01

    Indium phosphide nanocrystals (InP NCs) with diameters ranging from 2 to 5 nm were synthesized with a scalable, flow-through, nonthermal plasma process at a rate ranging from 10 to 40 mg/h. The NC size is controlled through the plasma operating parameters, with the residence time of the gas in the plasma region strongly influencing the NC size. The NC size distribution is narrow with the standard deviation being less than 20% of the mean NC size. Zinc sulfide (ZnS) shells were grown around the plasma-synthesized InP NCs in a liquid phase reaction. Photoluminescence with quantum yields as high as 15% were observed for the InP/ZnS core-shell NCs.

  6. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  7. Characterization of nano-crystalline ZrO{sub 2} synthesized via reactive plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Jayakumar, S., E-mail: sjayakumar.physics@gmail.com [Research and Development Centre, Bharathiar University, Coimbatore 641 014 (India); Ananthapadmanabhan, P.V. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Perumal, K. [Department of Physics, Sri Ramakrishna Mission Vidyalaya College of Arts and Science, Coimbatore 641 020 India (India); Thiyagarajan, T.K. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Mishra, S.C. [Department of Metallurgical and Materials Engg, National Institute of Technology, Rourkela 769 008 (India); Su, L.T.; Tok, A.I.Y.; Guo, J. [School of Materials Science and Engg, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639 798 (Singapore)

    2011-07-25

    Highlights: > Direct conversion of micron-sized zirconium hydride powder to nanocrystalline ZrO{sub 2} powder. > The experimental approach uses reactive plasma processing technique. > The product has been characterized by various analytical tools to support the findings. - Abstract: Nano-crystalline ZrO{sub 2} powder has been synthesized via reactive plasma processing. The synthesized ZrO{sub 2} powders were characterized by X-ray diffraction (XRD), Raman spectroscopy, transmission electron microscopy (TEM) and FTIR spectroscopy. The synthesized powder consists of a mixture of tetragonal and monoclinic phases of zirconia. Average crystallite size calculated from the XRD pattern shows that particles with crystallite size 20 nm or less than 20 nm are in tetragonal phase, whereas particles greater than 20 nm are in the monoclinic phase. TEM results show that particles have spherical morphology with maximum percentage of particles distributed in a narrow size from about 15 nm to 30 nm.

  8. Numerical study of melted particles crush metallic substrates and the interaction between particles and a plasma beam in the thermal projection process

    International Nuclear Information System (INIS)

    Kriba, Ilhem; Djebaili, A.

    2009-01-01

    Plasma spray processes have been widely used to produce high performance coatings of a wide range of materials (metallic, non-metallic, and ceramics), offering protection from, e.g. wear, extreme temperature, chemical attack and environmental corrosion. To obtain good quality coatings, spray parameters must be carefully selected. Due to the large variety in process parameters, it is difficult to optimize the process for each specific coating and substrate combinations. Furthermore modelling the spray process allows a better understanding of the process sequences during thermal spraying. The simulation of coating formation to estimate the process parameters is an important tool to develop new coating structures with defined properties. In this work, the process of plasma sprayed coating has been analyzed by numerical simulation. Commercial code is used to predict the plasma jet characteristics, plasma-particle interaction, and coating formation. Using this model we can obtain coating microstructure and characteristics which form a foundation for further improvement of an advanced ceramic coating build up model

  9. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O2 mixed-plasma treatment

    International Nuclear Information System (INIS)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju

    2014-01-01

    We investigated the effects of Ar and O 2 treatment and of Ar/O 2 mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O 2 -plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O 2 mixed-gas plasma. The plasma treatment in the Ar/O 2 -mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10 7 , 1.24 cm 2 /V·s, and 513 mV/dec, respectively.

  10. Plasma physics and fusion plasma electrodynamics

    CERN Document Server

    Bers, Abraham

    2016-01-01

    Plasma is a ubiquitous state of matter at high temperatures. The electrodynamics of plasmas encompasses a large number of applications, from understanding plasmas in space and the stars, to their use in processing semiconductors, and their role in controlled energy generation by nuclear fusion. This book covers collective and single particle dynamics of plasmas for fully ionized as well as partially ionized plasmas. Many aspects of plasma physics in current fusion energy generation research are addressed both in magnetic and inertial confinement plasmas. Linear and nonlinear dynamics in hydrodynamic and kinetic descriptions are offered, making both simple and complex aspects of the subject available in nearly every chapter. The approach of dividing the basic aspects of plasma physics as "linear, hydrodynamic descriptions" to be covered first because they are "easier", and postponing the "nonlinear and kinetic descriptions" for later because they are "difficult" is abandoned in this book. For teaching purpose...

  11. High density turbulent plasma processes from a shock tube. Final performance report

    International Nuclear Information System (INIS)

    Johnson, J.A. III.

    1997-01-01

    A broad-based set of measurements has begun on high density turbulent plasma processes. This includes determinations of new plasma physics and the initiation of work on new diagnostics for collisional plasmas as follows: (1) A transient increase is observed in both the spectral energy decay rate and the degree of chaotic complexity at the interface of a shock wave and a turbulent ionized gas. Even though the gas is apparently brought to rest by the shock wave, no evidence is found either of prompt relaminarization or of any systematic influence of end-wall material thermal conductivities on the turbulence parameters. (2) Point fluorescence emissions and averaged spectral line evolutions in turbulent plasmas produced in both the primary and the reflected shock wave flows exhibit ergodicity in the standard turbulence parameters. The data show first evidence of a reverse energy cascade in the collisional turbulent plasma. This suggests that the fully turbulent environment can be described using a stationary state formulation. In these same data, the author finds compelling evidence for a turbulent Stark effect on neutral emission lines in these data which is associated with evidence of large coherent structures and dominant modes in the Fourier analyses of the fluctuations in the optical spectra. (3) A neutral beam generator has been assembled by coupling a Colutron Ion Gun to a charge exchange chamber. Beam-target collisions where the target species is neutral and the beam is either singly charged or neutral have been performed using argon as the working gas. Spectral analysis of the emission shows specific radiative transitions characteristic of both Ar I and Ar II, indicating that some ionization of the target gas results. Gas and plasma parameters such as density, pressure, temperature and flow velocity and their fluctuations can now be followed in real time by spectroscopic analysis of carefully chosen radiative emissions

  12. A syncopated leap-frog algorithm for orbit consistent plasma simulation of materials processing reactors

    International Nuclear Information System (INIS)

    Cobb, J.W.; Leboeuf, J.N.

    1994-01-01

    The authors present a particle algorithm to extend simulation capabilities for plasma based materials processing reactors. The orbit integrator uses a syncopated leap-frog algorithm in cylindrical coordinates, which maintains second order accuracy, and minimizes computational complexity. Plasma source terms are accumulated orbit consistently directly in the frequency and azimuthal mode domains. Finally they discuss the numerical analysis of this algorithm. Orbit consistency greatly reduces the computational cost for a given level of precision. The computational cost is independent of the degree of time scale separation

  13. JSPS-CAS Core University Program seminar. Proceedings of Japan-China joint seminar on atomic and molecular processes in plasma

    Energy Technology Data Exchange (ETDEWEB)

    Koike, Fumihiro [Kitasato Univ., Tokyo (Japan); Dong, Chenzhong [Northwest Normal Univ., Lanzhou (China)

    2005-02-01

    As one of the activities of JSPS-CAS Core University Program, Japan-China Joint Seminar on Atomic and Molecular Processes in Plasma was held on March 6 - 11, 2004 in Lanzhou, China. The total number of the officially registered participants was 29, in which 17 from Japan, 10 from China, and 2 from Germany. In the nuclear fusion plasma, there are quite a variety of atomic processes such as ionization, excitation, radiative recombination, non-radiative recombination (di-electronic recombination, collisional electron transfer), cascade radiation, and cascade Auger decay over the wide range of plasma temperature. The knowledge of such the processes is indispensable for the evaluation and improvement of the plasma properties, which is desirable to be investigated by international collaboration groups. The present Japan-China Joint Seminar constitutes one of such the activities to realize the above stated aim. The 21 of the presented papers are indexed individually. (J.P.N.)

  14. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  15. D.C.-arc plasma generator for nonequilibrium plasmachemical processes

    International Nuclear Information System (INIS)

    Kvaltin, J.

    1990-06-01

    The analysis is made of the conditions for generation of nonequilibrium plasma in a plasmachemical reactor, and the design is described of a d.c. arc plasma generator based on the integral criterion. Results of measuring potentials on the plasma column of this generator are presented. (author)

  16. Kinetic and spectral descriptions of autoionization phenomena associated with atomic processes in plasmas

    Science.gov (United States)

    Jacobs, Verne L.

    2017-06-01

    This investigation has been devoted to the theoretical description and computer modeling of atomic processes giving rise to radiative emission in energetic electron and ion beam interactions and in laboratory plasmas. We are also interested in the effects of directed electron and ion collisions and of anisotropic electric and magnetic fields. In the kinetic-theory description, we treat excitation, de-excitation, ionization, and recombination in electron and ion encounters with partially ionized atomic systems, including the indirect contributions from processes involving autoionizing resonances. These fundamental collisional and electromagnetic interactions also provide particle and photon transport mechanisms. From the spectral perspective, the analysis of atomic radiative emission can reveal detailed information on the physical properties in the plasma environment, such as non-equilibrium electron and charge-state distributions as well as electric and magnetic field distributions. In this investigation, a reduced-density-matrix formulation is developed for the microscopic description of atomic electromagnetic interactions in the presence of environmental (collisional and radiative) relaxation and decoherence processes. Our central objective is a fundamental microscopic description of atomic electromagnetic processes, in which both bound-state and autoionization-resonance phenomena can be treated in a unified and self-consistent manner. The time-domain (equation-of-motion) and frequency-domain (resolvent-operator) formulations of the reduced-density-matrix approach are developed in a unified and self-consistent manner. This is necessary for our ultimate goal of a systematic and self-consistent treatment of non-equilibrium (possibly coherent) atomic-state kinetics and high-resolution (possibly overlapping) spectral-line shapes. We thereby propose the introduction of a generalized collisional-radiative atomic-state kinetics model based on a reduced

  17. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  18. Preliminary Hazards Analysis Plasma Hearth Process

    International Nuclear Information System (INIS)

    Aycock, M.; Coordes, D.; Russell, J.; TenBrook, W.; Yimbo, P.

    1993-11-01

    This Preliminary Hazards Analysis (PHA) for the Plasma Hearth Process (PHP) follows the requirements of United States Department of Energy (DOE) Order 5480.23 (DOE, 1992a), DOE Order 5480.21 (DOE, 1991d), DOE Order 5480.22 (DOE, 1992c), DOE Order 5481.1B (DOE, 1986), and the guidance provided in DOE Standards DOE-STD-1027-92 (DOE, 1992b). Consideration is given to ft proposed regulations published as 10 CFR 830 (DOE, 1993) and DOE Safety Guide SG 830.110 (DOE, 1992b). The purpose of performing a PRA is to establish an initial hazard categorization for a DOE nuclear facility and to identify those processes and structures which may have an impact on or be important to safety. The PHA is typically performed during and provides input to project conceptual design. The PRA then is followed by a Preliminary Safety Analysis Report (PSAR) performed during Title I and II design. This PSAR then leads to performance of the Final Safety Analysis Report performed during construction, testing, and acceptance and completed before routine operation. Radiological assessments indicate that a PHP facility, depending on the radioactive material inventory, may be an exempt, Category 3, or Category 2 facility. The calculated impacts would result in no significant impact to offsite personnel or the environment. Hazardous material assessments indicate that a PHP facility will be a Low Hazard facility having no significant impacts either onsite or offsite to personnel and the environment

  19. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  20. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  1. The effect of dielectric top lids on materials processing in a low frequency inductively coupled plasma (LF-ICP) reactor

    International Nuclear Information System (INIS)

    Lim, J.W.M.; Chan, C.S.; Xu, L.; Xu, S.

    2014-01-01

    The advent of the plasma revolution began in the 1970's with the exploitation of plasma sources for anisotropic etching and processing of materials. In recent years, plasma processing has gained popularity, with research institutions adopting projects in the field and industries implementing dry processing in their production lines. The advantages of utilizing plasma sources would be uniform processing over a large exposed surface area, and the reduction of toxic emissions. This leads to reduced costs borne by manufacturers which could be passed down as consumer savings, and a reduction in negative environmental impacts. Yet, one constraint that plagues the industry would be the control of contaminants in a plasma reactor which becomes evident when reactions are conducted in a clean vacuum environment. In this work, amorphous silicon (a-Si) thin films were grown on glass substrates in a low frequency inductively coupled plasma (LF-ICP) reactor with a top lid made of quartz. Even though the chamber was kept at high vacuum (~10 −4 Pa), it was evident through secondary ion mass spectroscopy (SIMS) and Fourier-transform infra-red spectroscopy (FTIR) that oxygen contaminants were present. With the aid of optical emission spectroscopy (OES) the contaminant species were identified. The design of the LF-ICP reactor was then modified to incorporate an Alumina (Al 2 O 3 ) lid. Results indicate that there were reduced amounts of contaminants present in the reactor, and that an added benefit of increased power transfer to the plasma, improving deposition rate of thin films was realized. The results of this study is conclusive in showing that Al 2 O 3 is a good alternative as a top-lid of an LF-ICP reactor, and offers industries a solution in improving quality and rate of growth of thin films. (author)

  2. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-01

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  3. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  4. Self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes

    International Nuclear Information System (INIS)

    Kondoh, Yoshiomi; Hakoiwa, Toru; Okada, Akihito; Kobayashi, Naohiro; Takahashi, Toshiki

    2006-01-01

    A novel set of simultaneous eigenvalue equations having dissipative terms are derived to find self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes. By numerically solving the set of eigenvalue equations in a cylindrical model, typical spatial profiles of plasma parameters, electric and magnetic fields and diffusion factors are presented, all of which determine self-consistently with each other by physical laws and mutual relations among them, just as in experimental plasmas. (author)

  5. Design and construction of Keda Space Plasma Experiment (KSPEX) for the investigation of the boundary layer processes of ionospheric depletions.

    Science.gov (United States)

    Liu, Yu; Zhang, Zhongkai; Lei, Jiuhou; Cao, Jinxiang; Yu, Pengcheng; Zhang, Xiao; Xu, Liang; Zhao, Yaodong

    2016-09-01

    In this work, the design and construction of the Keda Space Plasma EXperiment (KSPEX), which aims to study the boundary layer processes of ionospheric depletions, are described in detail. The device is composed of three stainless-steel sections: two source chambers at both ends and an experimental chamber in the center. KSPEX is a steady state experimental device, in which hot filament arrays are used to produce plasmas in the two sources. A Macor-mesh design is adopted to adjust the plasma density and potential difference between the two plasmas, which creates a boundary layer with a controllable electron density gradient and inhomogeneous radial electric field. In addition, attachment chemicals can be released into the plasmas through a tailor-made needle valve which leads to the generation of negative ions plasmas. Ionospheric depletions can be modeled and simulated using KSPEX, and many micro-physical processes of the formation and evolution of an ionospheric depletion can be experimentally studied.

  6. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  7. Cluster ion formation during sputtering processes: a complementary investigation by ToF-SIMS and plasma ion mass spectrometry

    International Nuclear Information System (INIS)

    Welzel, T; Ellmer, K; Mändl, S

    2014-01-01

    Plasma ion mass spectrometry using a plasma process monitor (PPM) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) have been complementarily employed to investigate the sputtering and ion formation processes of Al-doped zinc oxide. By comparing the mass spectra, insights on ion formation and relative cross-sections have been obtained: positive ions as measured during magnetron sputtering by PPM are originating from the plasma while those in SIMS start at the surface leading to large differences in the mass spectra. In contrast, negative ions originating at the surface will be accelerated through the plasma sheath. They arrive at the PPM after traversing the plasma nearly collisionless as seen from the rather similar spectra. Hence, it is possible to combine the high mass resolution of ToF-SIMS to obtain insight for separating cluster ions, e.g. Zn x and ZnO y , and the energy resolution of PPM to find fragmentation patterns for negative ions. While the ion formation processes during both experiments can be assumed to be similar, differences may arise due to the lower volume probed by SIMS. In the latter case, there is a chance of small target inhomogeneities being able to be enhanced and lower surface temperatures leading to less outgassing and, thus, retention of volatile compounds. (paper)

  8. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  9. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions.

  10. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    International Nuclear Information System (INIS)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions

  11. Evaluation of the sensitivity of electro-acoustic measurements for process monitoring and control of an atmospheric pressure plasma jet system

    Energy Technology Data Exchange (ETDEWEB)

    Law, V J [Dublin City University, National Centre of Plasma Science and Technology, Collins Avenue, Glasnevin, Dublin 9, Dublin (Ireland); O' Neill, F T; Dowling, D P, E-mail: vic.law@dcu.ie [School Mechanical and Materials Engineering, University College Dublin, Belfield, Dublin 4 (Ireland)

    2011-06-15

    The development of non-invasive process diagnostic techniques for the control of atmospheric plasmas is a critical issue for the wider adoption of this technology. This paper evaluates the use of a frequency-domain deconvolution of an electro-acoustic emission as a means to monitor and control the plasma formed using an atmospheric pressure plasma jet (APPJ) system. The air plasma system investigated was formed using a PlasmaTreat(TM) OpenAir applicator. Change was observed in the electro-acoustic signal with changes in substrate type (ceramic, steel, polymer). APPJ nozzle to substrate distance and substrate feature size were monitored. The decoding of the electro-acoustic emission yields three subdatasets that are described by three separate emission mechanisms. The three emissions are associated with the power supply fundamental drive frequency and its harmonics, the APPJ nozzle longitudinal mode acoustic emission and its odd overtones, and the acoustic surface reflection that is produced by the impedance mismatch between the discharge and the surface. Incorporating this knowledge into a LabVIEW program facilitated the continuous deconvolution of the electro-acoustic data. This enabled the use of specific frequency band test limits to control the APPJ treatment process which is sensitive to both plasma processing conditions and substrate type and features.

  12. Formation of Apatite Coatings on an Artificial Ligament Using a Plasma- and Precursor-Assisted Biomimetic Process

    Directory of Open Access Journals (Sweden)

    Ayako Oyane

    2013-09-01

    Full Text Available A plasma- and precursor-assisted biomimetic process utilizing plasma and alternate dipping treatments was applied to a Leed-Keio artificial ligament to produce a thin coating of apatite in a supersaturated calcium phosphate solution. Following plasma surface modification, the specimen was alternately dipped in calcium and phosphate ion solutions three times (alternate dipping treatment to create a precoating containing amorphous calcium phosphate (ACP which is an apatite precursor. To grow an apatite layer on the ACP precoating, the ACP-precoated specimen was immersed for 24 h in a simulated body fluid with ion concentrations approximately equal to those in human blood plasma. The plasma surface modification was necessary to create an adequate apatite coating and to improve the coating adhesion depending on the plasma power density. The apatite coating prepared using the optimized conditions formed a thin-film that covered the entire surface of the artificial ligament. The resulting apatite-coated artificial ligament should exhibit improved osseointegration within the bone tunnel and possesses great potential for use in ligament reconstructions.

  13. Plasma astrophysics

    CERN Document Server

    Kaplan, S A; ter Haar, D

    2013-01-01

    Plasma Astrophysics is a translation from the Russian language; the topics discussed are based on lectures given by V.N. Tsytovich at several universities. The book describes the physics of the various phenomena and their mathematical formulation connected with plasma astrophysics. This book also explains the theory of the interaction of fast particles plasma, their radiation activities, as well as the plasma behavior when exposed to a very strong magnetic field. The text describes the nature of collective plasma processes and of plasma turbulence. One author explains the method of elementary

  14. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  15. Thermal plasma waste treatment

    International Nuclear Information System (INIS)

    Heberlein, Joachim; Murphy, Anthony B

    2008-01-01

    Plasma waste treatment has over the past decade become a more prominent technology because of the increasing problems with waste disposal and because of the realization of opportunities to generate valuable co-products. Plasma vitrification of hazardous slags has been a commercial technology for several years, and volume reduction of hazardous wastes using plasma processes is increasingly being used. Plasma gasification of wastes with low negative values has attracted interest as a source of energy and spawned process developments for treatment of even municipal solid wastes. Numerous technologies and approaches exist for plasma treatment of wastes. This review summarizes the approaches that have been developed, presents some of the basic physical principles, provides details of some specific processes and considers the advantages and disadvantages of thermal plasmas in waste treatment applications. (topical review)

  16. Influence of micro- and macro-processes on the high-order harmonic generation in laser-produced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ganeev, R. A., E-mail: rashid-ganeev@mail.ru [Ophthalmology and Advanced Laser Medical Center, Saitama Medical University, 38 Morohongo, Moroyama-machi, Iruma-gun, Saitama 350-0495 (Japan); Physical Department, Voronezh State University, Voronezh 394006 (Russian Federation)

    2016-03-21

    We compare the resonance-induced enhancement of single harmonic and the quasi-phase-matching-induced enhancement of the group of harmonics during propagation of the tunable mid-infrared femtosecond pulses through the perforated laser-produced indium plasma. We show that the enhancement of harmonics using the macro-process of quasi-phase-matching is comparable with the one using micro-process of resonantly enhanced harmonic. These studies show that joint implementation of the two methods of the increase of harmonic yield could be a useful tool for generation of strong short-wavelength radiation in different spectral regions. We compare these effects in indium, as well as in other plasmas.

  17. Surface Alloying of SUS 321 Chromium-Nickel Steel by an Electron-Plasma Process

    Science.gov (United States)

    Ivanov, Yu. F.; Teresov, A. D.; Petrikova, E. A.; Krysina, O. V.; Ivanova, O. V.; Shugurov, V. V.; Moskvin, P. V.

    2017-07-01

    The mechanisms of forming nanostructured, nanophase layers are revealed and analyzed in austenitic steel subjected to surface alloying using an electron-plasma process. Nanostructured, nanophase layers up to 30 μm in thickness were formed by melting of the film/substrate system with an electron beam generated by a SOLO facility (Institute of High Current Electronics, SB RAS), Tomsk), which ensured crystallization and subsequent quenching at the cooling rates within the range 105-108 K/s. The surface was modified with structural stainless steel specimens (SUS 321 steel). The film/substrate system (film thickness 0.5 μm) was formed by a plasma-assisted vacuum-arc process by evaporating a cathode made from a sintered pseudoalloy of the following composition: Zr - 6 at.% Ti - 6 at.% Cu. The film deposition was performed in a QUINTA facility equipped with a PINK hot-cathode plasma source and DI-100 arc evaporators with accelerated cooling of the process cathode, which allowed reducing the size and fraction of the droplet phase in the deposited film. It is found that melting of the film/substrate system (Zr-Ti-Cu)/(SUS 321 steel) using a high-intensity pulsed electron beam followed by the high-rate crystallization is accompanied by the formation of α-iron cellular crystallization structure and precipitation of Cr2Zr, Cr3C2 and TiC particles on the cell boundaries, which as a whole allowed increasing microhardness by a factor of 1.3, Young's modulus - by a factor of 1.2, wear resistance - by a factor of 2.7, while achieving a three-fold reduction in the friction coefficient.

  18. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  19. JSPS-CAS core university program seminar. Proceedings of Japan-China joint seminar on atomic and molecular processes in plasma

    International Nuclear Information System (INIS)

    Koike, Fumihiro; Dong Chenzhong

    2008-03-01

    As one of the activities of JSPS-CAS Core University Program, Japan-China Joint Seminar on Atomic and Molecular Processes in Plasma was held on October 8 - 12, 2007 in Dunhuang, China. The total number of the officially registered participants was 41, in which 12 from Japan, 25 from China, and 4 from EU. And this seminar is an extension of the last seminar that was held on March 6 - 11, 2004 in Lanzhou, China. In the nuclear fusion plasma, there are quite a variety of atomic processes such as ionization, excitation, radiative recombination, non-radiative recombination (di-electronic recombination, collisional electron transfer), cascade radiation, and cascade Auger decay over the wide range of plasma temperature. The knowledge of such processes is indispensable for the evaluation and improvement of the plasma properties, which is desirable to be investigated by international collaboration groups. The present seminar constitutes one of such activities to realize the above stated aim; especially it has given an opportunity for the collaborative workers to illustrate their achievements. The 32 of the presented papers are indexed individually. (J.P.N.)

  20. Numerical study of plasma generation process and internal antenna heat loadings in J-PARC RF negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Shibata, T., E-mail: shibat@post.j-parc.jp; Ueno, A.; Oguri, H.; Ohkoshi, K.; Ikegami, K.; Takagi, A.; Asano, H.; Naito, F. [J-PARC Center, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Nishida, K.; Mochizuki, S.; Hatayama, A. [Keio University, Hiyoshi, Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan); Mattei, S.; Lettry, J. [European Organization for Nuclear Research (CERN), 1211 Geneva 23 (Switzerland)

    2016-02-15

    A numerical model of plasma transport and electromagnetic field in the J-PARC (Japan Proton Accelerator Research Complex) radio frequency ion source has been developed to understand the relation between antenna coil heat loadings and plasma production/transport processes. From the calculation, the local plasma density increase is observed in the region close to the antenna coil. Electrons are magnetized by the magnetic field line with absolute magnetic flux density 30–120 Gauss which leads to high local ionization rate. The results suggest that modification of magnetic configuration can be made to reduce plasma heat flux onto the antenna.

  1. Contribution to the application of the Langmuir probe techique for plasma monitoring in the Ar and n-hexane mixture plasma during the polymerisation process

    Czech Academy of Sciences Publication Activity Database

    Adámek, P.; Kalčík, J.; Šícha, M.; Tichý, M.; Biederman, H.; Soukup, Ladislav; Jastrabík, Lubomír

    1999-01-01

    Roč. 49, č. 12 (1999), s. 1685-1700 ISSN 0011-4626 R&D Projects: GA MŠk(CZ) OC 516.50; GA ČR(CZ) GA202/97/1011; GA ČR(CZ) GA202/98/0116; GA ČR(CZ) GA202/98/0666 Grant - others:GA UK(CZ) 75/98 Institutional research plan: CEZ:AV0Z1010914 Keywords : Langmuir probe * plasma monitoring * average energy of electrons * polymerisation process Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.328, year: 1999

  2. Comparison of Plasma, Metal Inactive Gas (MIG) and Tungsten Inactive Gas (TIG) Processes for Laser Hybrid Welding (302)

    DEFF Research Database (Denmark)

    Bagger, Claus; Olsen, Flemming Ove

    2003-01-01

    enables a more stable ignition and running process than both TIG and MIG hybrid welding. Because of the delivery of extra material from a hot wire, the MIG hybrid process is well suited for bridging gaps of up to 0.6 mm in butt-welding of 2 mm steel. But because of the constant delivery of new material......, the MIG process is more difficult to control than laser/plasma and laser/TIG processes. All three types of secondary heat sources enable an increased ductility of the weld as compared to pure laser welding when welding 1.8 mm GA 260 with a TIG torch and 2.13 mm CMn steel with a plasma arc or MIG...

  3. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  4. Structure formation in turbulent plasmas - test of nonlinear processes in plasma experiments

    International Nuclear Information System (INIS)

    Itoh, S.-I.; Yagi, Masatoshi; Inagaki, Shigeru

    2009-01-01

    Full text: Recent developments in plasma physics, either in the fusion research in a new era of ITER, or in space and in astro-physics, the world-wide and focused research has been developed on the subject of structural formation in turbulent plasma being associated with electro-magnetic field formation. Keys for the progress were a change of the physics view from the 'linear, local and deterministic' picture to the description based on 'nonlinear instability, nonlocal interaction and probabilistic excitation' for the turbulent state, and the integration of the theory-simulation-experiment. In this presentation, we first briefly summarize the theory of microscopic turbulence and mesoscale fluctuations and selection rules. In addition, the statistical formation of large-scale structure/deformation by turbulence is addressed. Then, the experimental measurements of the mesoscale structures (e.g., zonal flows, zonal fields, streamer and transport interface) and of the nonlinear interactions among them in turbulent plasmas are reported. Confirmations by, and new challenges from, the experiments are overviewed. Work supported by the Grant-in-Aid for Specially-Promoted Research (16002005). (author)

  5. Centrifugation: an important pre-analytic procedure that influences plasma microRNA quantification during blood processing.

    Science.gov (United States)

    Zheng, Xiao-Hui; Cui, Cui; Zhou, Xin-Xi; Zeng, Yi-Xin; Jia, Wei-Hua

    2013-12-01

    Circulating microRNAs are robustly present in plasma or serum and have become a research focus as biomarkers for tumor diagnosis and prognosis. Centrifugation is a necessary procedure for obtaining high-quality blood supernatant. Herein, we investigated one-step and two-step centrifugations, two centrifugal methods routinely used in microRNA study, to explore their effects on plasma microRNA quantification. The microRNAs obtained from one-step and two-step centrifugations were quantified by microarray and TaqMan-based real-time quantitative polymerase chain reaction (Q-PCR). Dynamic light scattering was performed to explore the difference underlying the two centrifugal methods. The results from the microarray containing 1,347 microRNAs showed that the signal detection rate was greatly decreased in the plasma sample prepared by two-step centrifugation. More importantly, the microRNAs missing in this plasma sample could be recovered and detected in the precipitate generated from the second centrifugation. Consistent with the results from microarray, a marked decrease of three representative microRNAs in two-step centrifugal plasma was validated by Q-PCR. According to the size distribution of all nanoparticles in plasma, there were fewer nanoparticles with size >1,000 nm in two-step centrifugal plasma. Our experiments directly demonstrated that different centrifugation methods produced distinct quantities of plasma microRNAs. Thus, exosomes or protein complexes containing microRNAs may be involved in large nanoparticle formation and may be precipitated after two-step centrifugation. Our results remind us that sample processing methods should be first considered in conducting research.

  6. Optimization of process parameters for spark plasma sintering of nano structured SAF 2205 composite

    Directory of Open Access Journals (Sweden)

    Samuel Ranti Oke

    2018-04-01

    Full Text Available This research optimized spark plasma sintering (SPS process parameters in terms of sintering temperature, holding time and heating rate for the development of a nano-structured duplex stainless steel (SAF 2205 grade reinforced with titanium nitride (TiN. The mixed powders were sintered using an automated spark plasma sintering machine (model HHPD-25, FCT GmbH, Germany. Characterization was performed using X-ray diffraction and scanning electron microscopy. Density and hardness of the composites were investigated. The XRD result showed the formation of FeN0.068. SEM/EDS revealed the presence of nano ranged particles of TiN segregated at the grain boundaries of the duplex matrix. A decrease in hardness and densification was observed when sintering temperature and heating rate were 1200 °C and 150 °C/min respectively. The optimum properties were obtained in composites sintered at 1150 °C for 15 min and 100 °C/min. The composite grades irrespective of the process parameters exhibited similar shrinkage behavior, which is characterized by three distinctive peaks, which is an indication of good densification phenomena. Keywords: Spark plasma sintering, Duplex stainless steel (SAF 2205, Titanium nitride (TiN, Microstructure, Density, Hardness

  7. Plasma centrifuges

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    2000-01-01

    The review of the most important studies on the isotope separation processes in the rotating plasma is presented. The device is described and the characteristics of operation of the pulse plasma centrifuges with weakly and strongly ionized plasma as well as the stationary plasma centrifuges with the medium weak ionization and devices, applying the stationary vacuum arc with the high ionization rate and the stationary beam-plasma discharge with complete ionization, are presented. The possible mechanisms of the isotope separation in plasma centrifuges are considered. The specific energy consumption for isotope separation in these devices is discussed [ru

  8. A summary of processes relevant for the particle balance of a cold plasma blanket contaminated with a small amount of helium

    International Nuclear Information System (INIS)

    Potters, J.H.H.M.; Goedheer, W.J.

    1982-04-01

    A summary is given of the atomic processes which are relevant for the ionization balance and for the transport in a plasma consisting of hydrogen with a small admixture of helium. Attention is paid mainly to processes in plasmas with temperatures below 100 eV and electron densities between 3x10 13 and 3x10 14 cm -3 conditions which prevail in a so-called cold plasma blanket. The species considered are electrons, protons, hydrogen atoms (ground state and excited), α-particles, He + -ions (ground state and excited), and helium atoms (ground state and excited). The discussed processes are charge exchange, ionization, recombination, (de-) excitation, and elastic scattering

  9. Visualizing the influence of the process parameters on the keyhole dimensions in plasma arc welding

    International Nuclear Information System (INIS)

    Liu, Z M; Wu, C S; Chen, M A

    2012-01-01

    The keyhole status and its dimensions are critical information determining both the process quality and weld quality in plasma arc welding (PAW). It is of great significance to measure the keyhole shape and size and to correlate them with the main process parameters. In this study, a low-cost vision system is developed to visualize the keyhole at the backside of the test-pieces in PAW. Three stages of keyhole evolution, i.e. initial blind stage (non-penetrated keyhole), unstable stage with momentarily disappeared keyhole and quasi-steady open keyhole stage (fully-penetrated keyhole), are measured in real-time during the PAW tests on stainless steel test-pieces of thickness 8 mm. Based on the captured images of keyhole under different welding conditions, the correlations of the main welding process parameters (welding current, welding speed, plasma gas flow rate) with the keyhole length, width and area are visualized through vision measurement. It lays a solid foundation for implementing keyhole stability control and process optimization in keyhole PAW. (paper)

  10. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Directory of Open Access Journals (Sweden)

    Butakov Evgenii

    2017-01-01

    Full Text Available Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  11. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Science.gov (United States)

    Butakov, Evgenii; Burdukov, Anatoly; Chernetskiy, Mikhail; Kuznetsov, Victor

    2017-10-01

    Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  12. Nonlocality of plasma fluctuations and transport in magnetically confined plasmas nonlocal plasma transport and radial structural formation

    International Nuclear Information System (INIS)

    Toi, Kazuo

    2002-01-01

    Experimental evidence and underlying physical processes of nonlocal characters and structural formation in magnetically confined toroidal plasmas are reviewed. Radial profiles of the plasmas exhibit characteristic structures, depending on the various confinement regimes. Profile stiffness subjected to some global constraint and rapid plasma responses to applied plasma perturbation result from nonlocal transport. Once the plasma is free from the constraint, the plasma state can be changed to a new state exhibiting various types of prominent structural formation such as an internal transport barrier. (author)

  13. Magnetospheric plasma waves

    International Nuclear Information System (INIS)

    Shawhan, S.D.

    1977-01-01

    A brief history of plasma wave observations in the Earth's magnetosphere is recounted and a classification of the identified plasma wave phenomena is presented. The existence of plasma waves is discussed in terms of the characteristic frequencies of the plasma, the energetic particle populations and the proposed generation mechanisms. Examples are given for which plasmas waves have provided information about the plasma parameters and particle characteristics once a reasonable theory has been developed. Observational evidence and arguments by analogy to the observed Earth plasma wave processes are used to identify plasma waves that may be significant in other planetary magnetospheres. The similarities between the observed characteristics of the terrestrial kilometric radiation and radio bursts from Jupiter, Saturn and possibly Uranus are stressed. Important scientific problems concerning plasma wave processes in the solar system and beyond are identified and discussed. Models for solar flares, flare star radio outbursts and pulsars include elements which are also common to the models for magnetospheric radio bursts. Finally, a listing of the research and development in terms of instruments, missions, laboratory experiments, theory and computer simulations needed to make meaningful progress on the outstanding scientific problems of plasma wave research is given. (Auth.)

  14. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  15. Functionalization of polymer powders for SLS-processes using an atmospheric plasma jet in a fluidized bed reactor

    Energy Technology Data Exchange (ETDEWEB)

    Sachs, Marius; Schmitt, Adeliene; Schmidt, Jochen; Peukert, Wolfgang; Wirth, Karl-Ernst [Institute of Particle Technology, Friedrich-Alexander-University Erlangen-Nuremberg (Germany)

    2015-05-22

    Recently additive manufacturing processes such as selective laser sintering (SLS) of polymers have gained more importance for industrial applications [1]. Tailor-made modification of polymers is essential in order to make these processes more efficient and to cover the industrial demands. The so far used polymer materials show weak performance regarding the mechanical stability of processed parts. To overcome this limitation, a new route to functionalize the surface of commercially available polymer particles (PA12; PE-HD; PP) using an atmospheric plasma jet in combination with a fluidized bed reactor has been investigated. Consequently, an improvement of adhesion and wettability [2] of the polymer surface without restraining the bulk properties of the powder is achieved. The atmospheric plasma jet process can provide reactive species at moderate temperatures which are suitable for polymer material. The functionalization of the polymer powders improves the quality of the devices build in a SLS-process.

  16. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process.

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-25

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  17. Spin coating and plasma process for 2.5D integrated photonics on multilayer polymers

    International Nuclear Information System (INIS)

    Zebda, A.; Camberlein, L.; Beche, B.; Gaviot, E.; Beche, E.; Duval, D.; Zyss, J.; Jezequel, G.; Solal, F.; Godet, C.

    2008-01-01

    Polymer spin coating, surface plasma treatment and selective UV-lithography processes have been developed to realize 2.5D photonic micro-resonators, made of disk- or ring-shaped upper rib waveguides, using common polymers such as SU8 (biphenol A ether glycidyl), PS233 (polymeric silane) and SOG (siloxane Spin on Glass). Both oxygen and argon plasma treatments, applied to PS233 and SOG before spin-coating the SU8, improve substantially the grip of multilayer devices (SU8 / PS233 or SU8 / SOG). Surface energy components derived from contact angle measurements have been used to optimize the processing conditions. In such integrated photonic devices, the both single-electromagnetic-modes called transverse electric (TE 00 ) and transverse magnetic (TM 00 ) have been excited in a SU8 micro-disk, with a single mode propagation strongly localized near the edge of the disk (i.e. the so called whispering gallery modes)

  18. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  19. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  20. Plasma properties and atomic processes at medium and high pressures

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1979-01-01

    When the state of a plasma deviates from local thermodynamic equilibrium (L.T.E.) the equilibrium relations cannot be applied. The thermodynamic properties must then be described on the basis of models in which the individual atomic properties and elementary reactions intervene. The first part of the paper gives a schematic description of a plasma suffering power input, power losses and external constraints in the form of initial and boundary conditions. The rate equations for particle density, momentum and energy of open systems are summarized, including nuclear reactions. The second part gives a review of the progress made in understanding the properties of special types of non-L.T.E. plasmas such as glow discharge plasmas, negative ion plasmas (with application to the physics of SF 6 circuit-breakers) and Tokamak plasmas on the basis of these rate equations

  1. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O{sub 2} mixed-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju [Kwangwoon University, Seoul (Korea, Republic of)

    2014-08-15

    We investigated the effects of Ar and O{sub 2} treatment and of Ar/O{sub 2} mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O{sub 2}-plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O{sub 2} mixed-gas plasma. The plasma treatment in the Ar/O{sub 2}-mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10{sup 7}, 1.24 cm{sup 2}/V·s, and 513 mV/dec, respectively.

  2. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Energy Technology Data Exchange (ETDEWEB)

    Safari, A. [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Gheisari, Kh., E-mail: khgheisari@scu.ac.ir [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Farbod, M. [Physics Department, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of)

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni–Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100–200 nm and was dependent on the production conditions. - Highlights: • Nanocrystalline Ni ferrite powders are prepared by plasma arc discharge process. • The mean particle size of the as-synthesized ceramic powders is about 100 nm. • The highest saturation magnetization is observed as zinc powders removed completely from the initial mixture.

  3. Plasma technology for waste treatment

    International Nuclear Information System (INIS)

    Cohn, D.R.

    1995-01-01

    Improved environmental cleanup technology is needed to meet demanding goals for remediation and treatment of future waste streams. Plasma technology has unique features which could provide advantages of reduced secondary waste, lower cost, and onsite treatment for a wide variety of applications. Plasma technology can provide highly controllable processing without the need for combustion heating. It can be used to provide high temperature processing (∼10,000 degrees C). Plasma technology can also be employed for low temperature processing (down to room temperature range) through selective plasma chemistry. A graphite electrode arc plasma furnace at MIT has been used to investigate high temperature processing of simulated solid waste for Department of Energy environmental cleanup applications. Stable, non-leachable glass has been produced. To ensure reliable operation and to meet environmental objectives, new process diagnostics have been developed to measure furnace temperature and to determine metals emissions in the gaseous effluent. Selective plasma destruction of dilute concentrations of hazardous compounds in gaseous waste streams has been investigated using electron beam generated plasmas. Selective destruction makes it possible to treat the gas steam at relatively low temperatures in the 30-300 degrees C range. On-line infrared measurements have been used in feedback operation to maximize efficiency and ensure desired performance. Plasma technology and associated process diagnostics will be used in future studies of a wide range of waste streams

  4. Large-scale numerical simulations of plasmas

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2004-01-01

    The recent trend of large scales simulations of fusion plasma and processing plasmas is briefly summarized. Many advanced simulation techniques have been developed for fusion plasmas and some of these techniques are now applied to analyses of processing plasmas. (author)

  5. On the course of fusion processes occurring in the plasma focus - an investigation by using time-resolved neutron spectroscopy

    International Nuclear Information System (INIS)

    Schmidt, R.

    1987-01-01

    The plasma focus POSEIDON emits up to 2x10 11 neutrons during some 100 ns, if it is operated with deuterium. This very high neutron production cannot be explained by a thermal neutron production mechanism starting out from the measured values of temperature (about 1 KeV) and deuteron density (10 18 cm -3 ). There is no doubt, that there exist strong ''beam-target''-processes of the deuterons, which cause such a high suprathermal neutron production. The neutron emission of the plasma focus POSEIDON appears in two pulses, which are associated to the two main phases of the hot and dense plasma. The first is the pinch or quiescent phase, in which a stable plasma column over 100 or 150 ns exists. The second phase is characterized by turbulence processes and starts with the end of the stable phase with the on-set of the m=0-instabilities and ends with the decay of the plasma. Both phases of neutron production are studied by time-resolved analysis of the neutron anisotropy factor and by time and spatial-resolved measurements of the neutron emission. Hence it follows, that there exist during both phases different non thermal neutron production processes, which are caused by different deuteron acceleration mechanisms. To get information on these deuteron acceleration mechanisms it is appropriate to analyse the neutron spectra of the plasma focus, because the half-width and the shape of the measured spectra are related to the energy and the angular distribution of the accelerated deuterons. (orig./GG) [de

  6. Effect of impurities on kinetic transport processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Braun, Stefanie

    2010-12-10

    Within the framework of this thesis, different problems arising in connection with impurities have been investigated. Collisional damping of zonal flows in tokamaks: Since the Coulomb collision frequency increases with increasing ion charge, heavy, highly charged impurities play an important role in this process. The effect of such impurities on the linear response of the plasma to an external potential perturbation, as caused by zonal flows, is calculated with analytical methods. In comparison with a pure plasma, the damping of the flows occurs, as expected, considerably faster; for experimentally relevant parameters, the enhancement exceeds the effective charge Z{sub eff} of the plasma. Impurity transport driven by microturbulence in tokamaks: With regard to impurities, it is especially important whether the resulting flows are directed inwards or outwards, since they are deleterious for core energy confinement on the one hand, but on the other hand help protecting plasma-facing components from too high energy fluxes in the edge region. A semi-analytical model is presented describing the resulting impurity fluxes and the stability boundary of the underlying mode. The main goal is to bridge the gap between, on the one hand, costly numerical simulations, which are applicable to a broad range of problems but yield scarcely traceable results, and, on the other hand, analytical theory, which might ease the interpretation of the results but is so far rather rudimentary. The model is based on analytical formulae whenever possible but resorts to a numerical treatment when the approximations necessary for an analytical solution would lead to a substantial distortion of the results. Both the direction of the impurity flux and the stability boundary are found to depend sensitively on the plasma parameters such as the impurity density and the temperature gradient. Pfirsch-Schlueter transport in stellarators: Due to geometry effects, collisional transport plays a much more

  7. Experimental study of anode processes in plasma arc cutting

    Czech Academy of Sciences Publication Activity Database

    Kavka, Tetyana; Chumak, Oleksiy; Šonský, Jiří; Heinrich, M.; Stehrer, T.; Pauser, H.

    2013-01-01

    Roč. 46, č. 6 (2013), 065202-065202 ISSN 0022-3727 R&D Projects: GA ČR GAP205/11/2070 Institutional support: RVO:61389021 ; RVO:61388998 Keywords : Arc cutting * anode attachment * pilot arc * steam plasma cutting * torch * fluctuations * JET Subject RIV: BL - Plasma and Gas Discharge Physics; BL - Plasma and Gas Discharge Physics (UT-L) Impact factor: 2.521, year: 2013 http://iopscience.iop.org/0022-3727/46/6/065202/pdf/0022-3727_46_6_065202.pdf

  8. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    Science.gov (United States)

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  9. Effects of plasma pretreatment on the process of self-forming Cu–Mn alloy barriers for Cu interconnects

    Directory of Open Access Journals (Sweden)

    Jae-Hyung Park

    2018-02-01

    Full Text Available This study investigated the effect of plasma pretreatment on the process of a self-forming Cu–Mn alloy barrier on porous low-k dielectrics. To study the effects of plasma on the performance of a self-formed Mn-based barrier, low-k dielectrics were pretreated with H2 plasma or NH3 plasma. Cu–Mn alloy materials on low-k substrates that were subject to pretreatment with H2 plasma exhibited lower electrical resistivity values and the formation of thicker Mn-based interlayers than those on low-k substrates that were subject to pretreatment with NH3 plasma. Transmission electron microscopy (TEM, X-ray photoemission spectroscopy (XPS, and thermal stability analyses demonstrated the exceptional performance of the Mn-based interlayer on plasma-pretreated low-k substrates with regard to thickness, chemical composition, and reliability. Plasma treating with H2 gas formed hydrophilic Si–OH bonds on the surface of the low-k layer, resulting in Mn-based interlayers with greater thickness after annealing. However, additional moisture uptake was induced on the surface of the low-k dielectric, degrading electrical reliability. By contrast, plasma treating with NH3 gas was less effective with regard to forming a Mn-based interlayer, but produced a Si–N/C–N layer on the low-k surface, yielding improved barrier characteristics.

  10. Impacts of ambient and ablation plasmas on short- and ultrashort-pulse laser processing of surfaces

    Czech Academy of Sciences Publication Activity Database

    Bulgakova, Nadezhda M.; Panchenko, A.N.; Zhukov, V.P.; Kudryashov, S.I.; Pereira, A.; Marine, W.; Mocek, Tomáš; Bulgakov, A.V.

    2014-01-01

    Roč. 5, č. 4 (2014), s. 1344-1372 ISSN 2072-666X R&D Projects: GA MŠk ED2.1.00/01.0027; GA MŠk EE2.3.20.0143 Grant - others:HILASE(XE) CZ.1.05/2.1.00/01.0027; OP VK 6(XE) CZ.1.07/2.3.00/20.0143 Institutional support: RVO:68378271 Keywords : pulsed laser ablation * laser material processing * laser plasma * ambient gas breakdown * material redeposition * plasma pipe formation * microstructures Subject RIV: BH - Optics, Masers, Lasers Impact factor: 1.269, year: 2014

  11. Modelling of plasma processes based on the commercial computational fluid dynamics (CFD) package FLUENT

    International Nuclear Information System (INIS)

    Sazhin, S.S.

    1995-01-01

    Traditional applications of the commercial CFD package FLUENT include modelling of gas and liquid flows, combustion processes, thermal radiation exchange, particle dynamics and related processes of industrial interest. Recently, however, the area of applications of this package has been extended to modelling of new processes such as CO 2 laser discharges and the solution of the Boltzmann equation. Results of this modelling were reported at XXI International Conference on Phenomena in Ionized gases in Bochum and were later published in a number of research papers. The aim of this report is to summarize some further latest developments of the FLUENT package aimed to adjust it to the needs of modelling of plasma processes including those in ionized gases. The simplest way to modify this package is to include Amper force into Navier-Stokes equation and Ohm heating term into the enthalpy equation. In most cases, however, electric currents and electric and magnetic fields used in these equations cannot be assumed to be a priori known as they depend on plasma dynamics (distribution of velocities and pressures) and thermodynamics (distribution of temperatures) which implicitly enter into Maxwell or any equivalent electromagnetic equations. This makes it necessary to include these electromagnetic equations into the general iteration loop used in FLUENT

  12. Plasma vitrification program for radioactive waste treatment

    International Nuclear Information System (INIS)

    Hung, Tsungmin; Tzeng, Chinchin; Kuo, Pingchun

    1998-01-01

    In order to treat radioactive wastes effectively and solve storage problems, INER has developed the plasma arc technology and plasma process for various waste forms for several years. The plasma vitrification program is commenced via different developing stages through nine years. It includes (a) development of non-transferred DC plasma torch, (b) establishment of a lab-scale plasma system with home-made 100kW non-transferred DC plasma torch, (c) testing of plasma vitrification of simulated radioactive wastes, (d) establishment of a transferred DC plasma torch delivering output power more than 800 kW, (e) study of NOx reduction process for the plasma furnace, (f) development of a pilot-scale plasma melting furnace to verify the vitrification process, and (g) constructing a plasma furnace facility in INER. The final goal of the program is to establish a plasma processing plant with capacity of 250 kg/hr to treat the low-level radioactive wastes generated from INER itself and domestic institutes due to isotope applications. (author)

  13. Plasma technology

    International Nuclear Information System (INIS)

    Drouet, M.G.

    1984-03-01

    IREQ was contracted by the Canadian Electrical Association to review plasma technology and assess the potential for application of this technology in Canada. A team of experts in the various aspects of this technology was assembled and each team member was asked to contribute to this report on the applications of plasma pertinent to his or her particular field of expertise. The following areas were examined in detail: iron, steel and strategic-metals production; surface treatment by spraying; welding and cutting; chemical processing; drying; and low-temperature treatment. A large market for the penetration of electricity has been identified. To build up confidence in the technology, support should be provided for selected R and D projects, plasma torch demonstrations at full power, and large-scale plasma process testing

  14. The PERC trademark process: Existing and potential applications for induction coupled plasma technology in hazardous and radioactive waste treatment

    International Nuclear Information System (INIS)

    Blutke, A.S.; Vavruska, J.S.; Serino, J.F.

    1996-01-01

    Plasma Technology, Inc. (PTI), a Santa Fe, New Mexico corporation has developed the Plasma Energy Recycle and Conversion (PERC)trademark treatment process as a safe and environmentally clean alternative to conventional thermal destruction technologies. The PERC trademark treatment process uses as its heat source an advanced Induction Coupled Plasma (ICP) torch connected to a reaction chamber system with an additional emission control system. For example, organic-based gas, liquid, slurry, and/or solid waste streams can be converted into usable or even salable products while residual emissions are reduced to an absolute minimum. In applications for treatment of hazardous and radioactive waste streams, the PERC system could be used for destruction of the hazardous organic constituents and/or significant waste volume reduction while capturing the radioactive fraction in a non-leachable form. Like Direct Current (DC) and Alternating Current (AC) arc plasma systems, ICP torches offer sufficient energy to decompose, melt and/or vitrify any waste stream. The decision for an arc plasma or an IC plasma system has to be made on a case by case evaluation and is highly dependent on the specific waste stream's form and composition. Induction coupled plasma technology offers one simple, but significant difference compared to DC or AC arc plasma systems: the ICP torch is electrodeless. To date, enormous research effort has been spent to improve the lifetime of electrodes and the effectiveness of related cooling systems. Arc plasma systems are established in research laboratories worldwide and are approaching a broad use in commercial applications. ICP technology has been improved relatively recently, but nowadays offers complete new and beneficial approaches in the field of waste conversion and treatment

  15. Characteristics and performance of the variable polarity plasma arc welding process used in the Space Shuttle external tank

    Science.gov (United States)

    Hung, R. J.; Lee, C. C.; Liu, J. W.

    1990-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. Flow profiles and power distribution of argon plasma gas as a working fluid to produce plasma arc jet in the VPPA welding process was analyzed. Major loss of heat transfer for flow through the nozzle is convective heat transfer; for the plasma jet flow between the outlet of the nozzle and workpiece is radiative heat transfer; and for the flow through the keyhole of the workpiece is convective heat transfer. The majority of the power absorbed by the keyhole of the workpiece is used for melting the solid metal workpiece into a molten metallic puddle. The crown and root widths and the crown and root heights can be predicted. An algorithm for promoting automatic control of flow parameters and the dimensions of the final product of the welding specification to be used for the VPPA Welding System operated at MSFC are provided.

  16. Electron-capture process and ion mobility spectra in plasma chromatography

    International Nuclear Information System (INIS)

    Karasek, F.W.; Spangler, G.E.

    1981-01-01

    The basic principles of plasma chromatography are introduced and ion mobility relationships presented. The relationships of plasma chromatography to electron-capture detector mechanisms are discussed, including electron energy considerations and electron-capture reactions. A number of experimental studies by plasma chromatography are described. (C.F.)

  17. Numerical simulation of the bubble growth due to hydrogen isotopes inventory processes in plasma-irradiated tungsten

    International Nuclear Information System (INIS)

    Sang, Chaofeng; Sun, Jizhong; Bonnin, Xavier; Liu, Shengguang; Wang, Dezhen

    2013-01-01

    Hydrogen isotopes (HI) inventory is a key issue for fusion devices like ITER. It is especially urgent to understand how HI are retained in tungsten since it currently is the most important candidate material for the plasma-facing wall. Bubble growth is an important experimental complication that yet prevents a full understanding of HI retention processes in tungsten walls and most critically the divertor elements. In this work, we develop a model based on rate equations, which includes the bubble growth in tungsten being exposed to a HI plasma. In the model, HI molecules can be produced through recombination processes on the inner surface of a bubble, and HI molecules can also dissociate themselves to solute atoms, and the latter diffuse into the bulk wall because of very high pressures inside the bubble. The present model is applied to simulate how HI are retained in plasma-irradiated tungsten in the form of molecules to explain the wall temperature, trap concentration, incident HI flux and fluence dependencies of bubble growth

  18. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.; Vajda, F.; Rutigliani, V.; Verdonck, P.; Baklanov, M. R. [IMEC v.z.w., 3001 Leuven (Belgium); Zhang, L.; Heyne, M.; El Otell, Z.; Krishtab, M. [IMEC v.z.w., 3001 Leuven (Belgium); Department of Chemistry, KULeuven, 3001 Leuven (Belgium); Goodyear, A.; Cooke, M. [Oxford Instruments Plasma Technology, BS49 4AP Bristol (United Kingdom)

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition, the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.

  19. Plasma diagnostics discharge parameters and chemistry

    CERN Document Server

    Auciello, Orlando

    1989-01-01

    Plasma Diagnostics, Volume 1: Discharge Parameters and Chemistry covers seven chapters on the important diagnostic techniques for plasmas and details their use in particular applications. The book discusses optical diagnostic techniques for low pressure plasmas and plasma processing; plasma diagnostics for electrical discharge light sources; as well as Langmuir probes. The text also describes the mass spectroscopy of plasmas, microwave diagnostics, paramagnetic resonance diagnostics, and diagnostics in thermal plasma processing. Electrical engineers, nuclear engineers, microwave engineers, che

  20. Process for forming exoergic structures with the use of a plasma

    Science.gov (United States)

    Kelly, M.D.

    1987-05-29

    A method of forming exoergic structures, as well as exoergic structures produced by the method, is provided. The method comprises the steps of passing a plasma-forming gas through a plasma spray gun, forming a plasma spray, introducing exoergic material into the plasma spray and directing the plasma spray toward a substrate, and allowing the exoergic material to become molten in the plasma spray and to thereafter impinge on the substrate to form a solid mass of exoergic material, the shape of which corresponds to the shape of the substrate.

  1. In-liquid Plasma. A stable light source for advanced oxidation processes in environmental remediation

    Science.gov (United States)

    Tsuchida, Akihiro; Shimamura, Takeshi; Sawada, Seiya; Sato, Susumu; Serpone, Nick; Horikoshi, Satoshi

    2018-06-01

    A microwave-inspired device that generates stable in-liquid plasma (LP) in aqueous media and emits narrow light emission lines at 280-320 nm, 660 nm and 780 nm is examined as a light source capable of driving photochemical reactions and advanced oxidation processes in wastewater treatments. The microwave-driven lighting efficiency was improved by decompressing the inside of the reaction vessel, which resulted in lowering the incident power of the microwaves and suppressed the deterioration of the microwave irradiation antenna. This protocol made it possible to generate continuous stable plasma in water. Evaluation of the LP device was carried out by revisiting the decomposition of 1,4-dioxane in aqueous media against the use of such other conventional water treatment processes as (i) UV irradiation alone, (ii) TiO2-assisted photocatalysis with UV irradiation (UV/TiO2), (iii) oxidation with sodium hypochlorite (NaClO), and (iv) UV-assisted decomposition in the presence of NaClO (UV/NaClO). The in-liquid plasma technique proved superior to these four other methods. The influence of pH on the LP protocol was ascertained through experiments in acidified (HCl and H2SO4) and alkaline (NaOH and KOH) aqueous media. Except for H2SO4, decomposition of 1,4-dioxane was enhanced in both acidic and alkaline media.

  2. Data acquisition and real-time signal processing of plasma diagnostics on ASDEX Upgrade using LabVIEW RT

    International Nuclear Information System (INIS)

    Giannone, L.; Cerna, M.; Cole, R.; Fitzek, M.; Kallenbach, A.; Lueddecke, K.; McCarthy, P.J.; Scarabosio, A.; Schneider, W.; Sips, A.C.C.; Treutterer, W.; Vrancic, A.; Wenzel, L.; Yi, H.; Behler, K.; Eich, T.; Eixenberger, H.; Fuchs, J.C.; Haas, G.; Lexa, G.

    2010-01-01

    The existing VxWorks real-time system for the position and shape control in ASDEX Upgrade has been extended to calculate magnetic flux surfaces in real-time using a multi-core PCI Express system running LabVIEW RT 8.6. real-time signal processing of bolometers and manometers is performed with the on-board FPGA to calculate the measured radiated power flux and particle flux respectively from the raw data. Radiation feedback experiments use halo current measurements from the outer divertor with real-time median filter pre-processing to remove the excursions produced by ELMs. Integration of these plasma diagnostics into the control system by the exchange of XML sheets for communicating the real-time variables to be produced and consumed is in operation. Reflective memory and UDP are employed by the LabVIEW RT plasma diagnostics to communicate with the control system and other plasma diagnostics in a multi-platform real-time network.

  3. Data acquisition and real-time signal processing of plasma diagnostics on ASDEX Upgrade using LabVIEW RT

    Energy Technology Data Exchange (ETDEWEB)

    Giannone, L., E-mail: Louis.Giannone@ipp.mpg.d [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Cerna, M. [National Instruments, Austin, TX 78759-3504 (United States); Cole, R.; Fitzek, M. [Unlimited Computer Systems GmbH, 82393 Iffeldorf (Germany); Kallenbach, A. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Lueddecke, K. [Unlimited Computer Systems GmbH, 82393 Iffeldorf (Germany); McCarthy, P.J. [Department of Physics, University College Cork, Association EURATOM-DCU, Cork (Ireland); Scarabosio, A.; Schneider, W.; Sips, A.C.C.; Treutterer, W. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Vrancic, A.; Wenzel, L.; Yi, H. [National Instruments, Austin, TX 78759-3504 (United States); Behler, K.; Eich, T.; Eixenberger, H.; Fuchs, J.C.; Haas, G.; Lexa, G. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany)

    2010-07-15

    The existing VxWorks real-time system for the position and shape control in ASDEX Upgrade has been extended to calculate magnetic flux surfaces in real-time using a multi-core PCI Express system running LabVIEW RT 8.6. real-time signal processing of bolometers and manometers is performed with the on-board FPGA to calculate the measured radiated power flux and particle flux respectively from the raw data. Radiation feedback experiments use halo current measurements from the outer divertor with real-time median filter pre-processing to remove the excursions produced by ELMs. Integration of these plasma diagnostics into the control system by the exchange of XML sheets for communicating the real-time variables to be produced and consumed is in operation. Reflective memory and UDP are employed by the LabVIEW RT plasma diagnostics to communicate with the control system and other plasma diagnostics in a multi-platform real-time network.

  4. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment.; Estudio Preliminar de Proceso de degradacion de residuos biologico-infecciosos en un equipo de plasma termico de 5 kW

    Energy Technology Data Exchange (ETDEWEB)

    Xochihua S M, M C

    1998-12-31

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO{sub 2} if amount of O{sub 2} is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author).

  5. Elaboration of metallic and composite fillings by plasma transferred arc. Process analysis and tribological study

    International Nuclear Information System (INIS)

    Rochette, Philippe

    1987-01-01

    The experimental part of this research thesis addresses the parametric study of two surface filling processes (by plasma transferred arc, and by plasma arc projection followed by a coating remelting by electron beam), the elaboration by plasma transferred arc and the metallurgical characterization of fillings of nickel base alloys and composite materials made of tungsten carbides dispersed in a nickel matrix, and the characterization of fretting wear of the so-elaborated fillings in aqueous environment. The results show that the plasma transferred arc filling technique allows coating quality and microstructure to be controlled by adjusting the mass energy of the transferred arc. Besides, this technique results in a very good control of nickel alloy coatings. The various studied composites show that it is better to use a matrix with very few alloying elements or pre-coated carbides in order to avoid any cracking phenomenon. The content of dispersed carbides must not be greater than 60 per cent in weight. The best wear behaviour is obtained with polyhedral tungsten carbides dispersed within a low alloyed nickel matrix [fr

  6. Plasma flow reactor for steady state monitoring of physical and chemical processes at high temperatures.

    Science.gov (United States)

    Koroglu, Batikan; Mehl, Marco; Armstrong, Michael R; Crowhurst, Jonathan C; Weisz, David G; Zaug, Joseph M; Dai, Zurong; Radousky, Harry B; Chernov, Alex; Ramon, Erick; Stavrou, Elissaios; Knight, Kim; Fabris, Andrea L; Cappelli, Mark A; Rose, Timothy P

    2017-09-01

    We present the development of a steady state plasma flow reactor to investigate gas phase physical and chemical processes that occur at high temperature (1000 flow injector). We have modeled the system using computational fluid dynamics simulations that are bounded by measured temperatures. In situ line-of-sight optical emission and absorption spectroscopy have been used to determine the structures and concentrations of molecules formed during rapid cooling of reactants after they pass through the plasma. Emission spectroscopy also enables us to determine the temperatures at which these dynamic processes occur. A sample collection probe inserted from the open end of the reactor is used to collect condensed materials and analyze them ex situ using electron microscopy. The preliminary results of two separate investigations involving the condensation of metal oxides and chemical kinetics of high-temperature gas reactions are discussed.

  7. Effect of process time on structural and tribological properties of ferritic plasma nitrocarburized AISI 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Karakan, Mehmet; Alsaran, Akguen; Celik, Ayhan

    2004-06-15

    AISI 4140 steel was plasma nitrocarburized at a gas mixture of 49%N{sub 2} + 49%H{sub 2} + 2%CO{sub 2}, for different process times (1, 2, 4, 8 and 12 h), at a temperature of 570 deg. C. The structural, mechanical and tribological properties of nitrocarburized steel were analyzed using a X-ray diffraction, microhardness tester, scanning electron microscopy, optical microscopy and pin-on-disk tribotester. The results have shown that the compound layer was composed of the {epsilon} and {gamma} iron carbonitrides. In addition, the compound layer included pores having a columnar structure. These pores are open to the surface. The nitrocarburizing process increases surface hardness, roughness and friction coefficient. The wear rate improves after plasma nitrocarburizing, and decreases with increasing surface hardness.

  8. Effect of process time on structural and tribological properties of ferritic plasma nitrocarburized AISI 4140 steel

    International Nuclear Information System (INIS)

    Karakan, Mehmet; Alsaran, Akguen; Celik, Ayhan

    2004-01-01

    AISI 4140 steel was plasma nitrocarburized at a gas mixture of 49%N 2 + 49%H 2 + 2%CO 2 , for different process times (1, 2, 4, 8 and 12 h), at a temperature of 570 deg. C. The structural, mechanical and tribological properties of nitrocarburized steel were analyzed using a X-ray diffraction, microhardness tester, scanning electron microscopy, optical microscopy and pin-on-disk tribotester. The results have shown that the compound layer was composed of the ε and γ iron carbonitrides. In addition, the compound layer included pores having a columnar structure. These pores are open to the surface. The nitrocarburizing process increases surface hardness, roughness and friction coefficient. The wear rate improves after plasma nitrocarburizing, and decreases with increasing surface hardness

  9. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  10. The Plasma Hearth Process demonstration project for mixed waste treatment

    International Nuclear Information System (INIS)

    Geimer, R.; Dwight, C.; McClellan, G.

    1994-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development (OTD) Mixed Waste Integrated Program (MWIP). Testing to date has yielded encouraging results in displaying potential applications for the PHP technology. Early tests have shown that a wide range of waste materials can be readily processed in the PHP and converted to a vitreous product. Waste materials can be treated in their original container as received at the treatment facility, without pretreatment. The vitreous product, when cooled, exhibits excellent performance in leach resistance, consistently exceeding the Environmental Protection Agency (EPA) Toxicity Characteristic Leaching Procedure (TCLP) requirements. Performance of the Demonstration System during test operations has been shown to meet emission requirements. An accelerated development phase, being conducted at both bench- and pilot-scale on both nonradioactive and radioactive materials, will confirm the viability of the process. It is anticipated that, as a result of this accelerated technology development and demonstration phase, the PHP will be ready for a final field-level demonstration within three years

  11. Self-organized Te Redistribution during Driven Reconnection Processes in High Temperature Plasmas

    International Nuclear Information System (INIS)

    Park, H.K.; Mazzucato, E.; Luhmann, N.C. Jr.; Domier, C.W.; Xia, Z.; Munsat, T.; Donne, A.J.H.; Classen, I.G.J.; van de Pol, M.J.

    2005-01-01

    Two-dimensional (2-D) images of electron temperature fluctuations with a high temporal and spatial resolution were employed to study the sawtooth oscillation in TEXTOR tokamak plasmas. The new findings are: (1) 2-D images revealed that the reconnection is localized and permitted the determination of the physical dimensions of the reconnection zone in the poloidal and toroidal planes. (2) The combination of a pressure driven mode and a kink instability leads to an 'X-point' reconnection process. (3) Reconnection can take place anywhere along the q∼1 rational magnetic surface (both high and low field sides). (4) Heat flow from the core to the outside of the inversion radius during the reconnection time is highly asymmetric and the behavior is collective. These new findings are compared with the characteristics of various theoretical models and experimental results for the study of the sawtooth oscillation in tokamak plasmas

  12. Synthesis and characterization of carbon coated nanoparticles produced by a continuous low-pressure plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Panchal, Vineet; Neergat, Manoj [Indian Institute of Technology Bombay, Department of Energy Science and Engineering (India); Bhandarkar, Upendra, E-mail: bhandarkar@iitb.ac.in [Indian Institute of Technology Bombay, Department of Mechanical Engineering (India)

    2011-09-15

    Core-shell nanoparticles coated with carbon have been synthesized in a single chamber using a continuous and entirely low-pressure plasma-based process. Nanoparticles are formed in an argon plasma using iron pentacarbonyl Fe(CO){sub 5} as a precursor. These particles are trapped in a pure argon plasma by shutting off the precursor and then coated with carbon by passing acetylene along with argon as the main background gas. Characterization of the particles was carried out using TEM for morphology, XPS for elemental composition and PPMS for magnetic properties. Iron nanoparticles obtained were a mixture of FeO and Fe{sub 3}O{sub 4}. TEM analysis shows an average size of 7-14 nm for uncoated particles and 15-24 nm for coated particles. The effect of the carbon coating on magnetic properties of the nanoparticles is studied in detail.

  13. Study of selective heating at ion cyclotron resonance for the plasma separation process

    Science.gov (United States)

    Compant La Fontaine, A.; Pashkovsky, V. G.

    1995-12-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucléaires de Saclay and Cité Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number kz is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the kz spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge-Kutta method. The influence of ion-ion collisions, inhomogeneity of the static magnetic field B0, and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44Ca heating measurements, made with an energy analyzer.

  14. Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing

    International Nuclear Information System (INIS)

    Lee, Ho-Jun; Kim, Yun-Gi

    2012-01-01

    The characteristics of weakly magnetized inductively coupled plasma (MICP) are investigated using a self-consistent simulation based on the drift–diffusion approximation with anisotropic transport coefficients. MICP is a plasma source utilizing the cavity mode of the low-frequency branch of the right-hand circularly polarized wave. The model system is 700 mm in diameter and has a 250 mm gap between the radio-frequency window and wafer holder. The model chamber size is chosen to verify the applicability of this type of plasma source to the 450 mm wafer process. The effects of electron density distribution and external axial magnetic field on the propagation properties of the plasma wave, including the wavelength modulation and refraction toward the high-density region, are demonstrated. The restricted electron transport and thermal conductivity in the radial direction due to the magnetic field result in small temperature gradient along the field lines and off-axis peak density profile. The calculated impedance seen from the antenna terminal shows that MICP has a resistance component that is two to threefold higher than that of ICP. This property is practically important for large-size, low-pressure plasma sources because high resistance corresponds to high power-transfer efficiency and stable impedance matching characteristics. For the 0.665 Pa argon plasma, MICP shows a radial density uniformity of 6% within 450 mm diameter, which is much better than that of nonmagnetized ICP.

  15. All Plasma Products Are Not Created Equal: Characterizing Differences Between Plasma Products

    Science.gov (United States)

    2015-06-01

    Research and the US Department of Defense. The pooling and solvent detergent treatment processes performed to manufacture the Octaplas and Kedrion products ...All plasma products are not created equal: Characterizing differences between plasma products Philip C. Spinella, MD, Elfaridah Frazier, PhD, Heather... products that may affect efficacy and safety. METHODS: Four different plasma products were analyzed to include fresh frozen plasma (FFP), liquid plasma

  16. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    International Nuclear Information System (INIS)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui

    2016-01-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m 2 were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m 2 for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  17. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui, E-mail: chjang@kaist.ac.kr

    2016-11-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m{sup 2} were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m{sup 2} for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  18. Plasma-wall interaction

    International Nuclear Information System (INIS)

    Reichle, R.

    2004-01-01

    This document gathers the 43 slides presented in the framework of the week long lecture 'hot plasmas 2004' and dedicated to plasma-wall interaction in a tokamak. This document is divided into 4 parts: 1) thermal load on the wall, power extraction and particle recovery, 2) basic edge plasma physics, 3) processes that drive the plasma-solid interaction, and 4) material conditioning (surface treatment...) for ITER

  19. Fundamental processes in the expansion, energization, and coupling of single- and multi-Ion plasmas in space: Laboratory simulation experiments

    Science.gov (United States)

    Szuszczewicz, E. P.; Bateman, T. T.

    1996-01-01

    We have conducted a laboratory investigation into the physics of plasma expansions and their associated energization processes. We studied single- and multi-ion plasma processes in self-expansions, and included light and heavy ions and heavy/light mixtures to encompass the phenomenological regimes of the solar and polar winds and the AMPTE and CRRES chemical release programs. The laboratory experiments provided spatially-distributed time-dependent measurements of total plasma density, temperature, and density fluctuation power spectra with the data confirming the long-theorized electron energization process in an expanding cloud - a result that was impossible to determine in spaceborne experiments (as e.g., in the CRRES program). These results provided the missing link in previous laboratory and spaceborne programs. confirming important elements in our understanding of such solar-terrestrial processes as manifested in expanding plasmas in the solar wind (e.g., CMES) and in ionospheric outflow in plasmaspheric fluctuate refilling after a storm. The energization signatures were seen in an entire series of runs that varied the ion species (Ar', Xe', Kr' and Ne'), and correlative studies included spectral analyses of electrostatic waves collocated with the energized electron distributions. In all cases wave energies were most intense during the times in which the suprathermal populations were present, with wave intensity increasing with the intensity of the suprathermal electron population. This is consistent with theoretical expectations wherein the energization process is directly attributable to wave particle interactions. No resonance conditions were observed, in an overall framework in which the general wave characteristics were broadband with power decreasing with increasing frequency.

  20. Surface modification by electrolytic plasma processing for high Nb-TiAl alloys

    Science.gov (United States)

    Gui, Wanyuan; Hao, Guojian; Liang, Yongfeng; Li, Feng; Liu, Xiao; Lin, Junpin

    2016-12-01

    Metal surface modification by electrolytic plasma processing (EPP) is an innovative treatment widely commonly applied to material processing and pretreatment process of coating and galvanization. EPP involves complex processes and a great deal of parameters, such as preset voltage, current, solution temperature and processing time. Several characterization methods are presented in this paper for evaluating the micro-structure surfaces of Ti45Al8Nb alloys: SEM, EDS, XRD and 3D topography. The results showed that the oxide scale and other contaminants on the surface of Ti45Al8Nb alloys can be effectively removed via EPP. The typical micro-crater structure of the surface of Ti45Al8Nb alloys were observed by 3D topography after EPP to find that the mean diameter of the surface structure and roughness value can be effectively controlled by altering the processing parameters. The mechanical properties of the surface according to nanomechanical probe testing exhibited slight decrease in microhardness and elastic modulus after EPP, but a dramatic increase in surface roughness, which is beneficial for further processing or coating.

  1. JSPS-NRF-NSFC A3 foresight program seminar. Proceedings of Japan-China-Korea joint seminar on atomic and molecular processes in plasma

    International Nuclear Information System (INIS)

    Kato, Daiji; Ding Xiaobin; Dong Chenzhong

    2016-02-01

    As one of the activities of JSPS-NRF-NSFC A3 Foresight Program in the field of Plasma Physics 'Study on Critical Physics Issues Specific to Steady State Sustainment of High-Performance Plasmas', Japan-China-Korea Joint Seminar on Atomic and Molecular Processes in Plasma (AMPP2015) was held on July 28 - August 1, 2014, in Lanzhou, China. The total number of the officially registered participants was 50. This seminar is the extension of the last four seminars that were held in 2004 in Lanzhou, in 2007 in Dunhuang, in 2009 in Xi'an, and in 2012 in Lanzhou. In the nuclear fusion plasma, there are quite a variety of atomic processes such as ionization, excitation, di-electronic recombination, collisional electron transfer, cascade radiation, and cascade Auger decay over the wide range of plasma temperature. The knowledge of those processes is indispensable for the evaluation and improvement of the plasma properties. Because of the diversity of the subjects, it is desirable to investigate them by international collaboration groups. This seminar is held to discuss achievement during the past two years and issues for the future prospect. This issue is the collection of 16 papers presented at the entitled meeting. All the 16 presented papers are indexed individually. (J.P.N.)

  2. JSPS-CAS Core University Program seminar. Proceedings of Japan-China joint seminar on atomic and molecular processes in plasma

    International Nuclear Information System (INIS)

    Koike, Fumihiro; Dong Chenzhong

    2010-02-01

    As one of the activities of JSPS-CAS Core University Program, Japan-China Joint Seminar on Atomic and Molecular Processes in Plasma was held on October 26 - 31, 2009 in Xi'an, China. The total number of the officially registered participants was 54, in which 18 from Japan, 35 from China, and 1 from USA. And this seminar is an extension of the last two seminars that were held on March 6 - 11, 2004 in Lanzhou, China, and on October 6 - 12, 2007 in Dunhuang, China. In the nuclear fusion plasma, there are quite a variety of atomic processes such as ionization, excitation, radiative recombination, non-radiative recombination (di-electronic recombination, collisional electron transfer), cascade radiation, and cascade Auger decay over the wide range of plasma temperature. The knowledge of those processes is indispensable for the evaluation and improvement of the plasma properties. Because of the diversity of the subject, it is desirable to investigate them by international collaboration groups. The present seminar may contribute to realize the above stated aim; especially it has given an opportunity for the collaborative workers to illustrate their achievements. This seminar summarizes the collaborative researches for the last decade and propose the issues for the future prospect. The 30 of the presented papers are indexed individually. (J.P.N.)

  3. High beta plasma operation in a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Clarke, J.F.

    1978-01-01

    A high beta plasma is produced in a plasma producing device of toroidal configuration by ohmic heating and auxiliary heating. The plasma pressure is continuously monitored and used in a control system to program the current in the poloidal field windings. Throughout the heating process, magnetic flux is conserved inside the plasma and the distortion of the flux surfaces drives a current in the plasma. As a consequence, the total current increases and the poloidal field windings are driven with an equal and opposing increasing current. The spatial distribution of the current in the poloidal field windings is determined by the plasma pressure. Plasma equilibrium is maintained thereby, and high temperature, high beta operation results

  4. Suitability of tunneling ionization produced plasmas for the plasma beat wave accelerator

    International Nuclear Information System (INIS)

    Leeman, W.P.; Clayton, C.E.; Marsh, K.A.; Dyson, A.; Joshi, C.

    1991-01-01

    Tunneling ionization can be thought of as the high intensity, low frequency limit of multi-photon ionization (MPI). Extremely uniform plasmas were produced by the latter process at Rutherford lab for beat wave excitation experiments using a 0.5 μm laser. Plasmas with 100% ionization were produced with densities exceeding 10 17 cm -3 . The experiment uses a CO 2 laser (I max ∼ 5 x 10 14 W/cm 2 ) which allows the formation of plasmas via the tunneling process. For the experiments the authors need plasmas with densities in the range of 5 to 10 x 10 16 cm -3 . Using Thomson scattering as a diagnostic they have explored the density and temperature regime of tunneling ionization produced plasmas. They find that plasmas with densities up to 10 16 cm -3 can indeed be produced and that these plasmas are hot. Beyond this density strong refraction of laser radiation occurs due to the radial profile of the plasma. Implications of this work to the Beat Wave Accelerator program will be discussed

  5. Decomposition mechanism of trichloroethylene based on by-product distribution in the hybrid barrier discharge plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Han, Sang-Bo [Industry Applications Research Laboratory, Korea Electrotechnology Research Institute, Changwon, Kyeongnam (Korea, Republic of); Oda, Tetsuji [Department of Electrical Engineering, The University of Tokyo, Tokyo 113-8656 (Japan)

    2007-05-15

    The hybrid barrier discharge plasma process combined with ozone decomposition catalysts was studied experimentally for decomposing dilute trichloroethylene (TCE). Based on the fundamental experiment for catalytic activities on ozone decomposition, MnO{sub 2} was selected for application in the main experiments for its higher catalytic abilities than other metal oxides. A lower initial TCE concentration existed in the working gas; the larger ozone concentration was generated from the barrier discharge plasma treatment. Near complete decomposition of dichloro-acetylchloride (DCAC) into Cl{sub 2} and CO{sub x} was observed for an initial TCE concentration of less than 250 ppm. C=C {pi} bond cleavage in TCE gave a carbon single bond of DCAC through oxidation reaction during the barrier discharge plasma treatment. Those DCAC were easily broken in the subsequent catalytic reaction. While changing oxygen concentration in working gas, oxygen radicals in the plasma space strongly reacted with precursors of DCAC compared with those of trichloro-acetaldehyde. A chlorine radical chain reaction is considered as a plausible decomposition mechanism in the barrier discharge plasma treatment. The potential energy of oxygen radicals at the surface of the catalyst is considered as an important factor in causing reactive chemical reactions.

  6. Process Performances of 2 ns Pulsed Discharge Plasma

    Science.gov (United States)

    Matsumoto, Takao; Wang, Douyan; Namihira, Takao; Akiyama, Hidenori

    2011-08-01

    Pulsed discharge plasmas have been used to treat exhaust gases. Since pulse duration and the rise time of applied voltage to the discharge electrode has a strong influence on the energy efficiency of pollutant removal, the development of a short-pulse generator is of paramount importance for practical applications. In this work, it is demonstrated that the non thermal plasma produced by the 2 ns pulsed discharge has a higher energy efficiency than the 5 ns pulsed discharge plasma for NO removal and ozone generation. Typically, the NO removal efficiency was 1.0 mol kW-1 h-1 for 70% NO removal (initial NO concentration = 200 ppm, gas flow = 10 L/min). Meanwhile, the ozone yield was 500 g kW-1 h-1 for 20 g/m3 ozone concentration in the case of oxygen feeding. These energy efficiencies are the highest in the literature.

  7. Frontier of plasma physics. 'Research network on non-equilibrium and extreme state plasmas'

    International Nuclear Information System (INIS)

    Itoh, Sanae-I.; Fujisawa, Akihide; Kodama, Ryosuke; Sato, Motoyasu; Tanaka, Kazuo A.; Hatakeyama, Rikizo; Itoh, Kimitaka

    2011-01-01

    Plasma physics and fusion science have been applied to a wide variety of plasmas such as nuclear fusion plasmas, high-energy-density plasmas, processing plasmas and nanobio- plasmas. They are pioneering science and technology frontiers such as new energy sources and new functional materials. A large project 'research network on non-equilibrium and extreme state plasmas' is being proposed to reassess individual plasma researches from a common view of the non-equilibrium extreme plasma and to promote collaboration among plasma researchers all over the country. In the present review, recent collaborative works related to this project are being introduced. (T.I.)

  8. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  9. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  10. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  11. Role of process conditions on the microstructure, stoichiometry and functional performance of atmospheric plasma sprayed La(Sr)MnO3 coatings

    Science.gov (United States)

    Han, Su Jung; Chen, Yikai; Sampath, Sanjay

    2014-08-01

    Strontium doped lanthanum manganite (LSM) perovskite coatings were produced via atmospheric plasma spray technique to examine their applicability as electrically conductive coatings to protect chromium-poisoning of cathode side metallic interconnects in solid oxide fuel cells. Various plasma spray process conditions were manipulated including plasma power, total gas flow and content of H2 in the plasma gas in order to understand their effects on coating properties as well as efficacy as a protectant against Cr-poisoning. In-flight temperatures and velocities of spray particles were monitored for the various plasma spray conditions enabling assessment of thermal and kinetic energies of LSM particles. As anticipated, coating density improves with increasing thermal and/or kinetic energies of the LSM particles. However, the LSM particles also experienced significant phase decomposition at higher thermal exposure and longer residence time conditions. Due to preferential loss of oxygen and manganese, La2O3 phase is also formed under certain processing regimes. The resultant mixed-phase coating is ineffective both from electrical transport and as a protective coating for the metallic interconnect. Concomitantly, coatings with limited decomposition show excellent conductivity and protection characteristics demonstrating the need for mechanism driven process optimization for these functional oxide coatings.

  12. Are Plasma Oxytocin and Vasopressin Levels Reflective of Amygdala Activation during the Processing of Negative Emotions? A Preliminary Study.

    Science.gov (United States)

    Motoki, Kosuke; Sugiura, Motoaki; Takeuchi, Hikaru; Kotozaki, Yuka; Nakagawa, Seishu; Yokoyama, Ryoichi; Kawashima, Ryuta

    2016-01-01

    Plasma oxytocin (OT) and arginine vasopressin (AVP) are associated with individual differences in emotional responses and behaviors. The amygdala is considered to be an important brain region for regulating emotion-based behavior, with OT and AVP modulating activity in the amygdala during the processing of negative emotions. In particular, increased OT levels may diminish amygdala activation (anxiolytic effects) and enhanced AVP levels may augment amygdala activation (anxiogenic effects) when negative emotions are processed. A growing body of research has shown that the effects of OT and AVP are modulated by sex: the aforementioned anxiolytic effects of OT and the anxiogenic effects of AVP occur in men, but not in women. However, we have little knowledge regarding the biological mechanisms underlying OT and AVP plasma levels or their respective anxiogenic and anxiolytic effects; similarly, little is known about the causes and nature of sex differences related to these neuropeptides and their effects on emotional processing. In the current study, we focused on the neural functions associated with the biological mechanisms underlying such effects. We hypothesized that amygdala activation would correlate with trait plasma OT (anxiolytic effects) and AVP (anxiogenic effects) levels because the amygdala is thought to affect the coordinated release of these neuropeptides following affective experiences. We further hypothesized that the effects would be modulated by sex. We assessed 51 participants (male and female) using a paradigm involving negative emotion in conjunction with functional magnetic resonance imaging and measurements of plasma OT and AVP levels. We determined that increased plasma AVP levels were positively associated with amygdala activation (anxiogenic effects) in men, but not in women. These findings highlight the potential underlying neural mechanisms of plasma AVP levels in men.

  13. Are plasma oxytocin and vasopressin levels reflective of amygdala activation during the processing of negative emotions? A preliminary study

    Directory of Open Access Journals (Sweden)

    Kosuke eMotoki

    2016-04-01

    Full Text Available Plasma oxytocin (OT and arginine vasopressin (AVP are associated with individual differences in emotional responses and behaviors. The amygdala is considered to be an important brain region for regulating emotion-based behavior, with OT and AVP modulating activity in the amygdala during the processing of negative emotions. In particular, increased OT levels may diminish amygdala activation (anxiolytic effects and enhanced AVP levels may augment amygdala activation (anxiogenic effects when negative emotions are processed. A growing body of research has shown that the effects of OT and AVP are modulated by sex: the aforementioned anxiolytic effects of OT and the anxiogenic effects of AVP occur in men, but not in women. However, we have little knowledge regarding the biological mechanisms underlying OT and AVP plasma levels or their respective anxiogenic and anxiolytic effects; similarly, little is known about the causes and nature of sex differences related to these neuropeptides and their effects on emotional processing. In the current study, we focused on the neural functions associated with the biological mechanisms underlying such effects. We hypothesized that amygdala activation would correlate with plasma OT (anxiolytic effects and AVP (anxiogenic effects levels because the amygdala is thought to affect the coordinated release of these neuropeptides following affective experiences. We further hypothesized that the effects would be modulated by sex. We assessed 51 participants (male and female using a paradigm involving negative emotion in conjunction with functional magnetic resonance imaging and measurements of plasma OT and AVP levels. We determined that increased plasma AVP levels were positively associated with amygdala activation (anxiogenic effects in men, but not in women. These findings highlight the potential underlying neural mechanisms of plasma AVP levels in men.

  14. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  15. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  16. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.A.; Cowgill, D.F.; Snead, L.L.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1--5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits

  17. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.W.; Cowgill, D.F.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1-5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits. (orig.)

  18. Electrical Processes in a Flowing Plasma with Cold Electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Distefano, E.; Fraidenraich, N. [Facultad de Ciencias Fisicas y Matematicas, University of Chile, Santiago (Chile)

    1968-11-15

    The voltage-current characteristics of a flowing plasma between two electrodes is of interest for MHD power generation because of the high voltage drop necessary to make a current flow through the cool boundary layer of the plasma, lowering the efficiency of the MHD generator when the duct walls are cooled. The V-I characteristics are obtained for a combustion driven shock-tube generated plasma, and the voltage distribution is measured by probes inserted across the plasma. The gas used is argon and the plasma parameters are: T = 9000 Degree-Sign K, p = 130 mmHg, u = 2500 m/sec, n{sub e} = 1.60 x 10{sup 15} cm{sup -3}. The probe technique has allowed experimental confirmation of the high voltage drop obtained in the vicinity of the cathode. A theoretical model has been set up in order to explain the main features of this phenomenon. The model considers the voltage drop along the following regions: the turbulent boundary layer and the viscous sublayer. The structure of the first two regions are taken into account according to the Coles transformation theory. The model considers three fluids, ions, electrons and neutrals: the mass and momentum particle conservation together with the Poisson equation and continuity of electric current allows us to set up a system of four differential equations with four unknowns. Pair production is taken into account in order to explain the necessary change over from electron current in the main body of the plasma to the predominantly ionic current in the neighbourhood of the cathode wall. Numerical computation of the system of equations has been done and the main features of the experimental results are explained. (author)

  19. Preliminary criticality study supporting transuranic waste acceptance into the plasma hearth process

    International Nuclear Information System (INIS)

    Slate, L.J.; Santee, G.E. Jr.

    1996-01-01

    This study documents preliminary scoping calculations to address criticality issues associated with the processing of transuranic (TRU) waste and TRU mixed waste in the Plasma Hearth Process (PHP) Test Project. To assess the criticality potential associated with processing TRU waste, the process flow in the PHP is evaluated to identify the stages where criticality could occur. A criticality analysis methodology is then formulated to analyze the criticality potential. Based on these analyses, TRU acceptance criteria can be defined for the PHP. For the current level of analysis, the methodology only assesses the physical system as designed and does not address issues associated with the criticality double contingency principle. The analyses suggest that criticality within the PHP system and within the planned treatment residue (stag) containers does not pose a criticality hazard even when processing waste feed drums containing a quantity of TRU greater than would be reasonably expected. The analyses also indicate that the quantity of TRU that can be processed during each batch is controlled by moving and storage conditions for the resulting slag collection drums

  20. Study of selective heating at ion cyclotron resonance for the plasma separation process

    International Nuclear Information System (INIS)

    Compant La Fontaine, A.; Pashkovsky, V.G.

    1995-01-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucleaires de Saclay and Cite Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number k z is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the k z spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge--Kutta method. The influence of ion--ion collisions, inhomogeneity of the static magnetic field B 0 , and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44 Ca heating measurements, made with an energy analyzer. copyright 1995 American Institute of Physics