WorldWideScience

Sample records for plasma source ion

  1. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  2. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  3. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  4. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  5. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  6. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  7. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  8. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  9. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  10. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  11. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  12. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  13. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  14. Double-layer ion acceleration triggered by ion magnetization in expanding radiofrequency plasma sources

    International Nuclear Information System (INIS)

    Takahashi, Kazunori; Charles, Christine; Boswell, Rod W.; Fujiwara, Tamiya

    2010-01-01

    Ion energy distribution functions downstream of the source exit in magnetically expanding low-pressure plasmas are experimentally investigated for four source tube diameters ranging from about 5 to 15 cm. The magnetic-field threshold corresponding to a transition from a simple expanding plasma to a double layer-containing plasma is observed to increase with a decrease in the source tube diameter. The results demonstrate that for the four geometries, the double layer and the accelerated ion beam form when the ion Larmour radius in the source becomes smaller than the source tube radius, i.e., when the ions become magnetized in the source tube.

  15. Plasma focus as an heavy ion source in the problem of heavy ion fusion

    International Nuclear Information System (INIS)

    Gribkov, V.A.; Dubrovskij, A.V.; Kalachev, N.V.; Krokhin, O.N.; Silin, P.V.; Nikulin, V.Ya.; Cheblukov, Yu.N.

    1984-01-01

    Results of experiments on the ion flux formation in a plasma focus (PF) to develop a multicharged ion source for thermonuclear facility driver are presented. In plasma focus accelerating section copper ions were injected. Advantages of the suggested method of ion beam formation are demonstrated. Beam emittance equalling < 0.1 cmxmrad is obtained. Plasma focus ion energy exceeds 1 MeV. Plasma focus in combination with a neodymium laser is thought to be a perspective ion source for heavy ion fusion

  16. The ionization length in plasmas with finite temperature ion sources

    Science.gov (United States)

    Jelić, N.; Kos, L.; Tskhakaya, D. D.; Duhovnik, J.

    2009-12-01

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as "cold ion-source" plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H&T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by "cold" ion temperature. This scenario is also known as the "singular" ion-source discharge. The H&T analytic result covers cases of ion sources proportional to exp(βΦ) with Φ the normalized plasma potential and β =0,1,2 values, which correspond to particular physical scenarios. Many years following H&T's work, Bissell and Johnson (B&J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called "warm" ion-source temperature, i.e., "regular" ion source, under B&J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B&J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H&T's results obtained for a single point only with ion source temperature Tn=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].

  17. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  18. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  19. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  20. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  1. Pulsed, Inductively Generated, Streaming Plasma Ion Source for Heavy Ion Fusion Linacs

    International Nuclear Information System (INIS)

    Steven C. Glidden; Howard D Sanders; John B. Greenly; Daniel L. Dongwoo

    2006-01-01

    This report describes a compact, high current density, pulsed ion source, based on electrodeless, inductively driven gas breakdown, developed to meet the requirements on normalized emittance, current density, uniformity and pulse duration for an ion injector in a heavy-ion fusion driver. The plasma source produces >10 (micro)s pulse of Argon plasma with ion current densities >100 mA/cm2 at 30 cm from the source and with strongly axially directed ion energy of about 80 eV, and sub-eV transverse temperature. The source has good reproducibility and spatial uniformity. Control of the current density during the pulse has been demonstrated with a novel modulator coil method which allows attenuation of the ion current density without significantly affecting the beam quality. This project was carried out in two phases. Phase 1 used source configurations adapted from light ion sources to demonstrate the feasibility of the concept. In Phase 2 the performance of the source was enhanced and quantified in greater detail, a modulator for controlling the pulse shape was developed, and experiments were conducted with the ions accelerated to >40 kV

  2. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  3. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  4. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  5. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  6. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  7. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  8. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  9. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  10. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  11. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  12. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  13. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  14. Spectroscopic measurements of anode plasma with cryogenic pulsed ion sources

    International Nuclear Information System (INIS)

    Yoneda, H.; Urata, T.; Ohbayashi, K.; Kim, Y.; Horioka, K.; Kasuya, K.

    1987-01-01

    In ion beam diodes, electromagnetic wave is coupled to ion beam. Ion is extracted from anode plasma, which is produced early in the power pulse. However, exact mechanism of anode plasma production, expansion and ion extraction process is unknown. In particularly, anode plasma expansion is seemed to be one of the reasons of rapid impedance collapse of the diode, which is serious problem in high power experiments. Some experimental results showed that anode plasma expansion velocity was about 5 times larger than that inferred from simple thermal velocity. Several explanations for these results were proposed; for example, electron collisionarity in anode plasma, fast neutral gas particle, diamagnetism. To solve this question, it is necessary to measure the characteristic of anode plasma with space and time resolution. The authors made spectroscopic measurements to investigate variety of electron temperature, electron density, expansion velocity of anode plasma with various ion sources

  15. Honeycomb surface-plasma negative-ion source

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.

    1983-01-01

    A honeycomb surface-plasma source (SPS) of negative hydrogen ions the cathode of which consists of a great number of cells with spherical-concave surfaces, is described. Negative ions, knocked off the cathode by cesium-hydrogen discharge fast particles are accelerated in the near-cathode potential drop layer and focused geometrically on small emission apertures in the anode. Due to this, the gas and energy efficiency of the source is increased and the power density on the cathode is decreased. The H - yield is proportional to the number of celts. A pulse beam of negative ions with current up to 4 A is obtained and accelerated to 25 kV from the cathode effective area of 10.6 cm 2 through emission ports of 0.5 cm 2 total area. The honeycomb SPSs with a greater number of cells are promising as regards obtaining negative ion-beams with the current of scores of amperes

  16. Confinement of laser plasma by solenoidal field for laser ion source

    International Nuclear Information System (INIS)

    Okamura, M.; Kanesue, T.; Kondo, K.; Dabrowski, R.

    2010-01-01

    A laser ion source can provide high current, highly charged ions with a simple structure. However, it was not easy to control the ion pulse width. To provide a longer ion beam pulse, the plasma drift length, which is the distance between laser target and extraction point, has to be extended and as a result the plasma is diluted severely. Previously, we applied a solenoid field to prevent reduction of ion density at the extraction point. Although a current enhancement by a solenoid field was observed, plasma behavior after a solenoid magnet was unclear because plasma behavior can be different from usual ion beam dynamics. We measured a transverse ion distribution along the beam axis to understand plasma motion in the presence of a solenoid field.

  17. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  18. Characterization of the plasma-switch interaction in the LBL HIF ion source

    International Nuclear Information System (INIS)

    Hewett, D.W.; Rutkowski, H.L.

    1990-01-01

    A new way to characterize the performance of the LBL HIF ion source has been found. In the LBL source, ions are drawn from an arc-generated plasma reservoir in which the electrons are confined by a negative-biased ''switch'' mesh. Stagnation of the plasma is prevented by absorption of the excess ion flow on this mesh. The ion beam is generated by an external negative voltage that provides Child-Langmuir extraction of the ions through the switch mesh. We elucidate the physics requirements of the source and deduce switch mesh parameters needed for successful operation. 2 refs., 2 figs

  19. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  20. Surface negative ion production in ion sources

    International Nuclear Information System (INIS)

    Belchenko, Y.

    1993-01-01

    Negative ion sources and the mechanisms for negative ion production are reviewed. Several classes of sources with surface origin of negative ions are examined in detail: surface-plasma sources where ion production occurs on the electrode in contact with the plasma, and ''pure surface'' sources where ion production occurs due to conversion or desorption processes. Negative ion production by backscattering, impact desorption, and electron- and photo-stimulated desorption are discussed. The experimental efficiencies of intense surface negative ion production realized on electrodes contacted with hydrogen-cesium or pure hydrogen gas-discharge plasma are compared. Recent modifications of surface-plasma sources developed for accelerator and fusion applications are reviewed in detail

  1. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  2. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  3. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  4. Development of intense pulsed heavy ion beam diode using gas puff plasma gun as ion source

    International Nuclear Information System (INIS)

    Ito, H.; Higashiyama, M.; Takata, S.; Kitamura, I.; Masugata, K.

    2006-01-01

    A magnetically insulated ion diode with an active ion source of a gas puff plasma gun has been developed in order to generate a high-intensity pulsed heavy ion beam for the implantation process of semiconductors and the surface modification of materials. The nitrogen plasma produced by the plasma gun is injected into the acceleration gap of the diode with the external magnetic field system. The ion diode is operated at diode voltage approx. =200 kV, diode current approx. =2 kA and pulse duration approx. =150 ns. A new acceleration gap configuration for focusing ion beam has been designed in order to enhance the ion current density. The experimental results show that the ion current density is enhanced by a factor of 2 and the ion beam has the ion current density of 27 A/cm 2 . In addition, the coaxial type Marx generator with voltage 200 kV and current 15 kA has been developed and installed in the focus type ion diode. The ion beam of ion current density approx. =54 A/cm 2 is obtained. To produce metallic ion beams, an ion source by aluminum wire discharge has been developed and the aluminum plasma of ion current density ∼70 A/cm 2 is measured. (author)

  5. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  6. Experimental facility for determining plasma characteristics in ion sources

    International Nuclear Information System (INIS)

    Abroyan, M.A.; Kagan, Yu.M.; Kolokolov, N.B.; Lavrov, B.P.

    A facility for optical and electrical measurements of the plasma parameters in the arc plasma ion sources is described. The potentialities of the system are demonstrated on the basis of the electron concentration, the electron energy distribution function, and the radial population distribution of the excited states of hydrogen atoms in the arc plasma of the duoplasmatron. (U.S.)

  7. Efficient cesiation in RF driven surface plasma negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Belchenko, Yu.; Ivanov, A.; Konstantinov, S.; Sanin, A., E-mail: sanin@inp.nsk.su; Sotnikov, O. [Budker Institute of Nuclear Physics, Siberian Branch of Russian Academy of Sciences, Novosibirsk (Russian Federation)

    2016-02-15

    Experiments on hydrogen negative ions production in the large radio-frequency negative ion source with cesium seed are described. The system of directed cesium deposition to the plasma grid periphery was used. The small cesium seed (∼0.5 G) provides an enhanced H{sup −} production during a 2 month long experimental cycle. The gradual increase of negative ion yield during the long-term source runs was observed after cesium addition to the source. The degraded H{sup −} production was recorded after air filling to the source or after the cesium washing away from the driver and plasma chamber walls. The following source conditioning by beam shots produces the gradual recovery of H{sup −} yield to the high value. The effect of H{sup −} yield recovery after cesium coverage passivation by air fill was studied. The concept of cesium coverage replenishment and of H{sup −} yield recovery due to sputtering of cesium from the deteriorated layers is discussed.

  8. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  9. Negative ion beam formation using thermal contact ionization type plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Fukuura, Yoshiyuki; Murakami, Kazutugu; Masuoka, Toshio; Katsumata, Itsuo [Osaka City Univ. (Japan). Faculty of Engineering

    1997-02-01

    The small ion sources utilizing thermal ionization have been already developed, and at present, in order to increase ion yield, that being developed to the cylindrical plasma prototype having the inner surface of a Re foil cylinder as the ionization surface, and stably functioning at 3,000 K has been developed, and by using this plasma source, the research on the formation of various ions has been carried out. At present, the research on the formation of Li negative ion beam is carried out. The separation of negative ions from electrons is performed with the locally limited magnetic field using a small iron core electromagnet placed behind the electrostatic accelerating lens system. So for, the formation of about 2 {mu}A at maximum of negative ions was confirmed. It was decided to identify the kinds of ions by time of flight (TOF) process, and the various improvements for this purpose were carried out. The experimental setup, the structure of the plasma source, the circuits for TOF measurement and so on are explained. The experimental results are reported. The problems are the possibility of the formation of alkali metals, the resolution of the time axis of the TOF system and so on. (K.I.)

  10. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  11. A linear ion optics model for extraction from a plasma ion source

    International Nuclear Information System (INIS)

    Dietrich, J.

    1987-01-01

    A linear ion optics model for ion extraction from a plasma ion source is presented, based on the paraxial equations which account for lens effects, space charge and finite source ion temperature. This model is applied to three- and four-electrode extraction systems with circular apertures. The results are compared with experimental data and numerical calculations in the literature. It is shown that the improved calculations of space charge effects and lens effects allow better agreement to be obtained than in earlier linear optics models. A principal result is that the model presented here describes the dependence of the optimum perveance on the aspect ratio in a manner similar to the nonlinear optics theory. (orig.)

  12. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  13. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  14. Plasma and Ion Sources in Large Area Coatings: A Review

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2005-02-28

    Efficient deposition of high-quality coatings often requires controlled application of excited or ionized particles. These particles are either condensing (film-forming) or assisting by providing energy and momentum to the film growth process, resulting in densification, sputtering/etching, modification of stress, roughness, texture, etc. In this review, the technical means are surveyed enabling large area application of ions and plasmas, with ion energies ranging from a few eV to a few keV. Both semiconductortype large area (single wafer or batch processing with {approx} 1000 cm{sup 2}) and in-line web and glass-coating-type large area (> 10{sup 7} m{sup 2} annually) are considered. Characteristics and differences between plasma and ion sources are explained. The latter include gridded and gridless sources. Many examples are given, including sources based on DC, RF, and microwave discharges, some with special geometries like hollow cathodes and E x B configurations.

  15. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  16. Plasma shape control by pulsed solenoid on laser ion source

    International Nuclear Information System (INIS)

    Sekine, M.; Ikeda, S.; Romanelli, M.; Kumaki, M.; Fuwa, Y.; Kanesue, T.; Hayashizaki, N.; Lambiase, R.; Okamura, M.

    2015-01-01

    A Laser ion source (LIS) provides high current heavy ion beams with a very simple mechanical structure. Plasma is produced by a pulsed laser ablation of a solid state target and ions are extracted by an electric field. However, it was difficult to manipulate the beam parameters of a LIS, since the plasma condition could only be adjusted by the laser irradiation condition. To enhance flexibility of LIS operation, we employed a pulsed solenoid in the plasma drift section and investigated the effect of the solenoid field on singly charged iron beams. The experimentally obtained current profile was satisfactorily controlled by the pulsed magnetic field. This approach may also be useful to reduce beam emittance of a LIS

  17. Plasma shape control by pulsed solenoid on laser ion source

    Science.gov (United States)

    Sekine, M.; Ikeda, S.; Romanelli, M.; Kumaki, M.; Fuwa, Y.; Kanesue, T.; Hayashizaki, N.; Lambiase, R.; Okamura, M.

    2015-09-01

    A Laser ion source (LIS) provides high current heavy ion beams with a very simple mechanical structure. Plasma is produced by a pulsed laser ablation of a solid state target and ions are extracted by an electric field. However, it was difficult to manipulate the beam parameters of a LIS, since the plasma condition could only be adjusted by the laser irradiation condition. To enhance flexibility of LIS operation, we employed a pulsed solenoid in the plasma drift section and investigated the effect of the solenoid field on singly charged iron beams. The experimentally obtained current profile was satisfactorily controlled by the pulsed magnetic field. This approach may also be useful to reduce beam emittance of a LIS.

  18. Plasma shape control by pulsed solenoid on laser ion source

    Energy Technology Data Exchange (ETDEWEB)

    Sekine, M. [Tokyo Institute of Technology, Meguro-ku, Tokyo 2-12-1 (Japan); RIKEN, Wako, Saitama 351-0198 (Japan); Ikeda, S. [Tokyo Institute of Technology, Yokohama, Kanagawa 226-8502 (Japan); RIKEN, Wako, Saitama 351-0198 (Japan); Romanelli, M. [Cornell University, Ithaca, NY 14850 (United States); Kumaki, M. [RIKEN, Wako, Saitama 351-0198 (Japan); Waseda University, Shinjuku, Tokyo 169-0072 (Japan); Fuwa, Y. [RIKEN, Wako, Saitama 351-0198 (Japan); Kyoto University, Uji, Kyoto 611-0011 (Japan); Kanesue, T. [Brookhaven National Laboratory, Upton, NY 11973 (United States); Hayashizaki, N. [Tokyo Institute of Technology, Meguro-ku, Tokyo 2-12-1 (Japan); Lambiase, R. [Brookhaven National Laboratory, Upton, NY 11973 (United States); Okamura, M. [RIKEN, Wako, Saitama 351-0198 (Japan); Brookhaven National Laboratory, Upton, NY 11973 (United States)

    2015-09-21

    A Laser ion source (LIS) provides high current heavy ion beams with a very simple mechanical structure. Plasma is produced by a pulsed laser ablation of a solid state target and ions are extracted by an electric field. However, it was difficult to manipulate the beam parameters of a LIS, since the plasma condition could only be adjusted by the laser irradiation condition. To enhance flexibility of LIS operation, we employed a pulsed solenoid in the plasma drift section and investigated the effect of the solenoid field on singly charged iron beams. The experimentally obtained current profile was satisfactorily controlled by the pulsed magnetic field. This approach may also be useful to reduce beam emittance of a LIS.

  19. New high temperature plasma ion source for the TRISTAN ISOL facility

    International Nuclear Information System (INIS)

    Piotrowski, A.; Gill, R.L.; McDonald, D.C.

    1986-08-01

    A vigorous program of ion source development at TRISTAN has led to several types of ion sources that are especially suited to extended operation at a reactor-based ISOL facility. The latest of these is a high temperature plasma ion source in which a 5 gm 235 U target is located in the cathode and can be heated to 2500 0 C. The ion source has a lifetime of >1000 hours and produces a wide array of elements, including Pd. Off-line investigations indicate that the source functions primarily in an electron impact mode of ionization and exhibits typical ionzation efficiencies of >30% for Xe

  20. Development of zeolite ion source for beam probe measurements of high temperature plasma

    International Nuclear Information System (INIS)

    Ohshima, Shinsuke; Fujisawa, Akihide; Shimizu, Akihiro; Nakano, Haruhisa

    2005-10-01

    A zeolite ion source has been developed for plasma diagnostics. Extracted beam current is increased by a factor of ∼10 after redesigning the ion source structure and improving the method to make emitter material (zeolite). The paper introduces an experiment on making desirable ion emitter, together with properties of the newly developed ion source. (author)

  1. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  2. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  3. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  4. Development of a 1-m plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-05-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ˜0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ˜10 -6 Torr with plasma densities of 10 11 cm -3. Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (˜1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ˜10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed.

  5. Development of a 1-m plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-01-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ∼0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ∼10 -6 Torr with plasma densities of 10 11 cm -3 . Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (∼1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ∼10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed

  6. Characterization of an ion beam produced by extraction and acceleration of ions from a wire plasma source

    International Nuclear Information System (INIS)

    Gueroult, R.

    2011-09-01

    In this study we first model a DC low pressure wire plasma source and then characterize the properties of an ion gun derived from the plasma source. In order to study the properties of the derived ion gun, we develop a particle-in-cell code fitted to the modelling of the wire plasma source operation, and validate it by confrontation with the results of an experimental study. In light of the simulation results, an analysis of the wire discharge in terms of a collisional Child-Langmuir ion flow in cylindrical geometry is proposed. We interpret the mode transition as a natural reorganization of the discharge when the current is increased above a threshold value which is a function of the discharge voltage, the pressure and the inter-electrodes distance. In addition, the analysis of the energy distribution function of ions impacting the cathode demonstrates the ability to extract an ion beam of low energy spread around the discharge voltage assuming that the discharge is operated in its high pressure mode. An ion source prototype allowing the extraction and acceleration of ions from the wire source is then proposed. The experimental study of such a device confirms that, apart from a shift corresponding to the accelerating voltage, the acceleration scheme does not spread the ion velocity distribution function along the axis of the beam. It is therefore possible to produce tunable energy (0 - 5 keV) ion beams of various ionic species presenting limited energy dispersion (∼ 10 eV). The typical beam currents are about a few tens of micro-amperes, and the divergence of such a beam is on the order of one degree. A numerical modelling of the ion source is eventually conducted in order to identify potential optimizations of the concept. (author)

  7. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  8. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    International Nuclear Information System (INIS)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S.; Kim, Yoon-Jae; Park, Man-Jin; Moon, Dae Won

    2012-01-01

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m 2 SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  9. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  10. Study of the negative ion extraction mechanism from a double-ion plasma in negative ion sources

    International Nuclear Information System (INIS)

    Goto, I.; Nishioka, S.; Hatayama, A.; Miyamoto, K.

    2015-01-01

    We have developed a 2D3V-PIC model of the extraction region, aiming to clarify the basic extraction mechanism of H − ions from the double-ion plasma in H − negative ion sources. The result shows the same tendency of the H − ion density n H − as that observed in the experiments, i.e.,n H − in the upstream region away from the plasma meniscus (H − emitting surface) has been reduced by applying the extraction voltage. At the same time, relatively slow temporal oscillation of the electric potential compared with the electron plasma frequency has been observed in the extraction region. Results of the systematic study using a 1D3V-PIC model with the uniform magnetic field confirm the result that the electrostatic oscillation is identified to be lower hybrid wave. The effect of this oscillation on the H − transport will be studied in the future

  11. Study of the negative ion extraction mechanism from a double-ion plasma in negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Goto, I.; Nishioka, S.; Hatayama, A. [Graduate school of Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan); Miyamoto, K. [Naruto University of Education, 748 Nakashima, Takashima, Naruto-cho, Naruto-shi, Tokushima 772-8502 (Japan)

    2015-04-08

    We have developed a 2D3V-PIC model of the extraction region, aiming to clarify the basic extraction mechanism of H{sup −} ions from the double-ion plasma in H{sup −} negative ion sources. The result shows the same tendency of the H{sup −} ion density n{sub H{sup −}} as that observed in the experiments, i.e.,n{sub H{sup −}} in the upstream region away from the plasma meniscus (H{sup −} emitting surface) has been reduced by applying the extraction voltage. At the same time, relatively slow temporal oscillation of the electric potential compared with the electron plasma frequency has been observed in the extraction region. Results of the systematic study using a 1D3V-PIC model with the uniform magnetic field confirm the result that the electrostatic oscillation is identified to be lower hybrid wave. The effect of this oscillation on the H{sup −} transport will be studied in the future.

  12. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  13. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  14. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    Science.gov (United States)

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  15. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    Science.gov (United States)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  16. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Center

    International Nuclear Information System (INIS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-01-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H - ion beams in a filament-driven discharge. In this kind of an ion source the extracted H - beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H - converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H - ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H - ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H - production (main discharge) in order to further improve the brightness of extracted H - ion beams

  17. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  18. A new high-temperature plasma ion source for the TRISTAN ISOL facility

    International Nuclear Information System (INIS)

    Piotrowski, A.; Gill, R.L.; McDonald, D.C.

    1987-01-01

    A vigorous program of ion-source development at TRISTAN has led to several types of ion sources that are especially suited to extended operation at a reactor-based ISOL facility. The latest of these is a high-temperature plasma ion source in which a 5-g 235 U target is located in the cathode and can be heated to 2500 0 C. The ion source has a lifetime of >1000 h and produces a wide array of elements, including palladium. Off-line investigations indicate that the source functions primarily in an electron impact mode of ionization and exhibits typical ionization efficiencies of >30% for xenon. (orig.)

  19. A new high-temperature plasma ion source for the TRISTAN ISOL facility

    International Nuclear Information System (INIS)

    Piotrowski, A.; Gill, R.L.; McDonald, D.C.

    1987-01-01

    A vigorous program of ion-source development at TRISTAN has led to several types of ion sources that are especially suited to extended operation at a reactor-based ISOL facility. The latest of these is a high-temperature plasma ion source in which a 5-g /sup 235/U target is located in the cathode and can be heated to 2500 0 C. The ion source has a lifetime of > 1000 h and produces a wide array of elements, including palladium. Off-line investigations indicate that the source functions primarily in an electron impact mode of ionization and exhibits typical ionization efficiencies of > 30% for xenon

  20. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Yoon-Jae [Samsung Electronics Co. Ltd., Gyeonggi 445-701 (Korea, Republic of); Park, Man-Jin [Research Institute of Nano Manufacturing System, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Moon, Dae Won [Nanobio Fusion Research Center, Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of)

    2012-02-15

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m{sup 2} SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  1. Plasma ion sources and ion beam technology in microfabrications

    International Nuclear Information System (INIS)

    Ji, Lili

    2007-01-01

    For over decades, focused ion beam (FIB) has been playing a very important role in microscale technology and research, among which, semiconductor microfabrication is one of its biggest application area. As the dimensions of IC devices are scaled down, it has shown the need for new ion beam tools and new approaches to the fabrication of small-scale devices. In the meanwhile, nanotechnology has also deeply involved in material science research and bioresearch in recent years. The conventional FIB systems which utilize liquid gallium ion sources to achieve nanometer scale resolution can no longer meet the various requirements raised from such a wide application area such as low contamination, high throughput and so on. The drive towards controlling materials properties at nanometer length scales relies on the availability of efficient tools. In this thesis, three novel ion beam tools have been developed and investigated as the alternatives for the conventional FIB systems in some particular applications. An integrated focused ion beam (FIB) and scanning electron microscope (SEM) system has been developed for direct doping or surface modification. This new instrument employs a mini-RF driven plasma source to generate focused ion beam with various ion species, a FEI two-lens electron (2LE) column for SEM imaging, and a five-axis manipulator system for sample positioning. An all-electrostatic two-lens column has been designed to focus the ion beam extracted from the source. Based on the Munro ion optics simulation, beam spot sizes as small as 100 nm can be achieved at beam energies between 5 to 35 keV if a 5 (micro)m-diameter extraction aperture is used. Smaller beam spot sizes can be obtained with smaller apertures at sacrifice of some beam current. The FEI 2LE column, which utilizes Schottky emission, electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance

  2. Neutralization of an ion beam from the end-Hall ion source by a plasma electron source based on a discharge in crossed E × H fields

    Science.gov (United States)

    Dostanko, A. P.; Golosov, D. A.

    2009-10-01

    The possibility of using a plasma electron source (PES) with a discharge in crossed E × H field for compensating the ion beam from an end-Hall ion source (EHIS) is analyzed. The PES used as a neutralizer is mounted in the immediate vicinity of the EHIS ion generation and acceleration region at 90° to the source axis. The behavior of the discharge and emission parameters of the EHIS is determined for operation with a filament neutralizer and a plasma electron source. It is found that the maximal discharge current from the ion source attains a value of 3.8 A for operation with a PES and 4 A for operation with a filament compensator. It is established that the maximal discharge current for the ion source strongly depends on the working gas flow rate for low flow rates (up to 10 ml/min) in the EHIS; for higher flow rates, the maximum discharge current in the EHIS depends only on the emissivity of the PES. Analysis of the emission parameters of EHISs with filament and plasma neutralizers shows that the ion beam current and the ion current density distribution profile are independent of the type of the electron source and the ion current density can be as high as 0.2 mA/cm2 at a distance of 25 cm from the EHIS anode. The balance of currents in the ion source-electron source system is considered on the basis of analysis of operation of EHISs with various sources of electrons. It is concluded that the neutralization current required for operation of an ion source in the discharge compensation mode must be equal to or larger than the discharge current of the ion source. The use of PES for compensating the ion beam from an end-Hall ion source proved to be effective in processes of ion-assisted deposition of thin films using reactive gases like O2 or N2. The application of the PES technique makes it possible to increase the lifetime of the ion-assisted deposition system by an order of magnitude (the lifetime with a Ti cathode is at least 60 h and is limited by the

  3. Negative ion sources

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Takagi, Toshinori

    1983-01-01

    Negative ion sources have been originally developed at the request of tandem electrostatic accelerators, and hundreds of nA to several μA negative ion current has been obtained so far for various elements. Recently, the development of large current hydrogen negative ion sources has been demanded from the standpoint of the heating by neutral particle beam injection in nuclear fusion reactors. On the other hand, the physical properties of negative ions are interesting in the thin film formation using ions. Anyway, it is the present status that the mechanism of negative ion action has not been so fully investigated as positive ions because the history of negative ion sources is short. In this report, the many mechanisms about the generation of negative ions proposed so far are described about negative ion generating mechanism, negative ion source plasma, and negative ion generation on metal surfaces. As a result, negative ion sources are roughly divided into two schemes, plasma extraction and secondary ion extraction, and the former is further classified into the PIG ion source and its variation and Duoplasmatron and its variation; while the latter into reflecting and sputtering types. In the second half of the report, the practical negative ion sources of each scheme are described. If the mechanism of negative ion generation will be investigated more in detail and the development will be continued under the unified know-how as negative ion sources in future, the development of negative ion sources with which large current can be obtained for any element is expected. (Wakatsuki, Y.)

  4. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  5. Magnetic plasma confinement for laser ion source

    International Nuclear Information System (INIS)

    Okamura, M.; Adeyemi, A.; Kanesue, T.; Tamura, J.; Kondo, K.; Dabrowski, R.

    2010-01-01

    A laser ion source (LIS) can easily provide a high current beam. However, it has been difficult to obtain a longer beam pulse while keeping a high current. On occasion, longer beam pulses are required by certain applications. For example, more than 10 μs of beam pulse is required for injecting highly charged beams to a large sized synchrotron. To extend beam pulse width, a solenoid field was applied at the drift space of the LIS at Brookhaven National Laboratory. The solenoid field suppressed the diverging angle of the expanding plasma and the beam pulse was widened. Also, it was observed that the plasma state was conserved after passing through a few hundred gauss of the 480 mm length solenoid field.

  6. Magnetic plasma confinement for laser ion source.

    Science.gov (United States)

    Okamura, M; Adeyemi, A; Kanesue, T; Tamura, J; Kondo, K; Dabrowski, R

    2010-02-01

    A laser ion source (LIS) can easily provide a high current beam. However, it has been difficult to obtain a longer beam pulse while keeping a high current. On occasion, longer beam pulses are required by certain applications. For example, more than 10 micros of beam pulse is required for injecting highly charged beams to a large sized synchrotron. To extend beam pulse width, a solenoid field was applied at the drift space of the LIS at Brookhaven National Laboratory. The solenoid field suppressed the diverging angle of the expanding plasma and the beam pulse was widened. Also, it was observed that the plasma state was conserved after passing through a few hundred gauss of the 480 mm length solenoid field.

  7. Inductively coupled plasma and ion sources: History and state-of-the-art

    International Nuclear Information System (INIS)

    Hopwood, J.

    1994-01-01

    Over 100 years ago Hittorf first generated an electrodeless ''ring'' discharge by electromagnetic induction and began a 40 year controversy as to the true physical origin of such a discharge. Even Tesla advocated that these plasmas were merely the result of large electrostatic potential differences rather than electric fields induced by high frequency currents. Through clever experiments using crude spark gaps and leyden jars, the inductive nature of the discharge was confirmed in the late 1920's by MacKinnon, thus supporting the theories and experiments of Sir J.J. Thomson, perhaps the most staunch advocate of the induction mechanism. Today the authors routinely exploit the intense plasmas which are generated by induction. In this talk, the characteristics of inductively coupled plasma (ICP) and ion sources will be reviewed and future applications of intense plasma sources will be discussed. The inductively coupled plasma is Joule heated at moderate gas pressures, but the electromagnetic field penetration of these dense plasmas is limited by the plasma skin depth, typically a few millimeters to a few centimeters. The induction plasma is thus edge heated, a fact that constrains uniformity over large areas if helical induction coils are used. Flat, spiral coils may be used to improve uniformity by driving the plasma using a planar geometry. Issues of dimensional and frequency scaling will be discussed as they apply to large diameter sources. Ion beams extracted from ICPs are used for many applications including space propulsion, high power neutral beams, and materials processing. Broad ion beam (∼10 cm) current densities in excess of 100 mA-cm 2 at 100 keV are obtained in pulsed mode operation. Recently, however, more consumer-oriented applications of less intense ICPs are emerging

  8. Study on laser plasma as an ion source for the controlled fasion with heavy ions

    International Nuclear Information System (INIS)

    Barabash, L.Z.; Bykovskij, Yu.A.; Golubev, A.A.; Kozyrev, Yu.P.; Krechet, K.I.; Lapitskij, Yu.Ya.; Sharkov, B.Yu.

    1981-01-01

    The results of experimental investigations of Pb 208 multiply- charged lead ions, obtained in the course of CO 2 laser radiation effect on a solid target are presented. The experimental installation, the basic units of which are CO 2 - laser with transverse discharge, ion source chamber, time- of-flight space, 9-channel electrostatic mirror type mass-analyser with a detection unit, is described. Physical characteristics of a freely spreading laser plasma, ion distribution over energies, velocities and Z charges from Z=+1 to Z=+10 are investigated. Absolute values of ion number of each charge property as well as absolute values of currents are obtained, the laser plasma temperature is estimated. The analysis of time distribution of ion quantity permits to point out the following regularities: with Z increase the ion current duration decreases according to the Δt approximately Z -1 law, with Z increase, the moment of the ion pulse beginning approaches to the moment of target irradiation which testifies that multiply-charged ions have high velocities and energies. The velocity distribution analysis permits to obtain ion velocity dependence in the field of maximum distribution on charge properties. The results presented are obtained at the temperature of hot unspreaded plasma about 60 eV. The data obtained are a basis for development of a real laser forinjector for the problems of the controlled fusion with heavy ions [ru

  9. Characterization of a Distributed Plasma Ionization Source (DPIS) for Ion Mobility Spectrometry and Mass Spectrometry

    International Nuclear Information System (INIS)

    Waltman, Melanie J.; Dwivedi, Prabha; Hill, Herbert; Blanchard, William C.; Ewing, Robert G.

    2008-01-01

    A recently developed atmospheric pressure ionization source, a distributed plasma ionization source (DPIS), was characterized and compared to commonly used atmospheric pressure ionization sources with both mass spectrometry and ion mobility spectrometry. The source consisted of two electrodes of different sizes separated by a thin dielectric. Application of a high RF voltage across the electrodes generated plasma in air yielding both positive and negative ions depending on the polarity of the applied potential. These reactant ions subsequently ionized the analyte vapors. The reactant ions generated were similar to those created in a conventional point-to-plane corona discharge ion source. The positive reactant ions generated by the source were mass identified as being solvated protons of general formula (H2O)nH+ with (H2O)2H+ as the most abundant reactant ion. The negative reactant ions produced were mass identified primarily as CO3-, NO3-, NO2-, O3- and O2- of various relative intensities. The predominant ion and relative ion ratios varied depending upon source construction and supporting gas flow rates. A few compounds including drugs, explosives and environmental pollutants were selected to evaluate the new ionization source. The source was operated continuously for several months and although deterioration was observed visually, the source continued to produce ions at a rate similar that of the initial conditions. The results indicated that the DPIS may have a longer operating life than a conventional corona discharge.

  10. Experimental study of high current negative ion sources D- / H-. Analysis based on the simulation of the negative ion transport in the plasma source

    International Nuclear Information System (INIS)

    Riz, D.

    1996-01-01

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm 2 of D - . The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm 2 have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H - /H + and of charge exchange H - /H 0 are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H - /D - and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author)

  11. Development of negative heavy ion sources for plasma potential measurement

    International Nuclear Information System (INIS)

    Sasao, M.; Okabe, Y.; Fujisawa, A.; Iguchi, H.; Fujita, J.; Yamaoka, H.; Wada, M.

    1991-10-01

    A plasma sputter negative ion source was studied for its applicability to the potential measurement of a fusion plasma. Both the beam current density and the beam energy spread are key issues. Energy spectra of a self extracted Au - beam from the source were measured under the condition of a constant work function of the production surface. The full width of half maximum (FWHM) increases from 3 eV to 9 eV monotonically as the target voltage increases from 50 V to 300 V, independently from the target surface work function of 2.2 - 3 eV. (author)

  12. Mass analyzer ``MASHA'' high temperature target and plasma ion source

    Science.gov (United States)

    Semchenkov, A. G.; Rassadov, D. N.; Bekhterev, V. V.; Bystrov, V. A.; Chizov, A. Yu.; Dmitriev, S. N.; Efremov, A. A.; Guljaev, A. V.; Kozulin, E. M.; Oganessian, Yu. Ts.; Starodub, G. Ya.; Voskresensky, V. M.; Bogomolov, S. L.; Paschenko, S. V.; Zelenak, A.; Tikhonov, V. I.

    2004-05-01

    A new separator and mass analyzer of super heavy atoms (MASHA) has been created at the FLNR JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10-3. First experiments with the FEBIAD plasma ion source have been done and give an efficiency of ionization of up to 20% for Kr with a low flow test leak (6 particle μA). We suppose a magnetic field optimization, using the additional electrode (einzel lens type) in the extracting system, and an improving of the vacuum conditions in order to increase the ion source efficiency.

  13. Mass analyzer 'MASHA' high temperature target and plasma ion source

    International Nuclear Information System (INIS)

    Semchenkov, A.G.; Rassadov, D.N.; Bekhterev, V.V.; Bystrov, V.A.; Chizov, A.Yu.; Dmitriev, S.N.; Efremov, A.A.; Guljaev, A.V.; Kozulin, E.M.; Oganessian, Yu.Ts.; Starodub, G.Ya.; Voskresensky, V.M.; Bogomolov, S.L.; Paschenko, S.V.; Zelenak, A.; Tikhonov, V.I.

    2004-01-01

    A new separator and mass analyzer of super heavy atoms (MASHA) has been created at the FLNR JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10 -3 . First experiments with the FEBIAD plasma ion source have been done and give an efficiency of ionization of up to 20% for Kr with a low flow test leak (6 particle μA). We suppose a magnetic field optimization, using the additional electrode (einzel lens type) in the extracting system, and an improving of the vacuum conditions in order to increase the ion source efficiency

  14. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  15. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  16. Laser ion source with solenoid for Brookhaven National Laboratory-electron beam ion source.

    Science.gov (United States)

    Kondo, K; Yamamoto, T; Sekine, M; Okamura, M

    2012-02-01

    The electron beam ion source (EBIS) preinjector at Brookhaven National Laboratory (BNL) is a new heavy ion-preinjector for relativistic heavy ion collider (RHIC) and NASA Space Radiation Laboratory (NSRL). Laser ion source (LIS) is a primary ion source provider for the BNL-EBIS. LIS with solenoid at the plasma drift section can realize the low peak current (∼100 μA) with high charge (∼10 nC) which is the BNL-EBIS requirement. The gap between two solenoids does not cause serious plasma current decay, which helps us to make up the BNL-EBIS beamline.

  17. Laser ion source with solenoid for Brookhaven National Laboratory-electron beam ion source

    International Nuclear Information System (INIS)

    Kondo, K.; Okamura, M.; Yamamoto, T.; Sekine, M.

    2012-01-01

    The electron beam ion source (EBIS) preinjector at Brookhaven National Laboratory (BNL) is a new heavy ion-preinjector for relativistic heavy ion collider (RHIC) and NASA Space Radiation Laboratory (NSRL). Laser ion source (LIS) is a primary ion source provider for the BNL-EBIS. LIS with solenoid at the plasma drift section can realize the low peak current (∼100 μA) with high charge (∼10 nC) which is the BNL-EBIS requirement. The gap between two solenoids does not cause serious plasma current decay, which helps us to make up the BNL-EBIS beamline.

  18. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  19. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  20. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University.

    Science.gov (United States)

    Ren, H T; Peng, S X; Xu, Y; Zhao, J; Lu, P N; Chen, J; Zhang, A L; Zhang, T; Guo, Z Y; Chen, J E

    2014-02-01

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ&SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D(+), 10 mA of O(+), 10 mA of He(+), and 50 mA of H(+)). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  1. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Peng, S. X., E-mail: sxpeng@pku.edu.cn; Xu, Y.; Zhao, J.; Lu, P. N.; Chen, J.; Zhang, A. L.; Zhang, T.; Guo, Z. Y.; Chen, J. E. [State Key Laboratory of Nuclear Physics and Technology, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2014-02-15

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ and SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D{sup +}, 10 mA of O{sup +}, 10 mA of He{sup +}, and 50 mA of H{sup +}). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  2. Plasma ignition and steady state simulations of the Linac4 H$^{-}$ ion source

    CERN Document Server

    Mattei, S; Yasumoto, M; Hatayama, A; Lettry, J; Grudiev, A

    2014-01-01

    The RF heating of the plasma in the Linac4 H- ion source has been simulated using an Particle-in-Cell Monte Carlo Collision method (PIC-MCC). This model is applied to investigate the plasma formation starting from an initial low electron density of 1012 m-3 and its stabilization at 1018 m-3. The plasma discharge at low electron density is driven by the capacitive coupling with the electric field generated by the antenna, and as the electron density increases the capacitive electric field is shielded by the plasma and induction drives the plasma heating process. Plasma properties such as e-/ion densities and energies, sheath formation and shielding effect are presented and provide insight to the plasma properties of the hydrogen plasma.

  3. Experimental study of high current negative ion sources D{sup -} / H{sup -}. Analysis based on the simulation of the negative ion transport in the plasma source; Etude experimentale de sources a fort courant d`ions negatifs D{sup -} / H{sup -}. Analyse fondee sur la simulation du transport des ions dans le plasma de la source

    Energy Technology Data Exchange (ETDEWEB)

    Riz, D.

    1996-10-30

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm{sup 2} of D{sup -}. The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm{sup 2} have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H{sup -}/H{sup +} and of charge exchange H{sup -}/H{sup 0} are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H{sup -}/D{sup -} and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author). 122 refs.

  4. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources

    International Nuclear Information System (INIS)

    Christ-Koch, Sina

    2007-01-01

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields (∝ 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H - )=1.10 17 1/m 3 , which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  5. Ion optics in an ion source system

    Energy Technology Data Exchange (ETDEWEB)

    Abdel-Salam, F W; Moustafa, O A; El-Khabeary, H [Accelerators Dept, Nuclear Research Center, Atomic Energy Authority, Cairo (Egypt)

    1997-12-31

    An analysis of ion beams from an ion source which consisted of a hemispherical anode, a plane earthed cathode, and a focusing electrode has been carried out. The focal properties of such electrode arrangement were studied using axially symmetric fields. Axial and radial electric fields were obtained as functions of the axial distance. It was found that the radial component of the gradient of potential pushes the ions towards the axis, which indicates the convergent action of the system. The effect of voltage variation between the boundary and the focusing electrode on the position of the plasma boundary are given using the experimental data of the ion source characteristics and its geometrical parameters. The advantages of plasma diffusing outside the source through a small aperture were used by applying a potential to the focusing electrode. It was possible to extract a large ion current from the expanded plasma. The system constituted a lens with a focal length of 29.4 mm. 7 figs.

  6. Possibilities for direct optical observation of negative hydrogen ions in ion beam plasma sources via Rayleigh or Thomson scattering

    International Nuclear Information System (INIS)

    Burgess, D.D.

    1985-01-01

    The possibilities of applying optical scattering techniques to the determination of H - concentrations in plasma sources relevant to negative ion beam generation are considered. Rayleigh scattering measurements for incident wavelengths just below the H - photoionization limit appear to be only just feasible experimentally. A more promising possibility is observation of the modification in a plasma containing negative ions of the collective ion-feature in Thomson scattering. Numerical predictions of the effects of H - concentration on the spectral distribution of the ion-feature are presented. (author)

  7. Characteristics of 6.5 GHz ECR ion source for polarized H- ion source

    International Nuclear Information System (INIS)

    Ikegami, Kiyoshi; Mori, Yoshiharu; Takagi, Akira; Fukumoto, Sadayoshi.

    1983-04-01

    A 6.5 GHz ECR (electron cyclotron resonance) ion source has been developed for optically pumped polarized H - ion source at KEK. The properties of this ECR ion source such as beam intensities, proton ratios, plasma electron temperatures and beam emittances were measured. (author)

  8. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  9. Optimization of the plasma parameters for the high current and uniform large-scale pulse arc ion source of the VEST-NBI system

    International Nuclear Information System (INIS)

    Jung, Bongki; Park, Min; Heo, Sung Ryul; Kim, Tae-Seong; Jeong, Seung Ho; Chang, Doo-Hee; Lee, Kwang Won; In, Sang-Ryul

    2016-01-01

    Highlights: • High power magnetic bucket-type arc plasma source for the VEST NBI system is developed with modifications based on the prototype plasma source for KSTAR. • Plasma parameters in pulse duration are measured to characterize the plasma source. • High plasma density and good uniformity is achieved at the low operating pressure below 1 Pa. • Required ion beam current density is confirmed by analysis of plasma parameters and results of a particle balance model. - Abstract: A large-scale hydrogen arc plasma source was developed at the Korea Atomic Energy Research Institute for a high power pulsed NBI system of VEST which is a compact spherical tokamak at Seoul national university. One of the research target of VEST is to study innovative tokamak operating scenarios. For this purpose, high current density and uniform large-scale pulse plasma source is required to satisfy the target ion beam power efficiently. Therefore, optimizing the plasma parameters of the ion source such as the electron density, temperature, and plasma uniformity is conducted by changing the operating conditions of the plasma source. Furthermore, ion species of the hydrogen plasma source are analyzed using a particle balance model to increase the monatomic fraction which is another essential parameter for increasing the ion beam current density. Conclusively, efficient operating conditions are presented from the results of the optimized plasma parameters and the extractable ion beam current is calculated.

  10. An RF ion source based primary ion gun for secondary ion mass spectroscopy

    International Nuclear Information System (INIS)

    Menon, Ranjini; Nabhiraj, P.Y.; Bhandari, R.K.

    2011-01-01

    In this article we present the design, development and characterization of an RF plasma based ion gun as a primary ion gun for SIMS application. RF ion sources, in particular Inductively Coupled Plasma (ICP) ion sources are superior compared to LMIS and duoplasmtron ion sources since they are filamentless, can produce ions of gaseous elements. At the same time, ICP ion sources offer high angular current density which is an important factor in producing high current in small spot size on the target. These high current microprobes improve the signal to noise ratio by three orders as compared to low current ion sources such as LMIS. In addition, the high current microprobes have higher surface and depth profiling speeds. In this article we describe a simple ion source in its very basic form, two lens optical column and characteristics of microprobe

  11. Experiments on Ion-Ion Plasmas From Discharges

    Science.gov (United States)

    Leonhardt, Darrin; Walton, Scott; Blackwell, David; Murphy, Donald; Fernsler, Richard; Meger, Robert

    2001-10-01

    Use of both positive and negative ions in plasma processing of materials has been shown to be advantageous[1] in terms of better feature evolution and control. In this presentation, experimental results are given to complement recent theoretical work[2] at NRL on the formation and decay of pulsed ion-ion plasmas in electron beam generated discharges. Temporally resolved Langmuir probe and mass spectrometry are used to investigate electron beam generated discharges during the beam on (active) and off (afterglow) phases in a variety of gas mixtures. Because electron-beam generated discharges inherently[3] have low electron temperatures (<0.5eV in molecular gases), negative ion characteristics are seen in the active as well as afterglow phases since electron detachment increases with low electron temperatures. Analysis of temporally resolved plasma characteristics deduced from these measurements will be presented for pure O_2, N2 and Ar and their mixtures with SF_6. Oxygen discharges show no noticeable negative ion contribution during the active or afterglow phase, presumably due to the higher energy electron attachment threshold, which is well above any electron temperature. In contrast, SF6 discharges demonstrate ion-ion plasma characteristics in the active glow and are completely ion-ion in the afterglow. Comparison between these discharges with published cross sections and production mechanisms will also be presented. [1] T.H. Ahn, K. Nakamura & H. Sugai, Plasma Sources Sci. Technol., 5, 139 (1996); T. Shibyama, H. Shindo & Y. Horiike, Plasma Sources Sci. Technol., 5, 254 (1996). [2] See presentation by R. F. Fernsler, at this conference. [3] D. Leonhardt, et al., 53rd Annual GEC, Houston, TX.

  12. Behavior of moving plasma in solenoidal magnetic field in a laser ion source

    International Nuclear Information System (INIS)

    Ikeda, S.; Takahashi, K.; Okamura, M.; Horioka, K.

    2016-01-01

    In a laser ion source, a solenoidal magnetic field is useful to guide the plasma and to control the extracted beam current. However, the behavior of the plasma drifting in the magnetic field has not been well understood. Therefore, to investigate the behavior, we measured the plasma ion current and the total charge within a single pulse in the solenoid by changing the distance from the entrance of the solenoid to a detector. We observed that the decrease of the total charge along the distance became smaller as the magnetic field became larger and then the charge became almost constant with a certain magnetic flux density. The results indicate that the transverse spreading speed of the plasma decreased with increasing the field and the plasma was confined transversely with the magnetic flux density. We found that the reason of the confinement was not magnetization of ions but an influence induced by electrons

  13. Behavior of moving plasma in solenoidal magnetic field in a laser ion source

    Energy Technology Data Exchange (ETDEWEB)

    Ikeda, S., E-mail: ikeda.s.ae@m.titech.ac.jp [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, Yokohama, Kanagawa 226-8502 (Japan); Nishina Center for Accelerator-Based Science, RIKEN, Wako, Saitama 351-0108 (Japan); Takahashi, K. [Department of Electrical Engineering, Nagaoka University of Technology, Nagaoka, Niigata 940-2137 (Japan); Okamura, M. [Collider-Accelerator Department, Brookhaven National Laboratory, Upton, New York 11973-5000 (United States); Horioka, K. [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, Yokohama, Kanagawa 226-8502 (Japan)

    2016-02-15

    In a laser ion source, a solenoidal magnetic field is useful to guide the plasma and to control the extracted beam current. However, the behavior of the plasma drifting in the magnetic field has not been well understood. Therefore, to investigate the behavior, we measured the plasma ion current and the total charge within a single pulse in the solenoid by changing the distance from the entrance of the solenoid to a detector. We observed that the decrease of the total charge along the distance became smaller as the magnetic field became larger and then the charge became almost constant with a certain magnetic flux density. The results indicate that the transverse spreading speed of the plasma decreased with increasing the field and the plasma was confined transversely with the magnetic flux density. We found that the reason of the confinement was not magnetization of ions but an influence induced by electrons.

  14. Behavior of moving plasma in solenoidal magnetic field in a laser ion source

    Science.gov (United States)

    Ikeda, S.; Takahashi, K.; Okamura, M.; Horioka, K.

    2016-02-01

    In a laser ion source, a solenoidal magnetic field is useful to guide the plasma and to control the extracted beam current. However, the behavior of the plasma drifting in the magnetic field has not been well understood. Therefore, to investigate the behavior, we measured the plasma ion current and the total charge within a single pulse in the solenoid by changing the distance from the entrance of the solenoid to a detector. We observed that the decrease of the total charge along the distance became smaller as the magnetic field became larger and then the charge became almost constant with a certain magnetic flux density. The results indicate that the transverse spreading speed of the plasma decreased with increasing the field and the plasma was confined transversely with the magnetic flux density. We found that the reason of the confinement was not magnetization of ions but an influence induced by electrons.

  15. RF H-minus ion source development in China spallation neutron source

    Science.gov (United States)

    Chen, W.; Ouyang, H.; Xiao, Y.; Liu, S.; Lü, Y.; Cao, X.; Huang, T.; Xue, K.

    2017-08-01

    China Spallation Neutron Source (CSNS) phase-I project currently uses a Penning surface plasma H- ion source, which has a life time of several weeks with occasional sparks between high voltage electrodes. To extend the life time of the ion source and prepare for the CSNS phase-II, we are trying to develop a RF negative hydrogen ion source with external antenna. The configuration of the source is similar to the DESY external antenna ion source and SNS ion source. However several changes are made to improve the stability and the life time. Firstly, Si3N4 ceramic with high thermal shock resistance, and high thermal conductivity is used for plasma chamber, which can endure an average power of 2000W. Secondly, the water-cooled antenna is brazed on the chamber to improve the energy efficiency. Thirdly, cesium is injected directly to the plasma chamber if necessary, to simplify the design of the converter and the extraction. Area of stainless steel exposed to plasma is minimized to reduce the sputtering and degassing. Instead Mo, Ta, and Pt coated materials are used to face the plasma, which makes the self-cleaning of the source possible.

  16. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2015-10-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric.

  17. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    International Nuclear Information System (INIS)

    Fubiani, G; Boeuf, J P

    2015-01-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric. (paper)

  18. The influence of ambipolarity on plasma confinement and on the performance of electron cyclotron resonance ion sources.

    Science.gov (United States)

    Schachter, L; Dobrescu, S; Stiebing, K E; Thuillier, T; Lamy, T

    2008-02-01

    Charge diffusion in an electron cyclotron resonance ion source (ECRIS) discharge is usually characterized by nonambipolar behavior. While the ions are transported to the radial walls, electrons are lost axially from the magnetic trap. Global neutrality is maintained via compensating currents in the conducting walls of the vacuum chamber. It is assumed that this behavior reduces the ion breeding times compared to a truly ambipolar plasma. We have carried out a series of dedicated experiments in which the ambipolarity of the ECRIS plasma was influenced by inserting special metal-dielectric structures (MD layers) into the plasma chamber of the Frankfurt 14 GHz ECRIS. The measurements demonstrate the positive influence on the source performance when the ECR plasma is changed toward more ambipolar behavior.

  19. A Novel Microwave-Induced Plasma Ionization Source for Ion Mobility Spectrometry

    Science.gov (United States)

    Dai, Jianxiong; Zhao, Zhongjun; Liang, Gaoling; Duan, Yixiang

    2017-03-01

    This work demonstrates the application of a novel microwave induced plasma ionization (MIPI) source to ion mobility spectrometry (IMS). The MIPI source, called Surfatron, is composed of a copper cavity and a hollow quartz discharge tube. The ion mobility spectrum of synthetics air has a main peak with reduced mobility of 2.14 cm2V-1s-1 for positive ion mode and 2.29 cm2V-1s-1 for negative ion mode. The relative standard deviations (RSD) are 0.7% and 1.2% for positive and negative ion mode, respectively. The total ion current measured was more than 3.5 nA, which is much higher than that of the conventional 63Ni source. This indicates that a better signal-to-noise ratio (SNR) can be acquired from the MIPI source. The SNR was 110 in the analysis of 500 pptv methyl tert-butyl ether (MTBE), resulting in the limit of detection (SNR = 3) of 14 pptv. The linear range covers close to 2.5 orders of magnitude in the detection of triethylamine with a concentration range from 500 pptv to 80 ppbv. Finally, this new MIPI-IMS was used to detect some volatile organic compounds, which demonstrated that the MIPI-IMS has great potential in monitoring pollutants in air.

  20. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  1. Hypothesis for the mechanism of negative ion production in the surface-plasma negative hydrogen ion source

    International Nuclear Information System (INIS)

    Hiskes, J.R.

    1975-01-01

    An analysis of the surface-plasma negative hydrogen ion source has shown that the tungsten cathode supports approximately a monolayer of cesium. The backscattering of protons from the cathode as energetic neutrals and the subsequent backscattering of these neutrals from the anode provides for a flux of energetic atoms incident upon the cathode which is comparable to the ion flux. A hypothesis is proposed for the generation of negative ions during the collision of these energetic atoms with the cathode. Several mechanisms for negative ion production by proton collision with the surface are discussed. (U.S.)

  2. Duopigatron ion source studies

    International Nuclear Information System (INIS)

    Bacon, F.M.; Bickes, R.W. Jr.; O'Hagan, J.B.

    1978-07-01

    Ion source performance characteristics consisting of total ion current, ion energy distribution, mass distribution, and ion current density distribution were measured for several models of a duopigatron. Variations on the duopigatron design involved plasma expansion cup material and dimensions, secondary cathode material, and interelectrode spacings. Of the designs tested, the one with a copper and molybdenum secondary cathode and a mild steel plasma expansion cup proved to give the best results. The ion current density distribution was peaked at the center of the plasma expansion cup and fell off to 80 percent of the peak value at the cup wall for a cup 15.2 mm deep. A total ion current of 180 mA consisting of 60 to 70 percent atomic ions was produced with an arc current of 20 A and source pressure of 9.3 Pa. More shallow cups produced a larger beam current and a more sharply peaked ion current density distribution. Typical ion energy distributions were bell-shaped curves with a peak 10 to 20 V below anode potential and with ion energies extending 30 to 40 V on either side of the peak

  3. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering

    Energy Technology Data Exchange (ETDEWEB)

    Kerdtongmee, P.; Srinoum, D.; Nisoa, M. [Plasma Technology for Agricultural Applications Research Laboratory, School of Science, Walailak University, Nakhon Si Thammarat 80161 (Thailand); ThEP Center, CHE, 328 Si Ayutthaya Rd., Bangkok 10400 (Thailand)

    2011-10-15

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 {Omega} impedance matching. A plasma density up to 1.1 x 10{sup 12} cm{sup -3} in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  4. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering.

    Science.gov (United States)

    Kerdtongmee, P; Srinoum, D; Nisoa, M

    2011-10-01

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 Ω impedance matching. A plasma density up to 1.1 × 10(12) cm(-3) in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  5. ECR ion source with electron gun

    Science.gov (United States)

    Xie, Zu Q.; Lyneis, Claude M.

    1993-01-01

    An Advanced Electron Cyclotron Resonance ion source (10) having an electron gun (52) for introducing electrons into the plasma chamber (18) of the ion source (10). The ion source (10) has a injection enclosure (12) and a plasma chamber tank (14). The plasma chamber (18) is defined by a plurality of longitudinal magnets (16). The electron gun (52) injects electrons axially into the plasma chamber (18) such that ionization within the plasma chamber (18) occurs in the presence of the additional electrons produced by the electron gun (52). The electron gun (52) has a cathode (116) for emitting electrons therefrom which is heated by current supplied from an AC power supply (96) while bias potential is provided by a bias power supply (118). A concentric inner conductor (60) and Outer conductor (62) carry heating current to a carbon chuck (104) and carbon pusher (114) Which hold the cathode (116) in place and also heat the cathode (16). In the Advanced Electron Cyclotron Resonance ion source (10), the electron gun (52) replaces the conventional first stage used in prior art electron cyclotron resonance ion generators.

  6. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  7. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  8. Enhancement of H{sup -}/D{sup -} volume production in a double plasma type negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Fukumasa, Osamu; Nishimura, Hideki; Sakiyama, Satoshi [Yamaguchi Univ., Ube (Japan). Faculty of Engineering

    1997-02-01

    H{sup -}/D{sup -} production in a pure volume source has been studied. In our double plasma type negative ion source, both energy and density of fast electrons are well controlled. With the use of this source, the enhancement of H{sup -}/D{sup -} production has been observed. Namely, under the same discharge power, the extracted H{sup -}/D{sup -} current in the double plasma operation is higher than that in the single plasma operation. At the same time, measurements of plasma parameters have been made in the source and the extractor regions for these two cases. (author)

  9. Adaptation of the perfect linear model for ion beam formation to the case of plasma sources with electron electrostatic containment

    International Nuclear Information System (INIS)

    Coste, Ph.; Aubert, J.; Lejeune, C.

    1991-01-01

    The extensive development of ion beam technologies in the last years, in particular for thin film deposition and etching, poses the problem of predicting the behaviour of the ion beam from convenient models. One of the existing models, the 'perfect linear model', is easy to use and provides information about the geometrical parameters of the ion beam envelope. In this model, however, the plasma potential must be close to the plasma electrode potential. Now, ion sources with electrostatic containment of the ionizing electrons -very attractive because of their improved ionization efficiency - have a plasma potential higher than the plasma electrode potential. Thus, a space-charge sheath with a non-negligible thickness exists, which modifies the equilibrium conditions of the plasma meniscus and, therefore, the initial divergence of the ion beam. In this paper an adaptation of the perfect linear model for ion beam formation to the case of plasma sources with electron electrostatic containment is presented. (author)

  10. RF Plasma modeling of the Linac4 H− ion source

    CERN Document Server

    Mattei, S; Hatayama, A; Lettry, J; Kawamura, Y; Yasumoto, M; Schmitzer, C

    2013-01-01

    This study focuses on the modelling of the ICP RF-plasma in the Linac4 H− ion source currently being constructed at CERN. A self-consistent model of the plasma dynamics with the RF electromagnetic field has been developed by a PIC-MCC method. In this paper, the model is applied to the analysis of a low density plasma discharge initiation, with particular interest on the effect of the external magnetic field on the plasma properties, such as wall loss, electron density and electron energy. The use of a multi-cusp magnetic field effectively limits the wall losses, particularly in the radial direction. Preliminary results however indicate that a reduced heating efficiency results in such a configuration. The effect is possibly due to trapping of electrons in the multi-cusp magnetic field, preventing their continuous acceleration in the azimuthal direction.

  11. Thirty-centimeter-diameter ion milling source

    International Nuclear Information System (INIS)

    Robinson, R.S.

    1978-01-01

    A 30 cm beam diameter ion source has been designed and fabricated for micromachining and sputtering applications. An argon ion current density of 1 mA/cm 2 at 500 eV ion energy was selected as a design operating condition. The completed ion source met the design criteria at this operating condition with a uniform and well-collimated beam having an average variation in current density of +- 5% over the center of 20 cm of the beam. This ion source has a multipole magnetic field that employs permanent magnets between permeable pole pieces. Langmuir probe surveys of the source plasma support the design concepts of a multipole field and a circumferential cathode to enhance plasma uniformity

  12. Dynamics of ion beam charge neutralization by ferroelectric plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Stepanov, Anton D.; Gilson, Erik P.; Grisham, Larry R.; Kaganovich, Igor D.; Davidson, Ronald C. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey 08543 (United States)

    2016-04-15

    Ferroelectric Plasma Sources (FEPSs) can generate plasma that provides effective space-charge neutralization of intense high-perveance ion beams, as has been demonstrated on the Neutralized Drift Compression Experiment NDCX-I and NDCX-II. This article presents experimental results on charge neutralization of a high-perveance 38 keV Ar{sup +} beam by a plasma produced in a FEPS discharge. By comparing the measured beam radius with the envelope model for space-charge expansion, it is shown that a charge neutralization fraction of 98% is attainable with sufficiently dense FEPS plasma. The transverse electrostatic potential of the ion beam is reduced from 15 V before neutralization to 0.3 V, implying that the energy of the neutralizing electrons is below 0.3 eV. Measurements of the time-evolution of beam radius show that near-complete charge neutralization is established ∼5 μs after the driving pulse is applied to the FEPS and can last for 35 μs. It is argued that the duration of neutralization is much longer than a reasonable lifetime of the plasma produced in the sub-μs surface discharge. Measurements of current flow in the driving circuit of the FEPS show the existence of electron emission into vacuum, which lasts for tens of μs after the high voltage pulse is applied. It is argued that the beam is neutralized by the plasma produced by this process and not by a surface discharge plasma that is produced at the instant the high-voltage pulse is applied.

  13. Ion mixing and numerical simulation of different ions produced in the ECR ion source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    This paper is to continue theoretical investigations and numerical simulations in the physics of ECR ion sources within the CERN program on heavy ion acceleration. The gas (ion) mixing effect in ECR sources is considered here. It is shown that the addition of light ions to the ECR plasma has three different mechanisms to improve highly charged ion production: the increase of confinement time and charge state of highly ions as the result of ion cooling; the concentration of highly charged ions in the central region of the source with high energy and density of electrons; the increase of electron production rate and density of plasma. The numerical simulations of lead ion production in the mixture with different light ions and different heavy and intermediate ions in the mixture with oxygen, are carried out to predict the principal ECR source possibilities for LHC applications. 18 refs., 23 refs

  14. Investigation on Ion Source Parameters

    CERN Document Server

    M. Cheikh Mhamed, S. Essabaa, C. Lau

    The EURISOL multi-mega-watt target station requires dedicated radioactive ion sources. Notably, they must be capable of operating under extremely hard radiations and with a larger fission target producing over 1014 fissions/s. The realisation of next-generation ion sources suitable for such operating conditions needs exhaustive studies and developments. In order to take up such a challenge, a review on radioactive ion sources was achieved and the investigation on ion source parameters was in particular focused on a plasma ion source through a R&D program.

  15. Proceedings of the 10th international workshop on ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F W; Kirkpatrick, M I [eds.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H{sup {minus}} Source; The H{sup +} ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research.

  16. Proceedings of the 10th international workshop on ECR ion sources

    International Nuclear Information System (INIS)

    Meyer, F.W.; Kirkpatrick, M.I.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ''ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A ampersand M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H - Source; The H + ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research

  17. Numerical analysis of electronegative plasma in the extraction region of negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Kuppel, S.; Matsushita, D.; Hatayama, A.; Bacal, M.

    2011-01-01

    This numerical study focuses on the physical mechanisms involved in the extraction of volume-produced H - ions from a steady state laboratory negative hydrogen ion source with one opening in the plasma electrode (PE) on which a dc-bias voltage is applied. A weak magnetic field is applied in the source plasma transversely to the extracted beam. The goal is to highlight the combined effects of the weak magnetic field and the PE bias voltage (upon the extraction process of H - ions and electrons). To do so, we focus on the behavior of electrons and volume-produced negative ions within a two-dimensional model using the particle-in-cell method. No collision processes are taken into account, except for electron diffusion across the magnetic field using a simple random-walk model at each time step of the simulation. The results show first that applying the magnetic field (without PE bias) enhances H - ion extraction, while it drastically decreases the extracted electron current. Secondly, the extracted H - ion current has a maximum when the PE bias is equal to the plasma potential, while the extracted electron current is significantly reduced by applying the PE bias. The underlying mechanism leading to the above results is the gradual opening by the PE bias of the equipotential lines towards the parts of the extraction region facing the PE. The shape of these lines is due originally to the electron trapping by the magnetic field.

  18. Numerical analysis of electronegative plasma in the extraction region of negative hydrogen ion sources

    Science.gov (United States)

    Kuppel, S.; Matsushita, D.; Hatayama, A.; Bacal, M.

    2011-01-01

    This numerical study focuses on the physical mechanisms involved in the extraction of volume-produced H- ions from a steady state laboratory negative hydrogen ion source with one opening in the plasma electrode (PE) on which a dc-bias voltage is applied. A weak magnetic field is applied in the source plasma transversely to the extracted beam. The goal is to highlight the combined effects of the weak magnetic field and the PE bias voltage (upon the extraction process of H- ions and electrons). To do so, we focus on the behavior of electrons and volume-produced negative ions within a two-dimensional model using the particle-in-cell method. No collision processes are taken into account, except for electron diffusion across the magnetic field using a simple random-walk model at each time step of the simulation. The results show first that applying the magnetic field (without PE bias) enhances H- ion extraction, while it drastically decreases the extracted electron current. Secondly, the extracted H- ion current has a maximum when the PE bias is equal to the plasma potential, while the extracted electron current is significantly reduced by applying the PE bias. The underlying mechanism leading to the above results is the gradual opening by the PE bias of the equipotential lines towards the parts of the extraction region facing the PE. The shape of these lines is due originally to the electron trapping by the magnetic field.

  19. Ion source of discharge type

    Energy Technology Data Exchange (ETDEWEB)

    Enchevich, I.B. [TRIUMF, Cyclotron Div., Vancouver, British Columbia (Canada); Korenev, S.A. [JINR, Hihg Energy Physics Lab., Dubna, Moscow (Russian Federation)

    1992-07-01

    A new scheme of ion source based on a dielectric surface sliding discharge is described. The conditions to form this type of discharge are analyzed and experimental results are shown. The main parameters of this ion source are: accelerating voltage U = 1/20kV; continuous extracted ion beam; current density j = 0.01/0.5 A/cm{sup 2}; ions of Cl, F, C, H; residual gas pressure P = 10{sup -6} Torr. A magnetic system is used to separate the different types of ions. The dielectric material in the discharge circuit (anode plasma emitter) defines the type of ions. The emission characteristics of plasma emitter and the discharge parameters are presented. The ion current yield satisfies the Child-Langmuir law. (author)

  20. Ion source of discharge type

    International Nuclear Information System (INIS)

    Enchevich, I.B.; Korenev, S.A.

    1992-07-01

    A new scheme of ion source based on a dielectric surface sliding discharge is described. The conditions to form this type of discharge are analyzed and experimental results are shown. The main parameters of this ion source are: accelerating voltage U = 1/20kV; continuous extracted ion beam; current density j = 0.01/0.5 A/cm 2 ; ions of Cl, F, C, H; residual gas pressure P = 10 -6 Torr. A magnetic system is used to separate the different types of ions. The dielectric material in the discharge circuit (anode plasma emitter) defines the type of ions. The emission characteristics of plasma emitter and the discharge parameters are presented. The ion current yield satisfies the Child-Langmuir law. (author)

  1. Compact microwave ion source

    International Nuclear Information System (INIS)

    Leung, K.N.; Walther, S.; Owren, H.W.

    1985-05-01

    A small microwave ion source has been fabricated from a quartz tube with one end enclosed by a two grid accelerator. The source is also enclosed by a cavity operated at a frequency of 2.45 GHz. Microwave power as high as 500 W can be coupled to the source plasma. The source has been operated with and without multicusp fields for different gases. In the case of hydrogen, ion current density of 200 mA/cm -2 with atomic ion species concentration as high as 80% has been extracted from the source

  2. First plasma of the A-PHOENIX electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Thuillier, T.; Lamy, T.; Latrasse, L.; Angot, J.

    2008-01-01

    A-PHOENIX is a new compact hybrid electron cyclotron resonance ion source using a large permanent magnet hexapole (1.92 T at the magnet surface) and high temperature superconducting Solenoids (3 T) to make min-vertical bar B vertical bar structure suitable for 28 GHz cw operation. The final assembly of the source was achieved at the end of June 2007. The first plasma of A-PHOENIX at 18 GHz was done on the 16th of August, 2007. The technological specificities of A-PHOENIX are presented. The large hexapole built is presented and experimental magnetic measurements show that it is nominal with respect to simulation. A fake plasma chamber prototype including thin iron inserts showed that the predicted radial magnetic confinement can be fulfilled up to 2.15 T at the plasma chamber wall. Scheduled planning of experiments until the end of 2008 is presented

  3. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  4. Helicon plasma ion temperature measurements and observed ion cyclotron heating in proto-MPEX

    Science.gov (United States)

    Beers, C. J.; Goulding, R. H.; Isler, R. C.; Martin, E. H.; Biewer, T. M.; Caneses, J. F.; Caughman, J. B. O.; Kafle, N.; Rapp, J.

    2018-01-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) linear plasma device is a test bed for exploring and developing plasma source concepts to be employed in the future steady-state linear device Material Plasma Exposure eXperiment (MPEX) that will study plasma-material interactions for the nuclear fusion program. The concept foresees using a helicon plasma source supplemented with electron and ion heating systems to reach necessary plasma conditions. In this paper, we discuss ion temperature measurements obtained from Doppler broadening of spectral lines from argon ion test particles. Plasmas produced with helicon heating alone have average ion temperatures downstream of the Helicon antenna in the range of 3 ± 1 eV; ion temperature increases to 10 ± 3 eV are observed with the addition of ion cyclotron heating (ICH). The temperatures are higher at the edge than the center of the plasma either with or without ICH. This type of profile is observed with electrons as well. A one-dimensional RF antenna model is used to show where heating of the plasma is expected.

  5. Increase of plasma ion source efficiency utilizing collisions of the second kind

    International Nuclear Information System (INIS)

    Winkler, H.

    1979-01-01

    It is experimentally found that the efficiency of a plasma ion source for use in an electromagnetic separator can be increased under appropriate operating conditions utilizing collisions of the second kind, of a proper gas is additionally introduced into the arc chamber containing atoms with metastable excited levels. (author)

  6. Inductive plasma source for the ion treatment of AISI-304 SS

    International Nuclear Information System (INIS)

    Piedad-Beneitez, A de la; Lopez-Callejas, R; Granda-Gutierrez, E E; Rodriguez-Mendez, B G; Perez-Martinez, J A; Flores-Fuentes, A A; Valencia-Alvarado, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E

    2008-01-01

    The design and construction of a simple inductive plasma source is described as constituted by an evacuated Pyrex glass cylinder reactor with 190 mm inner diameter and 500 mm length. This discharge vessel is coaxially surrounded by a cylindrically wound antenna, 240 mm in diameter, made of 3.2 mm wide copper wire. The antenna is supplied by a 13.56 MHz RF generator whose resulting electric field is able to create the plasma. When nitrogen is admitted to the vessel, the plasma generation takes place within the 0.1-50 Pa work pressure and 300-600 W RF power. The plasma density has been established by double Langmuir probes between 3.2 x 10 15 and 2.4 x 10 18 m -3 . This inductive plasma set up is meant to modify the surface of AISI-304 stainless steel by means of ion deposition, thanks to the sample bias provided by an external - 400 V dc supply, in order to improve the steel hardness without compromising its corrosion resistance. Once accelerated by the negative bias, the plasma ions impinge on the sample nitriding it by diffusion. The treated samples were characterized by x-ray diffraction (XRD) indicating the formation of the expanded gamma phase, by scanning electron microscopy (SEM) providing the atomic percentages of nitrogen, and by microhardness (HV) measurement.

  7. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  8. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  9. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  10. A 1D ion species model for an RF driven negative ion source

    Science.gov (United States)

    Turner, I.; Holmes, A. J. T.

    2017-08-01

    A one-dimensional model for an RF driven negative ion source has been developed based on an inductive discharge. The RF source differs from traditional filament and arc ion sources because there are no primary electrons present, and is simply composed of an antenna region (driver) and a main plasma discharge region. However the model does still make use of the classical plasma transport equations for particle energy and flow, which have previously worked well for modelling DC driven sources. The model has been developed primarily to model the Small Negative Ion Facility (SNIF) ion source at CCFE, but may be easily adapted to model other RF sources. Currently the model considers the hydrogen ion species, and provides a detailed description of the plasma parameters along the source axis, i.e. plasma temperature, density and potential, as well as current densities and species fluxes. The inputs to the model are currently the RF power, the magnetic filter field and the source gas pressure. Results from the model are presented and where possible compared to existing experimental data from SNIF, with varying RF power, source pressure.

  11. Experimental benchmark of the NINJA code for application to the Linac4 H- ion source plasma

    Science.gov (United States)

    Briefi, S.; Mattei, S.; Rauner, D.; Lettry, J.; Tran, M. Q.; Fantz, U.

    2017-10-01

    For a dedicated performance optimization of negative hydrogen ion sources applied at particle accelerators, a detailed assessment of the plasma processes is required. Due to the compact design of these sources, diagnostic access is typically limited to optical emission spectroscopy yielding only line-of-sight integrated results. In order to allow for a spatially resolved investigation, the electromagnetic particle-in-cell Monte Carlo collision code NINJA has been developed for the Linac4 ion source at CERN. This code considers the RF field generated by the ICP coil as well as the external static magnetic fields and calculates self-consistently the resulting discharge properties. NINJA is benchmarked at the diagnostically well accessible lab experiment CHARLIE (Concept studies for Helicon Assisted RF Low pressure Ion sourcEs) at varying RF power and gas pressure. A good general agreement is observed between experiment and simulation although the simulated electron density trends for varying pressure and power as well as the absolute electron temperature values deviate slightly from the measured ones. This can be explained by the assumption of strong inductive coupling in NINJA, whereas the CHARLIE discharges show the characteristics of loosely coupled plasmas. For the Linac4 plasma, this assumption is valid. Accordingly, both the absolute values of the accessible plasma parameters and their trends for varying RF power agree well in measurement and simulation. At varying RF power, the H- current extracted from the Linac4 source peaks at 40 kW. For volume operation, this is perfectly reflected by assessing the processes in front of the extraction aperture based on the simulation results where the highest H- density is obtained for the same power level. In surface operation, the production of negative hydrogen ions at the converter surface can only be considered by specialized beam formation codes, which require plasma parameters as input. It has been demonstrated that

  12. Ion source plasma parameters measurement based on Langmuir probe with commercial frequency sweep

    International Nuclear Information System (INIS)

    Xie, Y.H.; Hu, C.D.; Liu, S.; Shong, S.H.; Jiang, C.C.; Liu, Z.M.

    2010-01-01

    Langmuir probe is one of the main diagnostic tools to measure the plasma parameters in the ion source. In this article, the commercial frequency power, which is sine wave of 50 Hz, was supplied on the Langmuir probe to measure the plasma parameters. The best feature of this probe sweep voltage is that it does not need extra design. The probe I-V characteristic curve can be got in less than 5 ms and the plasma parameters, the electron temperature and the electron density, varying with the time can be got in one plasma discharge of 400 ms.

  13. Plasma Ion Source with an Internal Evaporator

    International Nuclear Information System (INIS)

    Turek, M.; Drozdziel, A.; Pyszniak, K.; Prucnal, S.; Maczka, D.

    2011-01-01

    A new construction of a hollow cathode ion source equipped with an internal evaporator heated by a spiral cathode filament and arc discharge is presented. The source is especially suitable for production of ions from solids. The proximity of arc discharge region and extraction opening enables production of intense ion beams even for very low discharge current (I a = 1.2 A). The currents of 50 μA (Al + ) and 70 μA (Bi + ) were obtained using the extraction voltage of 25 kV. The source is able to work for several tens of hours without maintenance breaks, giving possibility of high dose implantations. The paper presents the detailed description of the ion source as well as its experimental characteristics like dependences of extracted currents and anode voltage on anode and cathode currents. (author)

  14. Effect of basic physical parameters to control plasma meniscus and beam halo formation in negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Miyamoto, K. [Naruto University of Education, 748 Nakashima, Takashima, Naruto-cho, Naruto-shi, Tokushima 772-8502 (Japan); Okuda, S.; Nishioka, S.; Hatayama, A. [Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan)

    2013-09-14

    Our previous study shows that the curvature of the plasma meniscus causes the beam halo in the negative ion sources: the negative ions extracted from the periphery of the meniscus are over-focused in the extractor due to the electrostatic lens effect, and consequently become the beam halo. In this article, the detail physics of the plasma meniscus and beam halo formation is investigated with two-dimensional particle-in-cell simulation. It is shown that the basic physical parameters such as the H{sup −} extraction voltage and the effective electron confinement time significantly affect the formation of the plasma meniscus and the resultant beam halo since the penetration of electric field for negative ion extraction depends on these physical parameters. Especially, the electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of electron diffusion across the magnetic field. The plasma meniscus penetrates deeply into the source plasma region when the effective electron confinement time is short. In this case, the curvature of the plasma meniscus becomes large, and consequently the fraction of the beam halo increases.

  15. Effect of basic physical parameters to control plasma meniscus and beam halo formation in negative ion sources

    International Nuclear Information System (INIS)

    Miyamoto, K.; Okuda, S.; Nishioka, S.; Hatayama, A.

    2013-01-01

    Our previous study shows that the curvature of the plasma meniscus causes the beam halo in the negative ion sources: the negative ions extracted from the periphery of the meniscus are over-focused in the extractor due to the electrostatic lens effect, and consequently become the beam halo. In this article, the detail physics of the plasma meniscus and beam halo formation is investigated with two-dimensional particle-in-cell simulation. It is shown that the basic physical parameters such as the H − extraction voltage and the effective electron confinement time significantly affect the formation of the plasma meniscus and the resultant beam halo since the penetration of electric field for negative ion extraction depends on these physical parameters. Especially, the electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of electron diffusion across the magnetic field. The plasma meniscus penetrates deeply into the source plasma region when the effective electron confinement time is short. In this case, the curvature of the plasma meniscus becomes large, and consequently the fraction of the beam halo increases

  16. Simulations of negative hydrogen ion sources

    Science.gov (United States)

    Demerdjiev, A.; Goutev, N.; Tonev, D.

    2018-05-01

    The development and the optimisation of negative hydrogen/deuterium ion sources goes hand in hand with modelling. In this paper a brief introduction on the physics and types of different sources, and on the Kinetic and Fluid theories for plasma description is made. Examples of some recent models are considered whereas the main emphasis is on the model behind the concept and design of a matrix source of negative hydrogen ions. At the Institute for Nuclear Research and Nuclear Energy of the Bulgarian Academy of Sciences a new cyclotron center is under construction which opens new opportunities for research. One of them is the development of plasma sources for additional proton beam acceleration. We have applied the modelling technique implemented in the aforementioned model of the matrix source to a microwave plasma source exemplifying a plasma filled array of cavities made of a dielectric material with high permittivity. Preliminary results for the distribution of the plasma parameters and the φ component of the electric field in the plasma are obtained.

  17. Transport of negative hydrogen and deuterium ions in RF-driven ion sources

    International Nuclear Information System (INIS)

    Gutser, R; Wuenderlich, D; Fantz, U

    2010-01-01

    Negative hydrogen ion sources are major components of neutral beam injection systems for plasma heating in future large-scale fusion experiments such as ITER. In order to fulfill the requirements of the ITER neutral beam injection, a high-performance, large-area RF-driven ion source for negative ions is being developed at the MPI fuer Plasmaphysik. Negative hydrogen ions are mainly generated on a converter surface by impinging neutral particles and positive ions under the influence of magnetic fields and the plasma sheath potential. The 3D transport code TrajAn has been applied in order to obtain the total and spatially resolved extraction probabilities for H - and D - ions under identical plasma parameters and the realistic magnetic field topology of the ion source. A comparison of the isotopes shows a lower total extraction probability in the case of deuterium ions, caused by a different transport effect. The transport calculation shows that distortions of the spatial distributions of ion birth and extraction by the magnetic electron suppression field are present for both negative hydrogen and deuterium ions.

  18. Negative hydrogen ion sources for accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Moehs, D.P.; /Fermilab; Peters, J.; /DESY; Sherman, J.; /Los Alamos

    2005-08-01

    A variety of H{sup -} ion sources are in use at accelerator laboratories around the world. A list of these ion sources includes surface plasma sources with magnetron, Penning and surface converter geometries as well as magnetic-multipole volume sources with and without cesium. Just as varied is the means of igniting and maintaining magnetically confined plasmas. Hot and cold cathodes, radio frequency, and microwave power are all in use, as well as electron tandem source ignition. The extraction systems of accelerator H{sup -} ion sources are highly specialized utilizing magnetic and electric fields in their low energy beam transport systems to produce direct current, as well as pulsed and/or chopped beams with a variety of time structures. Within this paper, specific ion sources utilized at accelerator laboratories shall be reviewed along with the physics of surface and volume H{sup -} production in regard to source emittance. Current research trends including aperture modeling, thermal modeling, surface conditioning, and laser diagnostics will also be discussed.

  19. Role of positive ions on the surface production of negative ions in a fusion plasma reactor type negative ion source--Insights from a three dimensional particle-in-cell Monte Carlo collisions model

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2013-11-01

    Results from a 3D self-consistent Particle-In-Cell Monte Carlo Collisions (PIC MCC) model of a high power fusion-type negative ion source are presented for the first time. The model is used to calculate the plasma characteristics of the ITER prototype BATMAN ion source developed in Garching. Special emphasis is put on the production of negative ions on the plasma grid surface. The question of the relative roles of the impact of neutral hydrogen atoms and positive ions on the cesiated grid surface has attracted much attention recently and the 3D PIC MCC model is used to address this question. The results show that the production of negative ions by positive ion impact on the plasma grid is small with respect to the production by atomic hydrogen or deuterium bombardment (less than 10%).

  20. High-intensity sources for light ions

    International Nuclear Information System (INIS)

    Leung, K.N.

    1995-10-01

    The use of the multicusp plasma generator as a source of light ions is described. By employing radio-frequency induction discharge, the performance of the multicusp source is greatly improved, both in lifetime and in high brightness H + and H - beam production. A new technique for generating multiply-charged ions in this type of ion source is also presented

  1. Ion sources for cyclotron applications

    International Nuclear Information System (INIS)

    Leung, K.N.; Bachman, D.A.; McDonald, D.S.; Young, A.T.

    1992-07-01

    The use of a multicusp plasma generator as an ion source has many advantages. The development of both positive and negative ion beams based on the multicusp source geometry is presented. It is shown that these sources can be operated at steady state or cw mode. As a result they are very suitable for cyclotron operations

  2. Negative hydrogen ion beam extraction from an AC heated cathode driven Bernas-type ion source

    Energy Technology Data Exchange (ETDEWEB)

    Okano, Y.; Miyamoto, N.; Kasuya, T.; Wada, M.

    2015-04-08

    A plasma grid structure was installed to a Bernas-type ion source used for ion implantation equipment. A negative hydrogen (H{sup −}) ion beam was extracted by an AC driven ion source by adjusting the bias to the plasma grid. The extracted electron current was reduced by positively biasing the plasma grid, while an optimum plasma grid bias voltage for negative ion beam extraction was found to be positive 3 V with respect to the arc chamber. Source operations with AC cathode heating show extraction characteristics almost identical to that with DC cathode heating, except a minute increase in H{sup −} current at higher frequency of cathode heating current.

  3. Experimental Evaluation of a Negative Ion Source for a Heavy Ion Fusion Negative Ion Driver

    International Nuclear Information System (INIS)

    Grisham, L.R.; Hahto, S.K.; Hahto, S.T.; Kwan, J.W.; Leung, K.N.

    2004-01-01

    Negative halogen ions have recently been proposed as a possible alternative to positive ions for heavy ion fusion drivers because electron accumulation would not be a problem in the accelerator, and if desired, the beams could be photo-detached to neutrals. To test the ability to make suitable quality beams, an experiment was conducted at Lawrence Berkeley National Laboratory using chlorine in an RF-driven ion source. Without introducing any cesium (which is required to enhance negative ion production in hydrogen ion sources) a negative chlorine current density of 45 mA/cm 2 was obtained under the same conditions that gave 57 45 mA/cm 2 of positive chlorine, suggesting the presence of nearly as many negative ions as positive ions in the plasma near the extraction plane. The negative ion spectrum was 99.5% atomic chlorine ions, with only 0.5% molecular chlorine, and essentially no impurities. Although this experiment did not incorporate the type of electron suppression technology that i s used in negative hydrogen beam extraction, the ratio of co-extracted electrons to Cl - was as low as 7 to 1, many times lower than the ratio of their mobilities, suggesting that few electrons are present in the near-extractor plasma. This, along with the near-equivalence of the positive and negative ion currents, suggests that the plasma in this region was mostly an ion-ion plasma. The negative chlorine current density was relatively insensitive to pressure, and scaled linearly with RF power. If this linear scaling continues to hold at higher RF powers, it should permit current densities of 100 45 mA/cm 2 , sufficient for present heavy ion fusion injector concepts. The effective ion temperatures of the positive and negative ions appeared to be similar and relatively low for a plasma source

  4. Study of the general plasma characteristics of a high power multifilament ion source

    International Nuclear Information System (INIS)

    Schoenberg, K.F.

    1979-09-01

    A general assessment of the steady state and time dependent plasma properties which characterize a high power multifilament ion source is presented. Steady state measurements, obtained via a pulsed electrostatic probe data acquisition system, are described. Fluctuation measurements, obtained via a broadband digital spectral analysis system, are also given

  5. Recent negative ion source developments

    International Nuclear Information System (INIS)

    Alton, G.D.

    1978-01-01

    This report describes recent results obtained from studies associated with the development of negative ion sources which utilize sputtering in a diffuse cesium plasma as a means of ion beam generation. Data are presented which relate negative ion yield and important operational parameters such as cesium oven temperature and sputter probe voltage from each of the following sources: (1) A source based in principle according to the University of Aarhus design and (2) an axial geometry source. The important design aspects of the sources are given--along with a list of the negative ion intensities observed to date. Also a qualitative description and interpretation of the negative ion generation mechanism in sources which utilize sputtering in the presence of cesium is given

  6. Laser ion source with solenoid for Brookhaven National Laboratory-electron beam ion sourcea)

    Science.gov (United States)

    Kondo, K.; Yamamoto, T.; Sekine, M.; Okamura, M.

    2012-02-01

    The electron beam ion source (EBIS) preinjector at Brookhaven National Laboratory (BNL) is a new heavy ion-preinjector for relativistic heavy ion collider (RHIC) and NASA Space Radiation Laboratory (NSRL). Laser ion source (LIS) is a primary ion source provider for the BNL-EBIS. LIS with solenoid at the plasma drift section can realize the low peak current (˜100 μA) with high charge (˜10 nC) which is the BNL-EBIS requirement. The gap between two solenoids does not cause serious plasma current decay, which helps us to make up the BNL-EBIS beamline.

  7. Sheath structure in negative ion sources for fusion (invited)

    International Nuclear Information System (INIS)

    McAdams, R.; King, D. B.; Surrey, E.; Holmes, A. J. T.

    2012-01-01

    In fusion negative ion sources, the negative ions are formed on the caesiated plasma grid predominantly by hydrogen atoms from the plasma. The space charge of the negative ions leaving the wall is not fully compensated by incoming positive ions and at high enough emission a virtual cathode is formed. This virtual cathode limits the flux of negative ions transported across the sheath to the plasma. A 1D collisionless model of the sheath is presented taking into account the virtual cathode. The model will be applied to examples of the ion source operation. Extension of the model to the bulk plasma shows good agreement with experimental data. A possible role for fast ions is discussed.

  8. Negative Ion Sources: Magnetron and Penning

    CERN Document Server

    Faircloth, D.C.

    2013-12-16

    The history of the magnetron and Penning electrode geometry is briefly outlined. Plasma generation by electrical discharge-driven electron impact ionization is described and the basic physics of plasma and electrodes relevant to magnetron and Penning discharges are explained. Negative ions and their applications are introduced, along with their production mechanisms. Caesium and surface production of negative ions are detailed. Technical details of how to build magnetron and Penning surface plasma sources are given, along with examples of specific sources from around the world. Failure modes are listed and lifetimes compared.

  9. Negative Ion Sources: Magnetron and Penning

    International Nuclear Information System (INIS)

    Faircloth, D C

    2013-01-01

    The history of the magnetron and Penning electrode geometry is briefly outlined. Plasma generation by electrical discharge-driven electron impact ionization is described and the basic physics of plasma and electrodes relevant to magnetron and Penning discharges are explained. Negative ions and their applications are introduced, along with their production mechanisms. Caesium and surface production of negative ions are detailed. Technical details of how to build magnetron and Penning surface plasma sources are given, along with examples of specific sources from around the world. Failure modes are listed and lifetimes compared. (author)

  10. Simple, high current, antimony ion source

    International Nuclear Information System (INIS)

    Sugiura, H.

    1979-01-01

    A simple metal ion source capable of producing a continuous, uncontaminated, high current beam of Sb ions is presented. It produced a total ion current of 200 μA at 1 kV extraction voltage. A discharge occurred in the source at a pressure of 6 x 10 -4 Torr. The ion current extracted from the source increased with the 3/2 power of the extraction voltage. The perveance of the source and ion density in the plasma were 8 x 10 -9 and 1.8 x 10 11 cm -3 , respectively

  11. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters

    International Nuclear Information System (INIS)

    Adrouche, N.

    2006-09-01

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne 9+- argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne 9+ with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne 9+ beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  12. A review on ion–ion plasmas created in weakly magnetized electronegative plasmas

    International Nuclear Information System (INIS)

    Aanesland, A; Bredin, J; Chabert, P

    2014-01-01

    Ion–Ion plasmas are electronegative plasmas where the electron density is several orders of magnitude lower than the negative ion density. These plasmas have been scarcely observed and investigated since the 1960s and are formed as a transient state of pulsed plasmas or in separate regions in magnetized plasmas. In this review we focus on the latter case of continuous formation of ion–ion plasmas created at the periphery of magnetized plasma columns or downstream localized magnetic barriers. We bring together and review experimental results already published elsewhere and complement them with new results to illustrate the physics important in ion–ion plasma formation and highlight in particular unanswered questions. We show that with a good design the density in the ion–ion region is dropping only by a factor of 2–3 from the initial plasma density. These plasmas can therefore be well suited for various ion source applications when both fluxes or beams of positive and negative ions are desired, and when electrons can cause harmful effects. (paper)

  13. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Hong-Ying, Han; Xiao-Qing, Peng; Ye, Chang; De-Zhen, Wang

    2010-01-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis

  14. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    Science.gov (United States)

    Liu, Cheng-Sen; Han, Hong-Ying; Peng, Xiao-Qing; Chang, Ye; Wang, De-Zhen

    2010-03-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis.

  15. Characteristics of a High Current Helicon Ion Source With High Monatomic Fraction

    International Nuclear Information System (INIS)

    Jung, Hwa-Dong; Chung, Kyoung-Jae; Hwang, Yong-Seok

    2006-01-01

    Applications of neutron need compact and high yield neutron sources as well as very intense neutron sources from giant devices such as accelerators. Ion source based neutron sources using nuclear fusion reactions such as D(d, 3He)n, D(t, 4He)n can meet the requirements. This type of neutron generators can be simply composed of an ion source and a target. High-performance neutron generators with high yield require ion sources with high beam current, high monatomic fraction and long lifetime. Helicon ion source can meet these requirements. To make high current ion source, characteristics of helicon plasma such as high plasma density can be utilized. Moreover, efficient plasma heating with RF power lead high fraction of monatomic ion beam. Here, Characteristics of helicon plasma sources are described. Design and its performances of a helicon ion source are presented

  16. The requirements for low-temperature plasma ionization support miniaturization of the ion source.

    Science.gov (United States)

    Kiontke, Andreas; Holzer, Frank; Belder, Detlev; Birkemeyer, Claudia

    2018-06-01

    Ambient ionization mass spectrometry (AI-MS), the ionization of samples under ambient conditions, enables fast and simple analysis of samples without or with little sample preparation. Due to their simple construction and low resource consumption, plasma-based ionization methods in particular are considered ideal for use in mobile analytical devices. However, systematic investigations that have attempted to identify the optimal configuration of a plasma source to achieve the sensitive detection of target molecules are still rare. We therefore used a low-temperature plasma ionization (LTPI) source based on dielectric barrier discharge with helium employed as the process gas to identify the factors that most strongly influence the signal intensity in the mass spectrometry of species formed by plasma ionization. In this study, we investigated several construction-related parameters of the plasma source and found that a low wall thickness of the dielectric, a small outlet spacing, and a short distance between the plasma source and the MS inlet are needed to achieve optimal signal intensity with a process-gas flow rate of as little as 10 mL/min. In conclusion, this type of ion source is especially well suited for downscaling, which is usually required in mobile devices. Our results provide valuable insights into the LTPI mechanism; they reveal the potential to further improve its implementation and standardization for mobile mass spectrometry as well as our understanding of the requirements and selectivity of this technique. Graphical abstract Optimized parameters of a dielectric barrier discharge plasma for ionization in mass spectrometry. The electrode size, shape, and arrangement, the thickness of the dielectric, and distances between the plasma source, sample, and MS inlet are marked in red. The process gas (helium) flow is shown in black.

  17. Ion source techniques for high-speed processing of material surface by ion beams

    International Nuclear Information System (INIS)

    Ishikawa, Junzo

    1990-01-01

    The present paper discusses some key or candidate techniques for future ion source development and such ion sources developed by the author. Several types of microwave ion sources for producing low charge state ions have been developed in Japan. When a microwave plasma cathode developed by the author is adapted to a Kaufman type ion source, the electron emission currents are found to be 2.5 A for argon gas and 0.5-0.9 A for oxygen gas. An alternative ionization method for metal atoms is strongly required for high-speed processing of material surface by metal-ion beams. Detailed discussion is made of collisional ionization of vaporized atoms, and negative-ion production (secondary negative-ion emission by sputtering). An impregnated electrode type liquid-metal ion source developed by the author, which has a porous tip structure, is described. The negative-ion production efficiency is quite high. The report also presents a neutral and ionized alkaline-metal bombardment type heavy negative-ion source, which consists of a cesium plasma ion source, suppressor, target electrode, negative-ion extraction electrode, and einzel lens. (N.K.)

  18. Fluid model of the sheath in front of a floating electrode immersed in a magnetized plasma with oblique magnetic field: Some comments on ion source terms and ion temperature effects

    International Nuclear Information System (INIS)

    Gyergyek, T.; Kovačič, J.

    2015-01-01

    A one-dimensional fluid model of the magnetized plasma-wall transition region in front of a floating electrode immersed in a magnetized plasma with oblique magnetic field is presented. The Boltzmann relation is assumed for the electrons, while the positive ions obey the ion continuity and momentum exchange equation. The ions are assumed to be isothermal. By comparison with a two-fluid model, it is shown that assuming the Boltzmann relation for the electrons implies that there is no creation or annihilation of the electrons. Consequently, there should not be any creation and annihilation of the positive ions either. The models that assume the Boltzmann relation for the electrons and a non-zero ion source term at the same time are therefore inconsistent, but such models have nevertheless been used extensively by many authors. So, in this work, an extensive comparison of the results obtained using the zero source term on one hand and three different non-zero source terms on the other hand is made. Four different ion source terms are considered in total: the zero source term and three different non-zero ion source terms. When the zero source term is used, the model becomes very sensitive to the boundary conditions, and in some cases, the solutions exhibit large amplitude oscillations. If any of the three non-zero ion source terms is used, those problems are eliminated, but also the consistency of the model is broken. The model equations are solved numerically in the entire magnetized plasma-wall transition region. For zero ion temperature, the model can be solved even if a very small ion velocity is selected as a boundary condition. For finite ion temperature, the system of equations becomes stiff, unless the ion velocity at the boundary is increased slightly above the ion thermal velocity. A simple method how to find a solution with a very small ion velocity at the boundary also for finite ion temperature in the entire magnetized plasma-wall transition region is

  19. Design and simulation of ion optics for ion sources for production of singly charged ions

    Science.gov (United States)

    Zelenak, A.; Bogomolov, S. L.

    2004-05-01

    During the last 2 years different types of the singly charged ion sources were developed for FLNR (JINR) new projects such as Dubna radioactive ion beams, (Phase I and Phase II), the production of the tritium ion beam and the MASHA mass separator. The ion optics simulations for 2.45 GHz electron cyclotron resonance source, rf source, and the plasma ion source were performed. In this article the design and simulation results of the optics of new ion sources are presented. The results of simulation are compared with measurements obtained during the experiments.

  20. Design and simulation of ion optics for ion sources for production of singly charged ions

    International Nuclear Information System (INIS)

    Zelenak, A.; Bogomolov, S.L.

    2004-01-01

    During the last 2 years different types of the singly charged ion sources were developed for FLNR (JINR) new projects such as Dubna radioactive ion beams, (Phase I and Phase II), the production of the tritium ion beam and the MASHA mass separator. The ion optics simulations for 2.45 GHz electron cyclotron resonance source, rf source, and the plasma ion source were performed. In this article the design and simulation results of the optics of new ion sources are presented. The results of simulation are compared with measurements obtained during the experiments

  1. Note: Ion source design for ion trap systems

    Science.gov (United States)

    Noriega, J. R.; Quevedo, M.; Gnade, B.; Vasselli, J.

    2013-06-01

    A small plasma (glow discharge) based ion source and circuit are described in this work. The ion source works by producing a high voltage pulsed discharge between two electrodes in a pressure range of 50-100 mTorr. A third mesh electrode is used for ion extraction. The electrodes are small stainless steel screws mounted in a MACOR ionization chamber in a linear arrangement. The electrode arrangement is driven by a circuit, design for low power operation. This design is a proof of concept intended for applications on small cylindrical ion traps.

  2. Gas discharge ion source. II. Duopigatron

    International Nuclear Information System (INIS)

    Bacon, F.M.; Bickes, R.W. Jr.; O'Hagan, J.B.

    1978-01-01

    Ion source performance characteristics consisting of total ion current, ion energy distribution, mass distribution, and ion current density distribution have been measured for several models of a duopigatron. Variations on the duopigatron design involved plasma expansion cup material and dimensions, secondary cathode material, and interelectrode spacings. Of the designs tested, the one with a copper and molybdenum secondary cathode, and a mild steel plasma expansion cup proved to give the best results. The ion current density distribution was peaked at the center of the plasma expansion cup and fell off to 80% of the peak value at the cup wall for a cup 15.2 mm deep. A total ion current of 180 mA consisting of 60%-70% atomic ions was produced with an arc current of 20 A and source pressure of 9.3 Pa. More shallow cups produced a larger beam current and a more sharply peaked ion current density distribution. Typical ion energy distributions were bellshaped curves with a peak 10-20 V below anode potential and with ion energies extending 30-40 V on either side of the peak

  3. An enhanced production of highly charged ions in the ECR ion sources

    International Nuclear Information System (INIS)

    Schaechter, L.; Dobrescu, S.; Badescu- Singureanu, Al.I.; Stiebing, K.E.; Runkel, S.; Hohn, O.; Schmidt, L.; Schempp, A.; Schmidt - Boecking, H.

    2000-01-01

    The electron cyclotron resonance (ECR) ion source (ECRIS) are the ideal sources of highly charged heavy ions. Highly charged heavy ions are widely used in atomic physics research where they constitute a very efficient tool due to their very high electric potential of collision. The highly charged ions are also used in fusion plasma physics studies, in solid state surface physics investigations and are very efficient when injected in particle accelerators. More than 50 ECR ion sources are presently working in the whole world. Stable and intense highly charged heavy ions beams are extracted from ECR ion sources, in a wide range of ion species. RECRIS, the Romanian 14 GHz ECR Ion Source, developed in IFIN-HH, designed as a facility for atomic physics and materials studies, has been recently completed. The research field concerning the development of advanced ECRIS and the study of the physical processes of the ECR plasma are presently very dynamical , a fact well proved by the great number of scientific published works and the numerous dedicated international conferences and workshops. It is well established that the performance of ECRIS can substantially be enhanced if special techniques like a 'biased disk' or a special wall coating of the plasma chamber are employed. In the frame of a cooperation project between IFIN-HH ,Bucharest, Romania and the Institut fuer Kernphysik of the J. W. Goethe University, Frankfurt/Main, Germany we developed, on the basis of previous research carried out in IFIN-HH, a new method to strongly increase the intensity of the ion beams extracted from the 14.4 GHz ECRIS in Frankfurt. In our method a special metal-dielectric structure (MD cylinder) was introduced in the ECRIS plasma chamber. In the experiment analyzed beams of Ar 16+ ions were increased in intensity by a factor of 50 as compared to the standard set up with stainless steel chamber. These results have been communicated at the International Conference on Ion Sources held at

  4. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  5. 11. international conference on ion sources

    International Nuclear Information System (INIS)

    Leitner, D.; Lyneis, C.; Cheng, D.; Galloway, M.L.; Leitner, M.; Todd, D.S.; Ciavola, G.; Gammino, S.; Celona, L.; Ando, L.; Torrisi, L.; Cavenago, M.; Galata, A.; Spaedtke, P.; Tinschert, K.; Lang, R.; Iannucci, R.; Leroy, R.; Barue, C.; Hitz, D.; Koivisto, H.; Suominen, P.; Tarvainen, O.; Beijers, H.; Brandenburg, S.; Vanrooyen, D.; Hillo, C.; Kuchler, D.; Homeyer, H.; Rohrich, J.; Schachter, L.; Dobrescu, S.; Nakagawa, T.; Higurashi, Y.; Kidera, M.; Aihara, T.; Kase, M.; Goto, A.; Yang, Y.; Zhao, H.W.; Zhang, Z.M.; Zhang, X.Z.; Guo, X.H.; He, W.E.; Sun, L.T.; Yuan, P.; Song, M.T.; Xie, Z.Q.; Cao, Y.; Zhan, W.L.; Wei, B.W.; Bricault, P.; Lau, C.; Essabaa, S.; Cheikh Mhamed, M.; Bajeat, O.; Ducourtieux, M.; Lefort, H.; Panteleev, V.N.; Barzakh, A.E.; Fedorov, D.V.; Ionan, A.M.; Mezilev, K.A.; Moroz, F.V.; Orlov, S.Y.; Volkov, Y.M.; Andrighetto, A.; Lhersonneau, G.; Rizzi, V.; Tecchio, L.B.; Dubois, M.; Gaubert, G.; Jardins, P.; Lecesne, N.; Leroy, R.; Pacquet, J.Y.; Saint Laurent, M.G.; Villari, A.C.O.; Bajeat, O.; Essabaa, S.; Lau, C.; Menna, M.; Franberg, H.; Ammann, M.; Gdggeler, H.W.; Koster, U.; Allen, F.; Biedermann, C.; Radtke, R.; Ames, F.; Baartman, R.; Bricault, P.; Jayamanna, K.; Lamy, T.; McDonald, M.; Olivo, M.; Schmorl, P.; Yuan, D.H.L.; Asaji, T.; Sasaki, H.; Kato, Y.; Atabaev, B.; Radjabov, S.S.; Akhmadjanova, M.K.; Yuzikaeva, F.R.; Baoqun, Cui; Liqiang, Li; Yingjun, Ma; Shengyun, Zhu; Cong, Jiang

    2005-01-01

    This document gathers the summaries of the presentations made at ICIS05 (international conference on ion sources). It can be organized into 3 main topics: 1) 'fundamentals and theory' that deals with plasma, beam extraction, transport and emittance, diagnostics and simulation; 2) 'various types of ion sources' that include ECRIS, EBIS, microwave, negative, radioactive, polarized and laser ion sources, and charge breeders; and 3) 'ion sources and applications' in fields like accelerator injection, fusion energy, space propulsion, mass spectrometry, and neutron and cluster and rare nuclide production

  6. Modeling of negative ion extraction from a magnetized plasma source: Derivation of scaling laws and description of the origins of aberrations in the ion beam

    Science.gov (United States)

    Fubiani, G.; Garrigues, L.; Boeuf, J. P.

    2018-02-01

    We model the extraction of negative ions from a high brightness high power magnetized negative ion source. The model is a Particle-In-Cell (PIC) algorithm with Monte-Carlo Collisions. The negative ions are generated only on the plasma grid surface (which separates the plasma from the electrostatic accelerator downstream). The scope of this work is to derive scaling laws for the negative ion beam properties versus the extraction voltage (potential of the first grid of the accelerator) and plasma density and investigate the origins of aberrations on the ion beam. We show that a given value of the negative ion beam perveance correlates rather well with the beam profile on the extraction grid independent of the simulated plasma density. Furthermore, the extracted beam current may be scaled to any value of the plasma density. The scaling factor must be derived numerically but the overall gain of computational cost compared to performing a PIC simulation at the real plasma density is significant. Aberrations appear for a meniscus curvature radius of the order of the radius of the grid aperture. These aberrations cannot be cancelled out by switching to a chamfered grid aperture (as in the case of positive ions).

  7. Multicharged and intense heavy ion beam sources

    International Nuclear Information System (INIS)

    Kutner, V.B.

    1981-01-01

    The cyclotron plasma-are source (PIG), duoplasmatron (DP), laser source (LS), electron beam ion source (EBIS) and electron cyclotron resonance source (ECRS) from the viewpoint of generating intense and high charge state beams are considered. It is pointed out that for the last years three types of multicharged ion sources-EBIS, ECR and LS have been essentially developed. In the EBIS source the Xe 48+ ions are produced. The present day level of the development of the electron-beam ionization technique shows that by means of this technique intensive uranium nuclei beams production becomes a reality. On the ECR source Xe 26+ approximately 4x10 10 h/s, Asub(r)sup(12+) approximately 10 12 h/s intensive ion beams are produced. In the laser source a full number of C 6+ ions during one laser pulse constitutes not less than 10 10 from the 5x10mm 2 emission slit. At the present time important results are obtained pointing to the possibility to separate the ion component of laser plasma in the cyclotron central region. On the PIG source the Xe 15+ ion current up to 10μA per pulse is produced. In the duoplasmatron the 11-charge state of xenon ion beams is reached [ru

  8. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters; Diagnostic du plasma de la source d'ions ECR SIMPA par spectroscopie X, Collision d'ions neon hydrogenoides avec des agregats d'argon

    Energy Technology Data Exchange (ETDEWEB)

    Adrouche, N

    2006-09-15

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne{sup 9+-} argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne{sup 9+} with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne{sup 9+} beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  9. Simulation study on ion extraction from ECR ion sources

    International Nuclear Information System (INIS)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author)

  10. Simulation study on ion extraction from ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author).

  11. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy,and Related Fields

    International Nuclear Information System (INIS)

    Grisham, L.R.; Kwan, J.W.

    2008-01-01

    Some years ago it was suggested that halogen negative ions could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, and with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion - ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component - positive ions, negative ions, and electrons - can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion - ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed.

  12. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy, and Related Fields

    International Nuclear Information System (INIS)

    Grisham, L.R.; Kwan, J.W.

    2008-01-01

    Some years ago it was suggested that halogen negative ions (1)could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, and with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion-ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component--positive ions, negative ions, and electrons--can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion-ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed

  13. Development of the front end test stand and vessel for extraction and source plasma analyses negative hydrogen ion sources at the Rutherford Appleton Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Lawrie, S. R., E-mail: scott.lawrie@stfc.ac.uk [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); John Adams Institute of Accelerator Science, University of Oxford, Oxford (United Kingdom); Faircloth, D. C.; Letchford, A. P.; Perkins, M.; Whitehead, M. O.; Wood, T. [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Gabor, C. [ASTeC Intense Beams Group, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Back, J. [High Energy Physics Department, University of Warwick, Coventry (United Kingdom)

    2014-02-15

    The ISIS pulsed spallation neutron and muon facility at the Rutherford Appleton Laboratory (RAL) in the UK uses a Penning surface plasma negative hydrogen ion source. Upgrade options for the ISIS accelerator system demand a higher current, lower emittance beam with longer pulse lengths from the injector. The Front End Test Stand is being constructed at RAL to meet the upgrade requirements using a modified ISIS ion source. A new 10% duty cycle 25 kV pulsed extraction power supply has been commissioned and the first meter of 3 MeV radio frequency quadrupole has been delivered. Simultaneously, a Vessel for Extraction and Source Plasma Analyses is under construction in a new laboratory at RAL. The detailed measurements of the plasma and extracted beam characteristics will allow a radical overhaul of the transport optics, potentially yielding a simpler source configuration with greater output and lifetime.

  14. Note: Development of ESS Bilbao's proton ion source: Ion Source Hydrogen Positive

    International Nuclear Information System (INIS)

    Miracoli, R.; Feuchtwanger, J.; Arredondo, I.; Belver, D.; Gonzalez, P. J.; Corres, J.; Djekic, S.; Echevarria, P.; Eguiraun, M.; Garmendia, N.; Muguira, L.

    2014-01-01

    The Ion Source Hydrogen positive is a 2.7 GHz off-resonance microwave discharge ion source. It uses four coils to generate an axial magnetic field in the plasma chamber around 0.1 T that exceeds the ECR resonance field. A new magnetic system was designed as a combination of the four coils and soft iron in order to increase the reliability of the source. The description of the simulations of the magnetic field and the comparison with the magnetic measurements are presented. Moreover, results of the initial commissioning of the source for extraction voltage until 50 kV will be reported

  15. Microwave plasma ion sources for selected ion flow tube mass spectrometry: Optimizing their performance and detection limits for trace gas analysis

    Czech Academy of Sciences Publication Activity Database

    Španěl, Patrik; Dryahina, Kseniya; Smith, D.

    2007-01-01

    Roč. 267, 1-3 (2007), s. 117-124 ISSN 1387-3806 R&D Projects: GA ČR GA202/06/0776 Institutional research plan: CEZ:AV0Z40400503 Keywords : microwave plasma ion source * selected ion flow tube mass spectrometry * SIFT-MS * breath analysis Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.411, year: 2007

  16. High-resolution spectral analysis of light from neutral beams and ion source plasmas

    International Nuclear Information System (INIS)

    McNeill, D.H.; Kim, J.

    1980-05-01

    The spectral distributions of Balmer alpha emission from 7- and 22-cm-diam neutral hydrogen beams have been measured with a Fabry-Perot interferometer to obtain information on the beam energy, divergence, and species composition. Results of these measurements are compared with other data on the beam properties to evaluate high-resolution spectroscopy as a beam diagnostic technique. Measurements on ion source plasmas and on beam-produced background plasmas yield average neutral atom energies of approximately 0.3 and 2.5 eV, respectively

  17. Beam emittance measurements on multicusp ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Sarstedt, M.; Lee, Y.; Leung, K.N. [and others

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 {mu}m patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma.

  18. Beam emittance measurements on multicusp ion sources

    International Nuclear Information System (INIS)

    Sarstedt, M.; Lee, Y.; Leung, K.N.

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 μm patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma

  19. 11. international conference on ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Leitner, D.; Lyneis, C.; Cheng, D.; Galloway, M.L.; Leitner, M.; Todd, D.S.; Ciavola, G.; Gammino, S.; Celona, L.; Ando, L.; Torrisi, L.; Cavenago, M.; Galata, A.; Spaedtke, P.; Tinschert, K.; Lang, R.; Iannucci, R.; Leroy, R.; Barue, C.; Hitz, D.; Koivisto, H.; Suominen, P.; Tarvainen, O.; Beijers, H.; Brandenburg, S.; Vanrooyen, D.; Hillo, C.; Kuchler, D.; Homeyer, H.; Rohrich, J.; Schachter, L.; Dobrescu, S.; Nakagawa, T.; Higurashi, Y.; Kidera, M.; Aihara, T.; Kase, M.; Goto, A.; Yang, Y.; Zhao, H.W.; Zhang, Z.M.; Zhang, X.Z.; Guo, X.H.; He, W.E.; Sun, L.T.; Yuan, P.; Song, M.T.; Xie, Z.Q.; Cao, Y.; Zhan, W.L.; Wei, B.W.; Bricault, P.; Lau, C.; Essabaa, S.; Cheikh Mhamed, M.; Bajeat, O.; Ducourtieux, M.; Lefort, H.; Panteleev, V.N.; Barzakh, A.E.; Fedorov, D.V.; Ionan, A.M.; Mezilev, K.A.; Moroz, F.V.; Orlov, S.Y.; Volkov, Y.M.; Andrighetto, A.; Lhersonneau, G.; Rizzi, V.; Tecchio, L.B.; Dubois, M.; Gaubert, G.; Jardins, P.; Lecesne, N.; Leroy, R.; Pacquet, J.Y.; Saint Laurent, M.G.; Villari, A.C.O.; Bajeat, O.; Essabaa, S.; Lau, C.; Menna, M.; Franberg, H.; Ammann, M.; Gdggeler, H.W.; Koster, U.; Allen, F.; Biedermann, C.; Radtke, R.; Ames, F.; Baartman, R.; Bricault, P.; Jayamanna, K.; Lamy, T.; McDonald, M.; Olivo, M.; Schmorl, P.; Yuan, D.H.L.; Asaji, T.; Sasaki, H.; Kato, Y.; Atabaev, B.; Radjabov, S.S.; Akhmadjanova, M.K.; Yuzikaeva, F.R.; Baoqun, Cui; Liqiang, Li; Yingjun, Ma; Shengyun, Zhu; Cong, Jiang

    2005-07-01

    This document gathers the summaries of the presentations made at ICIS05 (international conference on ion sources). It can be organized into 3 main topics: 1) 'fundamentals and theory' that deals with plasma, beam extraction, transport and emittance, diagnostics and simulation; 2) 'various types of ion sources' that include ECRIS, EBIS, microwave, negative, radioactive, polarized and laser ion sources, and charge breeders; and 3) 'ion sources and applications' in fields like accelerator injection, fusion energy, space propulsion, mass spectrometry, and neutron and cluster and rare nuclide production.

  20. A small electron beam ion trap/source facility for electron/neutral–ion collisional spectroscopy in astrophysical plasmas

    Science.gov (United States)

    Liang, Gui-Yun; Wei, Hui-Gang; Yuan, Da-Wei; Wang, Fei-Lu; Peng, Ji-Min; Zhong, Jia-Yong; Zhu, Xiao-Long; Schmidt, Mike; Zschornack, Günter; Ma, Xin-Wen; Zhao, Gang

    2018-01-01

    Spectra are fundamental observation data used for astronomical research, but understanding them strongly depends on theoretical models with many fundamental parameters from theoretical calculations. Different models give different insights for understanding a specific object. Hence, laboratory benchmarks for these theoretical models become necessary. An electron beam ion trap is an ideal facility for spectroscopic benchmarks due to its similar conditions of electron density and temperature compared to astrophysical plasmas in stellar coronae, supernova remnants and so on. In this paper, we will describe the performance of a small electron beam ion trap/source facility installed at National Astronomical Observatories, Chinese Academy of Sciences.We present some preliminary experimental results on X-ray emission, ion production, the ionization process of trapped ions as well as the effects of charge exchange on the ionization.

  1. Overview of ion source characterization diagnostics in INTF

    Science.gov (United States)

    Bandyopadhyay, M.; Sudhir, Dass; Bhuyan, M.; Soni, J.; Tyagi, H.; Joshi, J.; Yadav, A.; Rotti, C.; Parmar, Deepak; Patel, H.; Pillai, S.; Chakraborty, A.

    2016-02-01

    INdian Test Facility (INTF) is envisaged to characterize ITER diagnostic neutral beam system and to establish the functionality of its eight inductively coupled RF plasma driver based negative hydrogen ion source and its beamline components. The beam quality mainly depends on the ion source performance and therefore, its diagnostics plays an important role for its safe and optimized operation. A number of diagnostics are planned in INTF to characterize the ion source performance. Negative ions and its cesium contents in the source will be monitored by optical emission spectroscopy (OES) and cavity ring down spectroscopy. Plasma near the extraction region will be studied using standard electrostatic probes. The beam divergence and negative ion stripping losses are planned to be measured using Doppler shift spectroscopy. During initial phase of ion beam characterization, carbon fiber composite based infrared imaging diagnostics will be used. Safe operation of the beam will be ensured by using standard thermocouples and electrical voltage-current measurement sensors. A novel concept, based on plasma density dependent plasma impedance measurement using RF electrical impedance matching parameters to characterize the RF driver plasma, will be tested in INTF and will be validated with OES data. The paper will discuss about the overview of the complete INTF diagnostics including its present status of procurement, experimentation, interface with mechanical systems in INTF, and integration with INTF data acquisition and control systems.

  2. Development of compact size penning ion source for compact neutron generator.

    Science.gov (United States)

    Das, Basanta Kumar; Shyam, Anurag

    2008-12-01

    For long-life operation, easy to mount and compact in size penning type ion sources are widely used in different fields of research such as neutron generators, material research, and surface etching. One penning type ion source has been developed in our laboratory. Applying high voltage of 2 kV between two oppositely biased electrodes and using permanent magnet of 500 gauss magnetic field along the axis, we had produced the glow discharge in the plasma region. The performance of this source was investigated using nitrogen gas. Deuterium ions were produced and extracted on the basis of chosen electrodes and the angle of extraction. Using a single aperture plasma electrode, the beam was extracted along the axial direction. The geometry of plasma electrode is an important factor for the efficient extraction of the ions from the plasma ion source. The extracted ion current depends upon the shape of the plasma meniscus. A concave shaped plasma meniscus produces converged ion beam. The convergence of extracted ions is related to the extraction electrode angle. The greater the angle, the more the beam converges. We had studied experimentally this effect with a compact size penning ion source. The detailed comparison among the different extraction geometry and different electrode angle are discussed in this paper.

  3. Development of high current low energy H+ ion source

    International Nuclear Information System (INIS)

    Forrester, A.T.; Crow, J.T.; Goebel, D.M.

    1978-01-01

    The ultimate goal of this work is the development of an ion source suitable for double charge exchange of D + ions to D - ions in cesium or other vapor. Since the fraction of the D + which changes to D - may be as high as 0.35 in the energy below one keV, the process appears very favorable. What is desired is a source of several hundred cm 2 area, with a D + current density greater than, say 0.2A/cm 2 . Small angular spread is essential with up to about 0.1 radian being acceptable. A simple approach to this problem appears to be through fine mesh extraction electrodes. In this system a single grid facing the ion source plasma constitutes the entire extraction electrode system. If the potential difference between the grid and the source plasma is large compared to the ion energy at the plasma boundary, then the distance s 0 is just the Child-Langmuir distance corresponding to the ion current density J and the potential difference V 0 between the plasma and the grid

  4. Preliminary results of a broad beam RF ion source with electron plasma interaction. Vol. 2

    Energy Technology Data Exchange (ETDEWEB)

    Abdelaziz, M E; Zakhary, S G; Ghanem, A A; Abdel-Ghaffar, A M [Ion Sources and Accelerators Department, Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1996-03-01

    A new design of a broad beam RF ion source is made to be capable to deliver wide and uniform beam with currents reaching (100 {mu} A up to 30 mA) at extraction voltages (200 V up to 2 kV). Its plasma intensifying system is made with the addition of electrons from an immersed filament in the discharge and axial magnetic field (70 up to 300 G). A uniform beam distribution is made with a planner graphite cathode which has a number of holes arranged to produce perveance matching with the normal Gaussian distribution of the beam density. These holes are arranged in a consequent orbits with equal distance between the adjacent holes in each orbit. These holes increase in diameter with increasing the orbit radius. This allows increasing the extracted ion currents at the source outer edges and decreases its value at the source inner region; producing wide and uniform beam which is suitable for material modifications. The beam profiles are traced with electromechanical scanner and X-Y recorder. The perveance matching is found to produce a beam uniformity of =66% of its width which reaches =6 cm. The variation of the output currents are with the variation of extraction voltages, magnetic field, discharge pressure and electron injection into the plasma. The extracted current increases with the increase of the discharge pressure, RF power and magnetic field intensity. The influence of electron plasma interaction is found to have a great effect on increasing the ion currents to about four times its value without electron interaction, however, this increase is limited due to presence of breakdown at V{sub ex} > 2 kV. The simple design of this source, its cleanness due to the use of pyrex discharge bottle, easy operation and maintenance adds other features to this broad beam type ion source which makes it suitable for metallurgical applications in broad beam accelerators. 6 figs.

  5. Observations of strong ion-ion correlations in dense plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Ma, T.; Fletcher, L.; Pak, A.; Chapman, D. A.; Falcone, R. W.; Fortmann, C.; Galtier, E.; Gericke, D. O.; Gregori, G.; Hastings, J.; Landen, O. L.; Le Pape, S.; Lee, H. J.; Nagler, B.; Neumayer, P.; Turnbull, D.; Vorberger, J.; White, T. G.; Wünsch, K.; Zastrau, U.; Glenzer, S. H.; Döppner, T.

    2014-05-01

    Using simultaneous spectrally, angularly, and temporally resolved x-ray scattering, we measure the pronounced ion-ion correlation peak in a strongly coupled plasma. Laser-driven shock-compressed aluminum at ~3× solid density is probed with high-energy photons at 17.9 keV created by molybdenum He-α emission in a laser-driven plasma source. The measured elastic scattering feature shows a well-pronounced correlation peak at a wave vector of k=4k=4Å-1. The magnitude of this correlation peak cannot be described by standard plasma theories employing a linear screened Coulomb potential. Advanced models, including a strong short-range repulsion due to the inner structure of the aluminum ions are however in good agreement with the scattering data. These studies have demonstrated a new highly accurate diagnostic technique to directly measure the state of compression and the ion-ion correlations. We have since applied this new method in single-shot wave-number resolved S(k) measurements to characterize the physical properties of dense plasmas.

  6. Mean energy of ions at outlet of a type Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1998-01-01

    In this work it is described the calculations to mean energy of the ions in the extraction zone of a type Ecr plasma source considering the presence of a metallic substrate. This zone is characterized by the existence of a divergent magnetic field. It is showed that mean energy is function as the distance between the outlet and substrate as the value of the external magnetic field. (Author)

  7. H$^{-}$ ion source for CERN's Linac4 accelerator: simulation, experimental validation and optimization of the hydrogen plasma

    CERN Document Server

    Mattei, Stefano; Lettry, Jacques

    2017-07-25

    Linac4 is the new negative hydrogen ion (H$^-$) linear accelerator of the European Organization for Nuclear Research (CERN). Its ion source operates on the principle of Radio-Frequency Inductively Coupled Plasma (RF-ICP) and it is required to provide 50~mA of H$^-$ beam in pulses of 600~$\\mu$s with a repetition rate up to 2 Hz and within an RMS emittance of 0.25~$\\pi$~mm~mrad in order to fullfil the requirements of the accelerator. This thesis is dedicated to the characterization of the hydrogen plasma in the Linac4 H$^-$ ion source. We have developed a Particle-In-Cell Monte Carlo Collision (PIC-MCC) code to simulate the RF-ICP heating mechanism and performed measurements to benchmark the fraction of the simulation outputs that can be experimentally accessed. The code solves self-consistently the interaction between the electromagnetic field generated by the RF coil and the resulting plasma response, including a kinetic description of charged and neutral species. A fully-implicit implementation allowed to si...

  8. Study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    International Nuclear Information System (INIS)

    Wright, K.H. Jr.

    1988-02-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory

  9. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  10. Ion sources for MedAustron

    International Nuclear Information System (INIS)

    Lettry, J.; Penescu, L.; Wallner, J.; Sargsyan, E.

    2010-01-01

    The MedAustron Ion therapy center will be constructed in Wiener Neustadt (Austria) in the vicinity of Vienna. Its accelerator complex consists of four ion sources, a linear accelerator, a synchrotron, and a beam delivery system to the three medical treatment rooms and to the research irradiation room. The ion sources shall deliver beams of H 3 1+ , C 4+ , and light ions with utmost reliability and stability. This paper describes the features of the ion sources presently planned for the MedAustron facility, such as ion source main parameters, gas injection, temperature control, and cooling systems. A dedicated beam diagnostics technique is proposed in order to characterize electron cyclotron resonance (ECR) ion beams; in the first drift region after the ion source, a fraction of the mixed beam is selected via moveable aperture. With standard beam diagnostics, we then aim to produce position-dependant observables such as ion-current density, beam energy distribution, and emittance for each charge states to be compared to simulations of ECR e-heating, plasma simulation, beam formation, and transport.

  11. Development of hollow anode penning ion source for laboratory application

    Energy Technology Data Exchange (ETDEWEB)

    Das, B.K., E-mail: dasbabu31@gmail.com [Energetics and Electromagnetics Division, Bhabha Atomic Research Centre, Autonagar, Visakhapatnam (India); Shyam, A.; Das, R. [Energetics and Electromagnetics Division, Bhabha Atomic Research Centre, Autonagar, Visakhapatnam (India); Rao, A.D.P. [Department of Nuclear Physics, Andhra University, Visakhapatnam (India)

    2012-03-21

    The research work presented here focuses for the development of miniature penning type ion source. One hollow anode penning type ion source was developed in our laboratory. The size of the ion source is 38 mm diameter and 55 mm length. The ion source consists of two cathodes, a hollow anode and one piece of rare earth permanent magnet. The plasma was created in the plasma region between cathodes and the hollow anode. The J Multiplication-Sign B force in the region helps for efficient ionization of the gas even in the high vacuum region{approx}1 Multiplication-Sign 10{sup -5} Torr. The ions were extracted in the axial direction with help of the potential difference between the electrodes and the geometry of the extraction angle. The effect of the extraction electrode geometry for efficient extraction of the ions from the plasma region was examined. This ion source is a self extracted ion source. The self extracted phenomena reduce the cost and the size of the ion source. The extracted ion current was measured by a graphite probe. An ion current of more than 200 {mu}A was observed at the probe placed 70 mm apart from the extraction electrode. In this paper, the structure of the ion source, effect of operating pressure, potential difference and the magnetic field on the extracted ion current is reported.

  12. Recent negative ion source developments at ORNL

    International Nuclear Information System (INIS)

    Alton, G.D.

    1979-01-01

    According to specifications written for the 25 MV ORNL tandem accelerator, the ion source used during acceptance testing must be capable of producing a negative ion beam of intensity greater than or equal to 7.5 μA within a phase space of less than or equal to 1 π cm-mrad (MeV)/sup 1/2/. The specifications were written prior to the development of an ion source with such capabilities but fortunately Andersen and Tykesson introduced a source in 1975 which could easily meet the specified requirements. The remarkable beam intensity and quality properties of this source has motivated the development of other sources which utilize sputtering in the presence of a diffuse cesium plasma - some of which will be described in these proceedings. This report describes results of studies associated with the development of a modified Aarhus geometry and an axial geometry source which utilize sputtering in the presence of a diffuse cesium plasma for the production of negative ion beams

  13. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    International Nuclear Information System (INIS)

    Haseroth, Helmut; Hora, Heinrich; Regensburg Inst. of Tech.

    1996-01-01

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10 11 C 4+ ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ''hot'' electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author)

  14. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Haseroth, Helmut [European Organization for Nuclear Research, Geneva (Switzerland); Hora, Heinrich [New South Wales Univ., Kensington, NSW (Australia)]|[Regensburg Inst. of Tech. (Germany). Anwenderzentrum

    1996-12-31

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10{sup 11} C{sup 4+} ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ``hot`` electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author).

  15. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  16. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02plasmas have been measured for different values of neutral pressure, microwave power and magnetic field profile (they are critical for high-power proton sources).

  17. Linear and nonlinear ion beam instabilities in a double plasma device

    International Nuclear Information System (INIS)

    Lee, S.G.; Diebold, D.; Hershkowitz, N.

    1994-01-01

    Ion beam instabilities in the double plasma device DOLI-1 were found to be quite sensitive to the difference between the source and target chamber plasma potentials when those potentials were within an electron temperature T e /e or so of each other. When the target chamber plasma potential of DOLI-1 was ≤ T e /e more positive than the source chamber plasma potential, a global ion beam-ion beam instability was observed. On the other hand, when the maximum target potential was between approximately 0.5 T e /e and 2.0 T e /e below the source potential, an ion-ion beam instability and a soliton associated with it were observed. This soliton is unique in that it is not launched but rather is self generated by the plasma and beam. When the target potential was less than source potential by more than two or so T e /e, the plasma was quite quiescent, which allowed small amplitude wave packet launched by Langmuir probe to be detected

  18. A high-flux low-energy hydrogen ion beam using an end-Hall ion source

    NARCIS (Netherlands)

    Veldhoven, J. van; Sligte, E. te; Janssen, J.P.B.

    2016-01-01

    Most ion sources that produce high-flux hydrogen ion beams perform best in the high energy range (keV). Alternatively, some plasma sources produce very-lowenergy ions (<< 10 eV). However, in an intermediate energy range of 10-200 eV, no hydrogen ion sources were found that produce high-flux beams.

  19. Characteristics of a multidipole ion source

    International Nuclear Information System (INIS)

    Leung, K.N.; Collier, R.D.; Marshall, L.B.; Gallaher, T.N.; Ingham, W.H.; Kribel, R.E.; Taylor, G.R.

    1978-01-01

    The properties of a steady-state, dc discharge multidipole ion source have been investigated. The plasma density in the source depends on the magnet geometries, the discharge voltage, and the bias voltage on the first extraction grid. Different schemes to reduce the loss of ions to the chamber wall are described. Hydrogen ion species in the extracted beam are studied by a mass analyzer

  20. Laser ion source with solenoid field

    Science.gov (United States)

    Kanesue, Takeshi; Fuwa, Yasuhiro; Kondo, Kotaro; Okamura, Masahiro

    2014-11-01

    Pulse length extension of highly charged ion beam generated from a laser ion source is experimentally demonstrated. The laser ion source (LIS) has been recognized as one of the most powerful heavy ion source. However, it was difficult to provide long pulse beams. By applying a solenoid field (90 mT, 1 m) at plasma drifting section, a pulse length of carbon ion beam reached 3.2 μs which was 4.4 times longer than the width from a conventional LIS. The particle number of carbon ions accelerated by a radio frequency quadrupole linear accelerator was 1.2 × 1011, which was provided by a single 1 J Nd-YAG laser shot. A laser ion source with solenoid field could be used in a next generation heavy ion accelerator.

  1. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  2. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  3. Electron-cyclotron-resonance ion sources (review)

    International Nuclear Information System (INIS)

    Golovanivskii, K.S.; Dougar-Jabon, V.D.

    1992-01-01

    The physical principles are described and a brief survey of the present state is given of ion sources based on electron-cyclotron heating of plasma in a mirror trap. The characteristics of ECR sources of positive and negative ions used chiefly in accelerator technology are presented. 20 refs., 10 figs., 3 tabs

  4. Characteristics of a wire ion plasma source and a secondary emission electron gun

    International Nuclear Information System (INIS)

    Hotta, Eiki; Osawa, Teruya; Urai, Hajime; Suzuki, Mitsuaki; Yasui, Hiroyuki; Tamagawa, Tohru

    1993-01-01

    Electrical characteristics of a wire ion plasma source (WIPS) and a secondary emission electron gun, for which the WIPS is used as an ion source, will be reported. The WIPS is a cold-cathode gaseous discharge device, in which a radial electron trapping permits an extremely low pressure gaseous discharge with very low applied voltages. The time evolutions of temperature and density of afterglow plasma were measured with a double probe. In the case of P 0 = 25 mTorr He and the maximum discharge current of 200 A, the temperature and density of electron were about 20 eV and of the order of 10 18 m -3 , respectively, just after the distinction of discharge. The ion current density measured by a biased ion collector (BIC) on the discharge tube wall was found to reach up to 300 mA/cm 2 . A secondary emission electron gun was set on the discharge tube wall opposite to the BIC. An earthed mesh net is installed at a height of 8 mm just in front of the cathode. The maximum negative bias voltage applied to the cathode is limited to -50 kV by the local breakdown in the gun, which occurred synchronously with the WIPS discharge. The electron beam current was measured by the BIC, in which an aluminum foil with a width of 2 μm was placed on instead of the earthed mesh net. At the cathode voltage of -30 kV, the measured beam current density was 220 mA/cm 2 . The extraporation of the resulted curve indicates that if the cathode voltage is -100 kV, the current density will reach to 1 A/cm 2 . The energy spectrum of the electron beam was measured with a magnetic energy analyzer, which was set in place of the BIC. The energy spread is about 300 eV at the central energy of 40 keV. Thus, they demonstrated the possibility of a high current density secondary emission electron gun, for which a WIPS is used as an ion source

  5. Ion-Ion Plasmas Produced by Electron Beams

    Science.gov (United States)

    Fernsler, R. F.; Leonhardt, D.; Walton, S. G.; Meger, R. A.

    2001-10-01

    The ability of plasmas to etch deep, small-scale features in materials is limited by localized charging of the features. The features charge because of the difference in electron and ion anisotropy, and thus one solution now being explored is to use ion-ion plasmas in place of electron-ion plasmas. Ion-ion plasmas are effectively electron-free and consist mainly of positive and negative ions. Since the two ion species behave similarly, localized charging is largely eliminated. However, the only way to produce ion-ion plasmas at low gas pressure is to convert electrons into negative ions through two-body attachment to neutrals. While the electron attachment rate is large at low electron temperatures (Te < 1 eV) in many of the halogen gases used for processing, these temperatures occur in most reactors only during the afterglow when the heating fields are turned off and the plasma is decaying. By contrast, Te is low nearly all the time in plasmas produced by electron beams, and therefore electron beams can potentially produce ion-ion plasmas continuously. The theory of ion-ion plasmas formed by pulsed electron beams is examined in this talk and compared with experimental results presented elsewhere [1]. Some general limitations of ion-ion plasmas, including relatively low flux levels, are discussed as well. [1] See the presentation by D. Leonhardt et al. at this conference.

  6. Short wavelength sources and atoms and ions

    International Nuclear Information System (INIS)

    Kennedy, E.T.

    2008-01-01

    The interaction of ionizing radiation with atoms and ions is a key fundamental process. Experimental progress has depended in particular on the development of short wavelength light sources. Laser-plasma and synchrotron sources have been exploited for several decades and most recently the development of short wavelength Free Electron Laser (FEL) sources is revolutionizing the field. This paper introduces laser plasma and synchrotron sources through examples of their use in studies of the interaction of ionizing radiation with atoms and ions, ranging from few-electron atomic and ionic systems to the many-electron high atomic number actinides. The new FEL source (FLASH) at DESY is introduced. (author)

  7. Recent H- diagnostics, plasma simulations, and 2X scaled Penning ion source developments at the Rutherford Appleton Laboratory

    Science.gov (United States)

    Lawrie, S. R.; Faircloth, D. C.; Smith, J. D.; Sarmento, T. M.; Whitehead, M. O.; Wood, T.; Perkins, M.; Macgregor, J.; Abel, R.

    2018-05-01

    A vessel for extraction and source plasma analyses is being used for Penning H- ion source development at the Rutherford Appleton Laboratory. A new set of optical elements including an einzel lens has been installed, which transports over 80 mA of H- beam successfully. Simultaneously, a 2X scaled Penning source has been developed to reduce cathode power density. The 2X source is now delivering a 65 mA H- ion beam at 10% duty factor, meeting its design criteria. The long-term viability of the einzel lens and 2X source is now being evaluated, so new diagnostic devices have been installed. A pair of electrostatic deflector plates is used to correct beam misalignment and perform fast chopping, with a voltage rise time of 24 ns. A suite of four quartz crystal microbalances has shown that the cesium flux in the vacuum vessel is only increased by a factor of two, despite the absence of a dedicated cold trap. Finally, an infrared camera has demonstrated good agreement with thermal simulations but has indicated unexpected heating due to beam loss on the downstream electrode. These types of diagnostics are suitable for monitoring all operational ion sources. In addition to experimental campaigns and new diagnostic tools, the high-performance VSim and COMSOL software packages are being used for plasma simulations of two novel ion thrusters for space propulsion applications. In parallel, a VSim framework has been established to include arbitrary temperature and cesium fields to allow the modeling of surface physics in H- ion sources.

  8. Status and Operation of the Linac4 Ion Source Prototypes

    CERN Document Server

    Lettry, J; Andersson, P; Bertolo, S; Butterworth, A; Coutron, Y; Dallocchio, A; Chaudet, E; Gil-Flores, J; Guida, R; Hansen, J; Hatayama, A; Koszar, I; Mahner, E; Mastrostefano, C; Mathot, S; Mattei, S; Midttun, O; Moyret, P; Nisbet, D; Nishida, K; O’Neil, M; Ohta, M; Paoluzzi, M; Pasquino, C; Pereira, H; Rochez, J; Sanchez Alvarez, J; Sanchez Arias, J; Scrivens, R; Shibata, T; Steyaert, D; Thaus, N; Yamamoto, T

    2014-01-01

    CERN’s Linac4 45 kV H- ion sources prototypes are installed at a dedicated ion source test stand and in the Linac4 tunnel. The operation of the pulsed hydrogen injection, RF sustained plasma and pulsed high voltages are described. The first experimental results of two prototypes relying on 2MHz RF- plasma heating are presented. The plasma is ignited via capacitive coupling, and sustained by inductive coupling. The light emitted from the plasma is collected by viewports pointing to the plasma chamber wall in the middle of the RF solenoid and to the plasma chamber axis. Preliminary measurements of optical emission spectroscopy and photometry of the plasma have been performed. The design of a cesiated ion source is presented. The volume source has produced a 45 keV H- beam of 16-22 mA which has successfully been used for the commissioning of the Low Energy Beam Transport (LEBT), Radio Frequency Quadrupole (RFQ) accelerator and chopper of Linac4.

  9. Laser ion source with solenoid field

    International Nuclear Information System (INIS)

    Kanesue, Takeshi; Okamura, Masahiro; Fuwa, Yasuhiro; Kondo, Kotaro

    2014-01-01

    Pulse length extension of highly charged ion beam generated from a laser ion source is experimentally demonstrated. The laser ion source (LIS) has been recognized as one of the most powerful heavy ion source. However, it was difficult to provide long pulse beams. By applying a solenoid field (90 mT, 1 m) at plasma drifting section, a pulse length of carbon ion beam reached 3.2 μs which was 4.4 times longer than the width from a conventional LIS. The particle number of carbon ions accelerated by a radio frequency quadrupole linear accelerator was 1.2 × 10 11 , which was provided by a single 1 J Nd-YAG laser shot. A laser ion source with solenoid field could be used in a next generation heavy ion accelerator

  10. Laser ion source with solenoid field

    Energy Technology Data Exchange (ETDEWEB)

    Kanesue, Takeshi, E-mail: tkanesue@bnl.gov; Okamura, Masahiro [Collider-Accelerator Department, Brookhaven National Laboratory, Upton, New York 11973 (United States); Fuwa, Yasuhiro [Graduate School of Science, Kyoto University, Kitashirakawa, Sakyo, Kyoto 606-7501 (Japan); RIKEN, 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Kondo, Kotaro [Research Laboratory for Nuclear Reactors, Tokyo Institute of Technology, 2-12-1 Ookayama, Meguro, Tokyo 152-8550 (Japan)

    2014-11-10

    Pulse length extension of highly charged ion beam generated from a laser ion source is experimentally demonstrated. The laser ion source (LIS) has been recognized as one of the most powerful heavy ion source. However, it was difficult to provide long pulse beams. By applying a solenoid field (90 mT, 1 m) at plasma drifting section, a pulse length of carbon ion beam reached 3.2 μs which was 4.4 times longer than the width from a conventional LIS. The particle number of carbon ions accelerated by a radio frequency quadrupole linear accelerator was 1.2 × 10{sup 11}, which was provided by a single 1 J Nd-YAG laser shot. A laser ion source with solenoid field could be used in a next generation heavy ion accelerator.

  11. Simulation study on ion extraction from electron cyclotron resonance ion sources

    Science.gov (United States)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1994-04-01

    In order to study beam optics of NIRS-ECR ion source used in the HIMAC project, the EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1D and 2D sheath theories are used, respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source are presented in this paper, exhibiting an agreement with the experiment results.

  12. Potential formation in a collisionless plasma produced in an open magnetic field in presence of volume negative ion source

    International Nuclear Information System (INIS)

    Phukan, Ananya; Goswami, K. S.; Bhuyan, P. J.

    2014-01-01

    The electric potential near a wall for a multi-species plasma with volume produced negative ions in presence of axially varying magnetic field is studied following an analytical-numerical approach. A constant negative ion source is assumed throughout the plasma volume, along with finite temperature positive ions and Boltzmann electrons. The particles are assumed to be guided by an open magnetic field that has its maximum at the centre, and field strength decreasing towards the walls. The one dimensional (1D) Poisson equation is derived using an analytical approach, and then solved numerically to study the potential profiles. Effect of (a) negative ion production rate, (b) magnetic field profile, and (c) negative ion temperature on the potential profile has been investigated. A potential peak appears near the wall when the negative ion temperature and density are sufficiently high. Also, the presence of negative ions further decreases the potential in the plasma region for a finite Debye Length (λ D )

  13. A study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    Science.gov (United States)

    Wright, Kenneth Herbert, Jr.

    1988-01-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory.

  14. Magnetic insulation of secondary electrons in plasma source ion implantation

    International Nuclear Information System (INIS)

    Rej, D.J.; Wood, B.P.; Faehl, R.J.; Fleischmann, H.H.

    1993-01-01

    The uncontrolled loss of accelerated secondary electrons in plasma source ion implantation (PSII) can significantly reduce system efficiency and poses a potential x-ray hazard. This loss might be reduced by a magnetic field applied near the workpiece. The concept of magnetically-insulated PSII is proposed, in which secondary electrons are trapped to form a virtual cathode layer near the workpiece surface where the local electric field is essentially eliminated. Subsequent electrons that are emitted can then be reabsorbed by the workpiece. Estimates of anomalous electron transport from microinstabilities are made. Insight into the process is gained with multi-dimensional particle-in-cell simulations

  15. Development of versatile multiaperture negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Cavenago, M.; Minarello, A.; Sattin, M. [INFN-LNL, v.le dell' Universita n 2, I-35020, Legnaro (PD) Italy (Italy); Serianni, G.; Antoni, V.; Bigi, M.; Pasqualotto, R.; Recchia, M.; Veltri, P.; Agostinetti, P.; Barbisan, M.; Baseggio, L.; Cervaro, V.; Degli Agostini, F.; Franchin, L.; Laterza, B.; Ravarotto, D.; Rossetto, F.; Zaniol, B.; Zucchetti, S. [Consorzio RFX, Associazione Euratom-ENEA sulla fusione, c.so S. Uniti 4, 35127 Padova (Italy); and others

    2015-04-08

    Enhancement of negative ion sources for production of large ion beams is a very active research field nowadays, driven from demand of plasma heating in nuclear fusion devices and accelerator applications. As a versatile test bench, the ion source NIO1 (Negative Ion Optimization 1) is being commissioned by Consorzio RFX and INFN. The nominal beam current of 135 mA at −60 kV is divided into 9 beamlets, with multiaperture extraction electrodes. The plasma is sustained by a 2 MHz radiofrequency power supply, with a standard matching box. A High Voltage Deck (HVD) placed inside the lead shielding surrounding NIO1 contains the radiofrequency generator, the gas control, electronics and power supplies for the ion source. An autonomous closed circuit water cooling system was installed for the whole system, with a branch towards the HVD, using carefully optimized helical tubing. Insulation transformer is installed in a nearby box. Tests of several magnetic configurations can be performed. Status of experiments, measured spectra and plasma luminosity are described. Upgrades of magnetic filter, beam calorimeter and extraction grid and related theoretical issues are reviewed.

  16. Design studies for an advanced ECR ion source for multiply charged ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    An innovative technique: for increasing ion source intensity is described which, in principle, could lead to significant advances in ECR ion source technology for multiply charged ion beam formation. The advanced concept design uses a minimum-B magnetic mirror geometry which consists of a multi-cusp, magnetic field, to assist in confining the plasma radially, a flat central field for tuning to the ECR resonant condition, and specially tailored min-or fields in the end zones to confine the plasma in the axial direction. The magnetic field is designed to achieve an axially symmetric plasma ''volume'' with constant mod-B, which extends over the length of the central field region. This design, which strongly contrasts w h the ECR ''surfaces'' characteristic of conventional ECR ion sources, results in dramatic increases in the absorption of RF power, thereby increasing the electron temperature and ''hot'' electron population within the ionization volume of the source

  17. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  18. Commissioning of the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steve R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde; Lyneis, Claude M.

    2003-01-01

    VENUS (Versatile ECR ion source for NUclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The magnetic confinement configuration consists of three superconducting axial coils and six superconducting radial coils in a sextupole configuration. The nominal design fields of the axial magnets are 4T at injection and 3T at extraction; the nominal radial design field strength at the plasma chamber wall is 2T, making VENUS the world most powerful ECR plasma confinement structure. The magnetic field strength has been designed for optimum operation at 28 GHz. The four-year VENUS project has recently achieved two major milestones: The first plasma was ignited in June, the first mass-analyzed high charge state ion beam was extracted in September of 2002. The pa per describes the ongoing commissioning. Initial results including first emittance measurements are presented

  19. Ion Source Physics and Technology (1/2)

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    This series of lectures starts with an introduction in some aspects of atomic and plasma physics as base for the ion source physics. The main part covers aspects of ion source physics, technology and operation. Several source types are presented. Some information on infrastructure and supporting services (as high voltage, cooling, microwaves etc) are given to better understand the source environment. The last part on engineering aims to show that, in the field of ion sources, many different technologies are combined in a quite small environment, which is challenging and interesting at the same time.

  20. Ion Source Physics and Technology (2/2)

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    This series of lectures starts with an introduction in some aspects of atomic and plasma physics as base for the ion source physics. The main part covers aspects of ion source physics, technology and operation. Several source types are presented. Some information on infrastructure and supporting services (as high voltage, cooling, microwaves etc) are given to better understand the source environment. The last part on engineering aims to show that, in the field of ion sources, many different technologies are combined in a quite small environment, which is challenging and interesting at the same time.

  1. Study of electron current extraction from a radio frequency plasma cathode designed as a neutralizer for ion source applications

    Energy Technology Data Exchange (ETDEWEB)

    Jahanbakhsh, Sina, E-mail: sinajahanbakhsh@gmail.com; Satir, Mert; Celik, Murat [Department of Mechanical Engineering, Bogazici University, Istanbul 34342 (Turkey)

    2016-02-15

    Plasma cathodes are insert free devices that are developed to be employed as electron sources in electric propulsion and ion source applications as practical alternatives to more commonly used hollow cathodes. Inductively coupled plasma cathodes, or Radio Frequency (RF) plasma cathodes, are introduced in recent years. Because of its compact geometry, and simple and efficient plasma generation, RF plasma source is considered to be suitable for plasma cathode applications. In this study, numerous RF plasma cathodes have been designed and manufactured. Experimental measurements have been conducted to study the effects of geometric and operational parameters. Experimental results of this study show that the plasma generation and electron extraction characteristics of the RF plasma cathode device strongly depend on the geometric parameters such as chamber diameter, chamber length, orifice diameter, orifice length, as well as the operational parameters such as RF power and gas mass flow rate.

  2. Production of highly charged ion beams from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-09-01

    Electron Cyclotron Resonance (ECR) ion source development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECR ion sources. So far at cw mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ and U 34+ have been produced from ECR ion sources. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I ≥ 60 enA) also has been achieved. This article will review the ECR ion source progress and discuss key requirement for ECR ion sources to produce the highly charged ion beams

  3. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  4. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  5. 3D-full wave and kinetics numerical modelling of electron cyclotron resonance ion sources plasma: steps towards self-consistency

    International Nuclear Information System (INIS)

    Mascali, D.; Neri, L.; Castro, G.; Celona, L.; Gammino, S.; Torrisi, G.; Sorbello, G.

    2015-01-01

    Electron Cyclotron Resonance (ECR) ion Sources are the most performing machines for the production of intense beams of multi-charged ions in fundamental science, applied physics and industry. Investigation of plasma dynamics in ECRIS still remains a challenge. A better comprehension of electron heating, ionization and diffusion processes, ion confinement and ion beam formation is mandatory in order to increase ECRIS performances both in terms of output beams currents, charge states, beam quality (emittance minimization, beam halos suppression, etc.). Numerical solution of Vlasov equation via kinetic codes coupled to FEM solvers is ongoing at INFN-LNS, based on a PIC strategy. Preliminary results of the modeling will be shown about wave-plasma interaction and electron-ion confinement: the obtained results are very helpful to better understand the influence of the different parameters (especially RF frequency and power) on the ion beam formation mechanism. The most important clues coming out from the simulations are that although vacuum field RF field distribution (that is a cavity, modal field distribution) is perturbed by the plasma medium, the non-uniformity in the electric field amplitude still persists in the plasma filled cavity. This non-uniformity can be correlated with non-uniform plasma distribution, explaining a number of experimental observations

  6. Production of low axial energy spread ion beams with multicusp sources

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Yung -Hee Y. [Univ. of California, Berkeley, CA (United States)

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution.

  7. Production of low axial energy spread ion beams with multicusp sources

    International Nuclear Information System (INIS)

    Lee, Y.H.Y.

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution

  8. Gas discharge ion source. I. Duoplasmatron

    International Nuclear Information System (INIS)

    Bacon, F.M.

    1978-01-01

    The effects of the plasma expansion cup on the operation of a duoplasmatron ion source have been investigated by measuring the total ion current and the distributions of the ion energy, mass, and current density. A copper expansion cup did not affect the magnetic field near the anode of the ion source and consequently the ion current density distribution was sharply peaked near the center of the cup. Ion energy distributions were approximately symmetrical about anode potential. The dominant ionic species were D + 3 and D + at low and high arc currents, respectively. Changes in the electrical potential of the copper cup with respect to the anode produced negligible changes in the above data. A mild steel plasma expansion cup caused the magnetic field to diverge and intercept the cup walls, resulting in ion current density distributions that were flatter and more amenable to focusing than the ones with the copper cup. With the steel cup at anode potential, the ion mass distribution was similar to that from the copper cup; however, the ion energy distribution was asymmetrical about the anode potential with a peak about 10-20 V above anode potential. The total ion current from this mode of operation was about one-third the value from the copper cup. If the steel cup assumed floating potential, about 50 V below anode potential, the total current increased to the level observed from the copper cup and the ion energy distribution was similar to that observed with the copper cup but the current density distribution was much flatter than that of the copper cup. The ion mass distribution was 60%-70% atomic ions over the entire arc current range investigated. Based on these data, a modified plasma expansion cup was designed with tapered steel walls lined with a boron nitride insert. The overall performance of the duoplasmatron ion source with this cup was superior to any of the previous three modes of operation

  9. Some high-current ion sources for materials modification

    International Nuclear Information System (INIS)

    Taylor, T.

    1989-01-01

    Ion sources for materials modification have evolved through three distinct generations. The first generation was adopted from research accelerators. These cold-cathode plasma-discharge devices generate beam currents of less than 100 μA. The hot-cathode plasma-discharge ion sources, originally developed for isotope separation, comprise the second generation. They produce between 100 μA and 10 mA of beam current. The third generation ion sources give beam currents in excess of 10 mA. This technology, transferred from industrial accelerators, has already made SIMOX (Separation by IMplanted OXygen) into a commercially viable semiconductor process and promises to do the same for ion implantation of metals and insulators. The author focuses on the third generation technology that will play a key role in the future of ion implantation. 10 refs.; 5 figs.; 2 tabs

  10. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  11. Electron cyclotron resonance multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1975-01-01

    Three ion sources, that deliver multiply charged ion beams are described. All of them are E.C.R. ion sources and are characterized by the fact that the electrons are emitted by the plasma itself and are accelerated to the adequate energy through electron cyclotron resonance (E.C.R.). They can work without interruption during several months in a quasi-continuous regime. (Duty cycle: [fr

  12. DuoPIGatron ion sources for PLT injectors

    International Nuclear Information System (INIS)

    Tsai, C.C.; Stirling, W.L.; Haselton, H.H.; Davis, R.C.; Schechter, D.E.

    1977-01-01

    Plasma heating requirements for the Princeton Large Torus (PLT) are set at about 1 MJ total beam energy for 3 MW beam power of energetic hydrogen (or deuterium) neutrals at 40 keV. To fulfill this design goal from four neutral beam injectors, the duoPlGatron ion source originally developed at ORNL has been modified, developed, and scaled-up to versions with 20-cm and/or 22-cm grid diameters. Utilizing the multipole line cusp magnetic field confinement for the ionizing electrons and created Philips Ionization Gauge (PIG) plasma, these sources generate a uniform (+-5 percent density variation over 23-cm diam) and dense plasma (about 2 x 10 12 cm 3 at the extraction surface). Such sources have been operated reliably to deliver a beam current exceeding 70 A of hydrogen ions at 40 keV. For such a beam condition the source is capable of running with an arc pulse of 0.5 sec. Moreover, the corresponding arc efficiency is very high, below 1.0 KW arc power per ampere of ion beam current. In this paper we describe the plasma generation, source characteristics and arc efficiency as functions of magnetic fields, gas pressure, and arc power (including the arc voltage and current). The other exciting feature, high proton yield (exceeding 80 percent), will be discussed

  13. Thermo-mechanical design of the Plasma Driver Plate for the MITICA ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pavei, Mauro, E-mail: mauro.pavei@igi.cnr.it [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy); Palma, Mauro Dalla; Marcuzzi, Diego [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy)

    2010-12-15

    In the framework of the activities for the development of the Neutral Beam Injector (NBI) for ITER, the detailed design of the Radio-Frequency (RF) negative ion source has been carried out. One of the most heated components of the RF source is the rear vertical plate, named Plasma Driver Plate (PDP), where the Back-Streaming positive Ions (BSI+) generated from stripping losses in the accelerator and back scattered on the plasma source impinge on. The heat loads that result are huge and concentrated, with first estimate of the power densities up to 60 MW/m{sup 2}. The breakdowns that occur into the accelerator cause such heat loads to act cyclically, so that the PDP is thermo-mechanically fatigue loaded. Moreover, the surface of the PDP facing the plasma is functionally required to be temperature controlled and to be molybdenum or tungsten coated. The thermo-hydraulic design of the plate has been carried out considering active cooling with ultra-pure water. Different heat sink materials, hydraulic circuit layout and manufacturing processes have been considered. The heat exhaust has been optimized by changing the channels geometry, the path of the heat flux in the heat sink, the thickness of the plate and maximizing the Heat Transfer Coefficient. Such optimization has been carried out by utilizing 3D Finite Element (FE) models. Afterwards all the suitable mechanical (aging, structural monotonic and cyclic) verifications have been carried out post-processing the results of the thermo-mechanical 3D FE analyses in accordance to specific procedures for nuclear components exposed to high temperature. The effect of sputtering phenomenon due to the high energy BSI+ impinging on the plate has been considered and combined with fatigue damage for the mechanical verification of the PDP. Alternative solutions having molybdenum (or tungsten coatings) facing the plasma, aiming to reduce the sputtering rate and the consequent plasma pollution, have been evaluated and related 3D FE

  14. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  15. Secondary electron ion source neutron generator

    Science.gov (United States)

    Brainard, John P.; McCollister, Daryl R.

    1998-01-01

    A neutron generator employing an electron emitter, an ion source bombarded by the electrons from the electron emitter, a plasma containment zone, and a target situated between the plasma containment zone and the electron emitter. The target contains occluded deuterium, tritium, or a mixture thereof

  16. Langmuir probes for SPIDER (source for the production of ions of deuterium extracted from radio frequency plasma) experiment: Tests in BATMAN (Bavarian test machine for negative ions)

    Science.gov (United States)

    Brombin, M.; Spolaore, M.; Serianni, G.; Pomaro, N.; Taliercio, C.; Palma, M. Dalla; Pasqualotto, R.; Schiesko, L.

    2014-11-01

    A prototype system of the Langmuir probes for SPIDER (Source for the production of Ions of Deuterium Extracted from RF plasma) was manufactured and experimentally qualified. The diagnostic was operated in RF (Radio Frequency) plasmas with cesium evaporation on the BATMAN (BAvarian Test MAchine for Negative ions) test facility, which can provide plasma conditions as expected in the SPIDER source. A RF passive compensation circuit was realised to operate the Langmuir probes in RF plasmas. The sensors' holder, designed to better simulate the bias plate conditions in SPIDER, was exposed to a severe experimental campaign in BATMAN with cesium evaporation. No detrimental effect on the diagnostic due to cesium evaporation was found during the exposure to the BATMAN plasma and in particular the insulation of the electrodes was preserved. The paper presents the system prototype, the RF compensation circuit, the acquisition system (as foreseen in SPIDER), and the results obtained during the experimental campaigns.

  17. Langmuir probes for SPIDER (source for the production of ions of deuterium extracted from radio frequency plasma) experiment: Tests in BATMAN (Bavarian test machine for negative ions)

    International Nuclear Information System (INIS)

    Brombin, M.; Spolaore, M.; Serianni, G.; Pomaro, N.; Taliercio, C.; Palma, M. Dalla; Pasqualotto, R.; Schiesko, L.

    2014-01-01

    A prototype system of the Langmuir probes for SPIDER (Source for the production of Ions of Deuterium Extracted from RF plasma) was manufactured and experimentally qualified. The diagnostic was operated in RF (Radio Frequency) plasmas with cesium evaporation on the BATMAN (BAvarian Test MAchine for Negative ions) test facility, which can provide plasma conditions as expected in the SPIDER source. A RF passive compensation circuit was realised to operate the Langmuir probes in RF plasmas. The sensors’ holder, designed to better simulate the bias plate conditions in SPIDER, was exposed to a severe experimental campaign in BATMAN with cesium evaporation. No detrimental effect on the diagnostic due to cesium evaporation was found during the exposure to the BATMAN plasma and in particular the insulation of the electrodes was preserved. The paper presents the system prototype, the RF compensation circuit, the acquisition system (as foreseen in SPIDER), and the results obtained during the experimental campaigns

  18. Laser ion source with long pulse width for RHIC-EBIS

    International Nuclear Information System (INIS)

    Kondo, K.; Kanesue, T.; Okamura, M.

    2011-01-01

    The Electron Beam Ion Source (EBIS) at Brookhaven National Laboratory is a new heavy ion-projector for RHIC and NASA Space Radiation Laboratory. Laser Ion Source (LIS) with solenoid can supply many kinds of ion from solid targets and is suitable for long pulse length with low current as ion provider for RHIC-EBIS. In order to understand a plasma behavior for fringe field of solenoid, we measure current, pulse width and total ion charges by a new ion probe. The experimental result indicates that the solenoid confines the laser ablation plasma transversely. Laser ion source needs long pulse length with limited current as primary ion provider for RHIC-EBIS. New ion probe can measure current distribution for the radial positions along z axis. The beam pulse length is not effected by magnetic field strength. However, the currents and charges decay with the distance from the end of solenoid. These results indicate that solenoid field has important role for plasma confinement not longitudinally but transversely and solenoid is able to have long pulse length with sufficient total ion charges. Moreover, the results are useful for a design of the extraction system for RHIC-EBIS.

  19. Characteristics of MINI ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Yuichi; Yokota, Watalu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A very compact electron cyclotron resonance ion source (MINI ECR) was manufactured to extend available energy ranges of ion beams by applying multiply charged ions to electrostatic accelerators. The magnetic field to confine a plasma is formed only by small permanent magnets and the microwave power up to 15 W is generated by a compact transistor amplifier in order to install the ion source at a narrow high-voltage terminal where the electrical power feed is restricted. The magnet assembly is 12 cm in length and 11 cm in diameter, and forms a mirror field with the maximum strength of 0.55 T. The total power consumption of the source is below 160 W. The performance of the source was tested in a bench stand. The results of Ar, Xe, O, and N ion generation are reported in this paper. (author)

  20. Sheath formation and extraction of ions from a constricted R.F ion source

    Energy Technology Data Exchange (ETDEWEB)

    Abdel-Salam, F W; Helal, A G; El-Khabeary, H; El-Merai, N T [Accelerators Dept., Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1997-12-31

    The present work investigates the plasma characteristics in a constricted R. F. ion source. The extraction of ions from the plasma boundary and sheath formation were studied. The ion source physical parameters are discussed in order to understand the physical processes occurring within the discharge region up to the extraction system. Electron temperature and density were determined using Langmuir probe. The probe current-voltage characteristics were measured for different extraction voltages (ext.) = 0,500,1000, and 1250 volt at various constant R.F. powers. The effect of R.F. power on electron temperature was deduced for a beam = plasma discharge. This revealed that for a quasi-neutral (plasma) region the electron temperature increased linearly with the R.F. Power which leads to substantial electron heating and efficient electron energy transport in this region. Applying extraction voltage, the electron temperature drops as the ionization rate increases. The sheath thickness was obtained at constant extraction voltages. The curves show that if the ion current density increased, the sheath thickness decreased while it increases by increasing extraction voltage, and it is negligible in the plasma region. 13 figs.

  1. Ionization efficiency of a COMIC ion source equipped with a quartz plasma chamber

    International Nuclear Information System (INIS)

    Suominen, P.; Stora, T.; Sortais, P.; Medard, J.

    2012-01-01

    Increased ionization efficiencies of light noble gases and molecules are required for new physics experiments in present and future radioactive ion beam facilities. In order to improve these beams, a new COMIC-type ion source with fully quartz made plasma chamber was tested. The beam current stability is typically better than 1 % and beams are easily reproducible. The highest efficiency for xenon is about 15 %. However, the main goal is to produce molecular beam including radioactive carbon (in CO or CO 2 ), in which case the efficiency was measured to be only about 0.2%. This paper describes the experimental prototype and its performance and provides ideas for future development. This paper is followed by the associated poster. (authors)

  2. Influence of the electron cyclotron resonance plasma confinement on reducing the bremsstrahlung production of an electron cyclotron resonance ion source with metal-dielectric structures

    International Nuclear Information System (INIS)

    Schachter, L.; Dobrescu, S.; Stiebing, K. E.

    2009-01-01

    The influence of metal-dielectric (MD) layers (MD structures) inserted into the plasma chamber of an electron cyclotron resonance ion source (ECRIS) onto the production of electron bremsstrahlung radiation has been studied in a series of dedicated experiments at the 14 GHz ECRIS of the Institut fuer Kernphysik der Universitaet Frankfurt. The IKF-ECRIS was equipped with a MD liner, covering the inner walls of the plasma chamber, and a MD electrode, covering the plasma-facing side of the extraction electrode. On the basis of similar extracted currents of highly charged ions, significantly reduced yields of bremsstrahlung radiation for the 'MD source' as compared to the standard (stainless steel) source have been measured and can be explained by the significantly better plasma confinement in a MD source as compared to an ''all stainless steel'' ECRIS.

  3. Pseudo ribbon metal ion beam source

    International Nuclear Information System (INIS)

    Stepanov, Igor B.; Ryabchikov, Alexander I.; Sivin, Denis O.; Verigin, Dan A.

    2014-01-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface

  4. Pseudo ribbon metal ion beam source.

    Science.gov (United States)

    Stepanov, Igor B; Ryabchikov, Alexander I; Sivin, Denis O; Verigin, Dan A

    2014-02-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface.

  5. Development of a helicon ion source: Simulations and preliminary experiments

    Science.gov (United States)

    Afsharmanesh, M.; Habibi, M.

    2018-03-01

    In the present context, the extraction system of a helicon ion source has been simulated and constructed. Results of the ion source commissioning at up to 20 kV are presented as well as simulations of an ion beam extraction system. Argon current of more than 200 μA at up to 20 kV is extracted and is characterized with a Faraday cup and beam profile monitoring grid. By changing different ion source parameters such as RF power, extraction voltage, and working pressure, an ion beam with current distribution exhibiting a central core has been detected. Jump transition of ion beam current emerges at the RF power near to 700 W, which reveals that the helicon mode excitation has reached this power. Furthermore, measuring the emission line intensity of Ar ii at 434.8 nm is the other way we have used for demonstrating the mode transition from inductively coupled plasma to helicon. Due to asymmetrical longitudinal power absorption of a half-helix helicon antenna, it is used for the ion source development. The modeling of the plasma part of the ion source has been carried out using a code, HELIC. Simulations are carried out by taking into account a Gaussian radial plasma density profile and for plasma densities in range of 1018-1019 m-3. Power absorption spectrum and the excited helicon mode number are obtained. Longitudinal RF power absorption for two different antenna positions is compared. Our results indicate that positioning the antenna near to the plasma electrode is desirable for the ion beam extraction. The simulation of the extraction system was performed with the ion optical code IBSimu, making it the first helicon ion source extraction designed with the code. Ion beam emittance and Twiss parameters of the ellipse emittance are calculated at different iterations and mesh sizes, and the best values of the mesh size and iteration number have been obtained for the calculations. The simulated ion beam extraction system has been evaluated using optimized parameters such

  6. Nested Penning Trap as a Source of Singly Charged Ions

    International Nuclear Information System (INIS)

    Ordonez, C.A.

    2003-01-01

    In the work reported, the possibility of using a nested Penning trap as a high purity source of low-charge-state ions is studied. For the configuration considered, a relatively dense ion plasma is confined by a three-dimensional electric potential well. The three-dimensional well is produced by the electric field generated by both the trap electrodes and a trapped electron plasma. The ion and electron plasmas are each considered to have Maxwellian velocity distributions. However, it is shown that the electron plasma must have a temperature that is higher than that of the ion plasma when the ions have low charge states. The work reported includes a self-consistent prediction of a possible plasma equilibrium

  7. Metal negative ion beam extraction from a radio frequency ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kanda, S.; Yamada, N.; Kasuya, T.; Romero, C. F. P.; Wada, M.

    2015-04-08

    A metal ion source of magnetron magnetic field geometry has been designed and operated with a Cu hollow target. Radio frequency power at 13.56 MHz is directly supplied to the hollow target to maintain plasma discharge and induce self-bias to the target for sputtering. The extraction of positive and negative Cu ion beams have been tested. The ion beam current ratio of Cu{sup +} to Ar{sup +} has reached up to 140% when Ar was used as the discharge support gas. Cu{sup −} ion beam was observed at 50 W RF discharge power and at a higher Ar gas pressure in the ion source. Improvement of poor RF power matching and suppression of electron current is indispensable for a stable Cu{sup −} ion beam production from the source.

  8. Principal parameters of classical multiply charged ion sources

    International Nuclear Information System (INIS)

    Winter, H.; Wolf, B.H.

    1974-01-01

    A review is given of the operational principles of classical multiply charged ion sources (operating sources for intense beams of multiply charged ions using discharge plasmas; MCIS). The fractional rates of creation of multiply charged ions in MCIS plasmas cannot be deduced from the discharge parameters in a simple manner; they depend essentially on three principal parameters, the density and energy distribution of the ionizing electrons, and the confinement time of ions in the ionization space. Simple discharge models were used to find relations between principal parameters, and results of model calculations are compared to actually measured charge state density distributions of extracted ions. Details of processes which determine the energy distribution of ionizing electrons (heating effects), confinement times of ions (instabilities), and some technical aspects of classical MCIS (cathodes, surface processes, conditioning, life time) are discussed

  9. Designing of RF ion source and the power sources system

    International Nuclear Information System (INIS)

    Rusdiyanto.

    1978-01-01

    An RF ion source prototype is being developed for the particle accelerator at the Gama Research Centre. Supply of the gas is fed into the plasma chamber by means of neadle valve system. Magnetic field strength of about 500 gauss is applied to the system to improve the ionization efficiency. Components and spare parts of the RF ion source are made based on locally available materials and are discussed in this report. (author)

  10. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  11. Proceedings of the workshop on vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.

    1996-08-01

    Topics included in the papers presented at this conference are: vacuum arc ion source development at GSI (Gesellschaft fuer Schwerionenforschung, Germany), ITEP (Institute for Theoretical and Experimental Physics, Russia), Lawrence Berkeley Laboratory, and ANSTO (Australian Nuclear Science and Technology Organization); triggers for vacuum arc sources; plasma distribution of cathodic arc deposition system; high ion charge states in vacuum arc ion sources; and gas and metal ion sources. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database

  12. Influence of the electron cyclotron resonance plasma confinement on reducing the bremsstrahlung production of an electron cyclotron resonance ion source with metal-dielectric structures.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2009-01-01

    The influence of metal-dielectric (MD) layers (MD structures) inserted into the plasma chamber of an electron cyclotron resonance ion source (ECRIS) onto the production of electron bremsstrahlung radiation has been studied in a series of dedicated experiments at the 14 GHz ECRIS of the Institut für Kernphysik der Universität Frankfurt. The IKF-ECRIS was equipped with a MD liner, covering the inner walls of the plasma chamber, and a MD electrode, covering the plasma-facing side of the extraction electrode. On the basis of similar extracted currents of highly charged ions, significantly reduced yields of bremsstrahlung radiation for the "MD source" as compared to the standard (stainless steel) source have been measured and can be explained by the significantly better plasma confinement in a MD source as compared to an "all stainless steel" ECRIS.

  13. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  14. Electric force on plasma ions and the momentum of the ion-neutrals flow

    Science.gov (United States)

    Makrinich, G.; Fruchtman, A.; Zoler, D.; Boxman, R. L.

    2018-05-01

    The electric force on ions in plasma and the momentum flux carried by the mixed ion-neutral flow were measured and found to be equal. The experiment was performed in a direct-current gas discharge of cylindrical geometry with applied radial electric field and axial magnetic field. The unmagnetized plasma ions, neutralized by magnetized electrons, were accelerated radially outward transferring part of the gained momentum to neutrals. Measurements were taken for various argon gas flow rates between 13 and 100 Standard Cubic Centimeter per Minute, for a discharge current of 1.9 A and a magnetic field intensity of 136 G. The plasma density, electron temperature, and plasma potential were measured at various locations along the flow. These measurements were used to determine the local electric force on the ions. The total electric force on the plasma ions was then determined by integrating radially the local electric force. In parallel, the momentum flux of the mixed ion-neutral flow was determined by measuring the force exerted by the flow on a balance force meter (BFM). The maximal plasma density was between 6 × 1010 cm-3 and 5 × 1011 cm-3, the maximal electron temperature was between 8 eV and 25 eV, and the deduced maximal electric field was between 2200 V/m and 5800 V/m. The force exerted by the mixed ion-neutral flow on the BFM agreed with the total electric force on the plasma ions. This agreement showed that it is the electric force on the plasma ions that is the source of the momentum acquired by the mixed ion-neutral flow.

  15. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  16. Plasma source ion implantation process for corrosion protection of 6061 aluminum

    International Nuclear Information System (INIS)

    Zhang, L.; Booske, J.H.; Shohet, J.L.; Jacobs, J.R.; Bernardini, A.J.

    1995-01-01

    This paper describes results of an investigation of the feasibility of using nitrogen plasma source ion implantation (PSII) treatment to improve corrosion resistance of 6061 aluminum to salt water. Flat Al samples were implanted with various doses of nitrogen. The surface microstructures and profiles of Al and N in the flat samples were examined using transmission electron microscopy (TEM), scanning Auger microprobe, x-ray diffraction. Corrosion properties of the samples and the components were evaluated using both a 500 hour salt spray field test and a laboratory electrochemical corrosion system. The tested samples were then analyzed by scanning electron microscopy. Corrosion measurements have demonstrated that PSII can significantly improve the pitting resistance of 6061 aluminum. By correlating the analytical results with the corrosion test results, it has been verified that the improved corrosion resistance in PSII-treated coupons is due to the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer is mainly determined by the bias voltage and the total integrated implantation dose, and relatively insensitive to factors such as the plasma source, pulse length, or frequency

  17. Development of the power supplies of the prototype ion source for the EAST

    International Nuclear Information System (INIS)

    Liu Zhimin; Hu Chundong; Liu Sheng; Jiang Caichao; Song Shihua; Xie Yahong; Sheng Peng

    2011-01-01

    For the neutral beam injector (NBI) of the Experimental Advanced Superconducting Tokamak (EAST), a test stand of a high-current ion source has been in construction. The NBI power supply system includes the plasma generator power supply, plasma electrode power supply, high voltage power divider, negative high voltage power supply, and the transmission lines and the snubber. A multi-megawatt prototype ion source was developed. The arc discharge of the prototype ion source was obtained in the test. The test results for the ion source power supplies and the arc discharge of the ion source are presented. (authors)

  18. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  19. Electron cyclotron resonance (E.C.R.) multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1978-01-01

    High charge state ions can be produced by electron bombardment inside targets when the target electron density n (cm -3 ) multiplied by the ion transit time through the target tau (sec) is: n tau > 5.10 9 cm -3 sec. The relative velocity between electrons and ions determines the balance between stripping and capture i.e. the final ion charge state. (In a stripper foil fast ions interact with slow electrons involving typically n approximately 10 24 cm -3 , tau approximately 10 -14 sec). In the E.C.R. source a cold ion plasma created in a first stage diffuses slowly through a second stage containing a hot E.C.R. plasma with n > 3.10 11 cm -3 and tau > 10 -2 sec. Continuous beams of several μA of C 6+ N 7+ Ne 9+ A 11+ are extracted from the second stage with normalized emittances of approximately 0.5 π mm mrad. The absence of cathodes and plasma arcs makes the source very robust, reliable and well-fitted for cyclotron injection. A super conducting source is under development

  20. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  1. Electron temperature effects for an ion beam source

    International Nuclear Information System (INIS)

    Uramoto, Joshin.

    1979-05-01

    A hydrogen high temperature plasma up to 200 eV is produced by acceleration of electrons in a hot hollow cathode discharge and is used as an ion beam source. Then, two characteristics are observed: A rate of the atomic ion (H + ) number increases above 70%. A perveance of the ion beam increases above 30 times compared with that of a cold plasma, while a floating potential of an ion acceleration electrode approaches an ion acceleration potential (- 500 V) according as an increment of the electron temperature. Moreover, a neutralized ion beam can be produced by only the negative floating electrode without an external power supply. (author)

  2. Extraction of negative lithium ions from a lithium-containing hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.; Sasao, M.

    1996-01-01

    Negative lithium ions (Li - ) were extracted from a 6-cm-diam 7-cm-long negative hydrogen ion (H - ) source to simulate the condition of Li - extraction from a Li vapor introduced ion source for the neutral beam heating. The amount of the Li - current extracted from a hydrogen plasma with Li vapor was comparable to that extracted from a pure Li plasma. However, the amount of the H - current decreased as the H 2 gas pressure in the source decreased due to a getter-pump effect of Li during the introduction of Li vapor. A heat shield installed to keep a high wall temperature was effective in mitigating the pressure decrease. However, the H - current extracted from the ion source equipped with the heat shield became 20% of the original value, as Li vapor was injected into the ion source. copyright 1996 American Institute of Physics

  3. Noble-gas ionization in the ion source with Penning effect

    International Nuclear Information System (INIS)

    Monchka, D.; Lyatushinskij, A.; Vasyak, A.

    1982-01-01

    By additional use of that the ion source efficiency can be increased the Penning ionization. The results of estimates of certain coefficients for the processes taking place in the plasma ion sources are presented

  4. The continued development of the Spallation Neutron Source external antenna H- ion source

    International Nuclear Information System (INIS)

    Welton, R. F.; Carmichael, J.; Fuga, R.; Goulding, R. H.; Han, B.; Kang, Y.; Lee, S. W.; Murray, S. N.; Pennisi, T.; Potter, K. G.; Santana, M.; Stockli, M. P.; Desai, N. J.

    2010-01-01

    The U.S. Spallation Neutron Source (SNS) is an accelerator-based, pulsed neutron-scattering facility, currently in the process of ramping up neutron production. In order to ensure that the SNS will meet its operational commitments as well as provide for future facility upgrades with high reliability, we are developing a rf-driven, H - ion source based on a water-cooled, ceramic aluminum nitride (AlN) plasma chamber. To date, early versions of this source have delivered up to 42 mA to the SNS front end and unanalyzed beam currents up to ∼100 mA (60 Hz, 1 ms) to the ion source test stand. This source was operated on the SNS accelerator from February to April 2009 and produced ∼35 mA (beam current required by the ramp up plan) with availability of ∼97%. During this run several ion source failures identified reliability issues, which must be addressed before the source re-enters production: plasma ignition, antenna lifetime, magnet cooling, and cooling jacket integrity. This report discusses these issues, details proposed engineering solutions, and notes progress to date.

  5. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  6. Numerical simulation for optimization of multipole permanent magnets of multicusp ion source

    International Nuclear Information System (INIS)

    Hosseinzadeh, M.; Afarideh, H.

    2014-01-01

    A new ion source will be designed and manufactured for the CYCLONE30 commercial cyclotron with a much advanced performance compared with the previous one. The newly designed ion source has more plasma density, which is designed to deliver an H – beam at 30 keV. In this paper numerical simulation of the magnetic flux density from permanent magnet used for a multicusp ion source, plasma confinement and trapping of fast electrons by the magnetic field has been performed to optimize the number of magnets confining the plasma. A code has been developed to fly electrons in the magnetic field to evaluate the mean life of electrons in plasma in different magnetic conditions to have a better evaluation and comparison of density in different cases. The purpose of this design is to recapture more energetic electrons with permanent magnets. Performance simulations of the optimized ion source show considerable improvement over reported one by IBA

  7. Metal vapor vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-06-01

    We have developed a family of metal vapor vacuum are (MEVVA) high current metal ion sources. The sources were initially developed for the production of high current beams of metal ions for heavy ion synchrotron injection for basic nuclear physics research; more recently they have also been used for metal ion implantation. A number of different embodiments of the source have been developed for these specific applications. Presently the sources operate in a pulsed mode, with pulse width of order 1 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, and since the ions produced in the vacuum arc plasma are in general multiply ionized the ion energy is up to several hundred keV. Beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Nearly all of the solid metals of the Periodic Table have been use to produce beam. A number of novel features have been incorporated into the sources, including multiple cathodes and the ability to switch between up to 18 separate cathode materials simply and quickly, and a broad beam source version as well as miniature versions. here we review the source designs and their performance. 45 refs., 7 figs

  8. Numerical model of electron cyclotron resonance ion source

    Directory of Open Access Journals (Sweden)

    V. Mironov

    2015-12-01

    Full Text Available Important features of the electron cyclotron resonance ion source (ECRIS operation are accurately reproduced with a numerical code. The code uses the particle-in-cell technique to model the dynamics of ions in ECRIS plasma. It is shown that a gas dynamical ion confinement mechanism is sufficient to provide the ion production rates in ECRIS close to the experimentally observed values. Extracted ion currents are calculated and compared to the experiment for a few sources. Changes in the simulated extracted ion currents are obtained with varying the gas flow into the source chamber and the microwave power. Empirical scaling laws for ECRIS design are studied and the underlying physical effects are discussed.

  9. Development of Langmuir probe diagnostic system for 13.56 MHz plasma sources

    International Nuclear Information System (INIS)

    Ranjini, K.; Nabhiraj, P.Y.; Mallik, C.; Bhandari, R.K.

    2006-01-01

    A work on development of high brightness ion source has been started recently. Plasma parameters are strongly linked to the brightness of the ion beams produced from the ion sources. A self compensated Langmuir probe and related automation system for the measurement of plasma parameters is developed. This paper describes design of the probe, software, hardware and the results. (author)

  10. H- ion sources for CERN's Linac4

    Science.gov (United States)

    Lettry, J.; Aguglia, D.; Coutron, Y.; Chaudet, E.; Dallocchio, A.; Gil Flores, J.; Hansen, J.; Mahner, E.; Mathot, S.; Mattei, S.; Midttun, O.; Moyret, P.; Nisbet, D.; O'Neil, M.; Paoluzzi, M.; Pasquino, C.; Pereira, H.; Arias, J. Sanchez; Schmitzer, C.; Scrivens, R.; Steyaert, D.

    2013-02-01

    The specifications set to the Linac4 ion source are: H- ion pulses of 0.5 ms duration, 80 mA intensity and 45 keV energy within a normalized emittance of 0.25 mmmrad RMS at a repetition rate of 2 Hz. In 2010, during the commissioning of a prototype based on H- production from the plasma volume, it was observed that the powerful co-extracted electron beam inherent to this type of ion source could destroy its electron beam dump well before reaching nominal parameters. However, the same source was able to provide 80 mA of protons mixed with a small fraction of H2+ and H3+ molecular ions. The commissioning of the radio frequency quadrupole accelerator (RFQ), beam chopper and H- beam diagnostics of the Linac4 are scheduled for 2012 and its final installation in the underground building is to start in 2013. Therefore, a crash program was launched in 2010 and reviewed in 2011 aiming at keeping the original Linac4 schedule with the following deliverables: Design and production of a volume ion source prototype suitable for 20-30 mA H- and 80 mA proton pulses at 45 keV by mid-2012. This first prototype will be dedicated to the commissioning of the low energy components of the Linac4. Design and production of a second prototype suitable for 40-50 mA H- based on an external RF solenoid plasma heating and cesiated-surface production mechanism in 2013 and a third prototype based on BNL's Magnetron aiming at reliable 2 Hz and 80 mA H- operations in 2014. In order to ease the future maintenance and allow operation with Ion sources based on three different production principles, an ion source "front end" providing alignment features, pulsed gas injection, pumping units, beam tuning capabilities and pulsed bipolar high voltage acceleration was designed and is being produced. This paper describes the progress of the Linac4 ion source program, the design of the Front end and first ion source prototype. Preliminary results of the summer 2012 commissioning are presented. The outlook on

  11. Numerical study of plasma generation process and internal antenna heat loadings in J-PARC RF negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Shibata, T., E-mail: shibat@post.j-parc.jp; Ueno, A.; Oguri, H.; Ohkoshi, K.; Ikegami, K.; Takagi, A.; Asano, H.; Naito, F. [J-PARC Center, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Nishida, K.; Mochizuki, S.; Hatayama, A. [Keio University, Hiyoshi, Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan); Mattei, S.; Lettry, J. [European Organization for Nuclear Research (CERN), 1211 Geneva 23 (Switzerland)

    2016-02-15

    A numerical model of plasma transport and electromagnetic field in the J-PARC (Japan Proton Accelerator Research Complex) radio frequency ion source has been developed to understand the relation between antenna coil heat loadings and plasma production/transport processes. From the calculation, the local plasma density increase is observed in the region close to the antenna coil. Electrons are magnetized by the magnetic field line with absolute magnetic flux density 30–120 Gauss which leads to high local ionization rate. The results suggest that modification of magnetic configuration can be made to reduce plasma heat flux onto the antenna.

  12. Vacuum-spark metal ion source based on a modified Marx generator

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; MacGill, R.A.; Dickinson, M.R.

    1996-04-01

    The plasma generating parts of ion sources including their power supplies are usually floated to high potential (ion extraction voltage), thus requiring great insulation efforts and high costs for high-energy ion beams. A new concept for pulsed ion sources is presented in which a single power supply is used to simultaneously produce the plasma and high extractor voltage via a modified Marx generator. Proof-of-principle experiments have been performed with high-current spark discharges in vacuum where multiply charged ions are produced with this Marx-generator based ion source (Magis). Using Magis, it has been demonstrated that pulsed ion beams of very high energies can be obtained with relatively low voltage. For copper, ion of charge states up to 7+ have been found whose energy was 112 keV for a charging voltage of only 10 kV

  13. Study on possibility of development of a laser multicharged ion source for a heavy ion fusion driver

    International Nuclear Information System (INIS)

    Barabash, L.Z.; Krechet, K.I.; Lapitskij, Yu.Ya.; Latyshev, S.V.; Shumshurov, A.V.

    1983-01-01

    The results of studying laser produced plasma ion sources for a heavy ion accelerating-storage complex used as a heavy ion fusion driver are presented. The following parameters were measured on an installation aimed for studying physical characteristics of heavy ion laser plasma for a lead target at laser radiation flux density of approximately 3x10 10 W/cm 2 : scattered ion charge composition, energy spectra and scattering angle distributions, ion currents, absolute number of ions in every charge state, plasma electron temperature. The ion current pulse duration varied from 3x10 -4 s at Z +1 to 2x10 -5 s at Z +10 . The maximum current amplitude of 2 mA corresponded to Z +7 charge. The scattering velocity increased with charge. The total number of ions that could be used for acceleration was approximately 5x10 13 for Z +2 and 5x10 12 for Z +6 per pulse. The ion laser source brightness was 2x10 11 A/cm 2 , the particle phase density was 10 18 (cmxrad) -1

  14. Measurements of the cesium flow from a surface-plasma H- ion source

    International Nuclear Information System (INIS)

    Smith, H.V.; Allison, P.W.

    1979-01-01

    A surface ionization gauge (SIG) was constructed and used to measure the Cs 0 flow rate through the emission slit of a surface-plasma source (SPS) of H - ions with Penning geometry. The equivalent cesium density in the SPS discharge is deduced from these flow measurements. For dc operation the optimum H - current occurs at an equivalent cesium density of approx. 7 x 10 12 cm -3 (corresponding to an average cesium consumption rate of 0.5 mg/h). For pulsed operation the optimum H - current occurs at an equivalent cesium density of approx. 2 x 10 13 cm -3 (1-mg/h average cesium consumption rate). Cesium trapping by the SPS discharge was observed for both dc and pulsed operation. A cesium energy of approx. 0.1 eV is deduced from the observed time of flight to the SIG. In addition to providing information on the physics of the source, the SIG is a useful diagnostic tool for source startup and operation

  15. Interaction of supra-thermal ions with turbulence in a magnetized toroidal plasma

    International Nuclear Information System (INIS)

    Plyushchev, G.

    2009-01-01

    This thesis addresses the interaction of a supra-thermal ion beam with turbulence in the simple magnetized toroidal plasma of TORPEX. The first part of the Thesis deals with the ohmic assisted discharges on TORPEX. The aim of these discharges is the investigation of the open to closed magnetic field line transition. The relevant magnetic diagnostics were developed. Ohmic assisted discharges with a maximum plasma current up to 1 kA are routinely obtained. The equilibrium conditions on the vacuum magnetic field configuration were investigated. In the second part of the Thesis, the design of the fast ion source and detector are discussed. The accelerating electric field needed for the fast ion source was optimized. The fast ion source was constructed and commissioned. To detect the fast ions a specially designed gridded energy analyzer was used. The electron energy distribution function was obtained to demonstrate the efficiency of the detector. The experiments with the fast ion beam were conducted in different plasma regions of TORPEX. In the third part of the Thesis, numerical simulations are used to interpret the measured fast ion beam behavior. It is shown that a simple single particle equation of motion explains the beam behavior in the experiments in the absence of plasma. To explain the fast ion beam experiments with the plasma a turbulent electric field must be used. The model that takes into account this turbulent electrical field qualitatively explains the shape of the fast ion current density profile in the different plasma regions of TORPEX. The vertically elongated fast ion current density profiles are explained by a spread in the fast ion velocity distribution. The theoretically predicted radial fast ion beam spreading due to the turbulent electric field was observed in the experiment. (author)

  16. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  17. Linac4 H− ion sources

    International Nuclear Information System (INIS)

    Lettry, J.; Aguglia, D.; Andersson, P.; Bertolo, S.; Butterworth, A.; Coutron, Y.; Dallocchio, A.; David, N.; Chaudet, E.; Fink, D. A.; Garlasche, M.; Grudiev, A.; Guida, R.; Hansen, J.; Haase, M.; Jones, A.; Koszar, I.; Lallement, J.-B.; Lombardi, A. M.; Machado, C.

    2016-01-01

    CERN’s 160 MeV H − linear accelerator (Linac4) is a key constituent of the injector chain upgrade of the Large Hadron Collider that is being installed and commissioned. A cesiated surface ion source prototype is being tested and has delivered a beam intensity of 45 mA within an emittance of 0.3 π ⋅ mm ⋅ mrad. The optimum ratio of the co-extracted electron- to ion-current is below 1 and the best production efficiency, defined as the ratio of the beam current to the 2 MHz RF-power transmitted to the plasma, reached 1.1 mA/kW. The H − source prototype and the first tests of the new ion source optics, electron-dump, and front end developed to minimize the beam emittance are presented. A temperature regulated magnetron H − source developed by the Brookhaven National Laboratory was built at CERN. The first tests of the magnetron operated at 0.8 Hz repetition rate are described

  18. Proceedings of the 'INS workshop on ECR ion sources for multiply-charged heavy ions'

    International Nuclear Information System (INIS)

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ''NANOGAN'' ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.)

  19. Proceedings of the `INS workshop on ECR ion sources for multiply-charged heavy ions`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ``NANOGAN`` ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.).

  20. The TRIUMF compact DC H-/D- ion source

    International Nuclear Information System (INIS)

    Jayamanna, K.; McDonald, M.; Yuan, D.H.; Schmor, P.W.

    1990-06-01

    A compact dc H - /D - ion source using multicusp magnetic plasma confinement, has been experimentally studied and optimized on the TRIUMF ion source test stand. The plasma parameters have been obtained with rapid computer controlled Langmuir probe scans. The extraction electrode configuration, originally tailored to the TR30 cyclotron requirements, has been further developed. With a 12 mm diameter extraction hole this source now provides 9 mA within a normalized emittance of 0.44 π mm-mrad and can be easily modified for lower currents of smaller emittance (1 mA H - current with normalized emittance 0.12π.mm-mrad or 7 mA H - current with normalized emittance 0.34π.mm-mrad). The source has proven to have low maintenance, high reliability and long filament lifetime. This paper emphasizes basic plasma parameters which determine the efficiency of H - /D - production. Some experimental results obtained from several versions of the extraction system are also described. (Author) 6 refs., 8 figs

  1. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  2. Suprathermal ion transport in turbulent magnetized plasmas

    International Nuclear Information System (INIS)

    Bovet, A. D.

    2015-01-01

    Suprathermal ions, which have an energy greater than the quasi-Maxwellian background plasma temperature, are present in many laboratory and astrophysical plasmas. In fusion devices, they are generated by the fusion reactions and auxiliary heating. Controlling their transport is essential for the success of future fusion devices that could provide a clean, safe and abundant source of electric power to our society. In space, suprathermal ions include energetic solar particles and cosmic rays. The understanding of the acceleration and transport mechanisms of these particles is still incomplete. Basic plasma devices allow detailed measurements that are not accessible in astrophysical and fusion plasmas, due to the difficulty to access the former and the high temperatures of the latter. The basic toroidal device TORPEX offers an easy access for diagnostics, well characterized plasma scenarios and validated numerical simulations of its turbulence dynamics, making it the ideal platform for the investigation of suprathermal ion transport. This Thesis presents three-dimensional measurements of a suprathermal ion beam injected in turbulent TORPEX plasmas. The combination of uniquely resolved measurements and first principle numerical simulations reveals the general non-diffusive nature of the suprathermal ion transport. A precise characterization of their transport regime shows that, depending on their energies, suprathermal ions can experience either a super diffusive transport or a subdiffusive transport in the same background turbulence. The transport character is determined by the interaction of the suprathermal ion orbits with the turbulent plasma structures, which in turn depends on the ratio between the ion energy and the background plasma temperature. Time-resolved measurements reveal a clear difference in the intermittency of suprathermal ions time-traces depending on the transport regime they experience. Conditionally averaged measurements uncover the influence of

  3. Vacuum ARC ion sources - activities ampersand developments at LBL

    International Nuclear Information System (INIS)

    Brown, I.

    1996-01-01

    The author describes work at LBL on the development and application of vacuum arc ion sources. Work has been done on vacuum spark sources - to produce very high charge states, studies of high charge states in magnetic field, hybrid ion source operation on metal/gas plasma, multipole operation, work on MEVVA V for implantation applications, development of broad beam sources, and removal of particles from the output of the source

  4. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    Science.gov (United States)

    Zelenak, A.; Bogomolov, S. L.; Yazvitsky, N. Yu.

    2004-05-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics.

  5. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    International Nuclear Information System (INIS)

    Zelenak, A.; Bogomolov, S.L.; Yazvitsky, N.Yu.

    2004-01-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics

  6. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  7. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  8. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    International Nuclear Information System (INIS)

    Panitzsch, Lauri

    2013-01-01

    The experimental determination of the spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources (ECRIS) defines the focus of this thesis. The spatial distributions of different ion species were obtained in the object plane of the bending magnet (∼45 cm downstream from the plasma electrode) and in the plane of the plasma electrode itself, both in high spatial resolution. The results show that each of the different ion species forms a bloated, triangular structure in the aperture of the plasma electrode. The geometry and the orientation of these structures are defined by the superposition of the radial and axial magnetic fields. The radial extent of each structure is defined by the charge of the ion. Higher charge states occupy smaller, more concentrated structures. The total current density increases towards the center of the plasma electrode. The circular and star-like structures that can be observed in the beam profiles of strongly focused, extracted ion beams are each dominated by ions of a single charge state. In addition, the spatially resolved current density distribution of charged particles in the plasma chamber that impinge on the plasma electrode was determined, differentiating between ions and electrons. The experimental results of this work show that the electrons of the plasma are strongly connected to the magnetic field lines in the source and thus spatially well confined in a triangular-like structure. The intensity of the electrons increases towards the center of the plasma electrode and the plasma chamber, as well. These electrons are surrounded by a spatially far less confined and less intense ion population. All the findings mentioned above were already predicted in parts by simulations of different groups. However, the results presented within this thesis represent the first (and by now only) direct experimental verification of those predictions and are qualitatively transferable to other

  9. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    Energy Technology Data Exchange (ETDEWEB)

    Panitzsch, Lauri

    2013-02-08

    The experimental determination of the spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources (ECRIS) defines the focus of this thesis. The spatial distributions of different ion species were obtained in the object plane of the bending magnet ({approx}45 cm downstream from the plasma electrode) and in the plane of the plasma electrode itself, both in high spatial resolution. The results show that each of the different ion species forms a bloated, triangular structure in the aperture of the plasma electrode. The geometry and the orientation of these structures are defined by the superposition of the radial and axial magnetic fields. The radial extent of each structure is defined by the charge of the ion. Higher charge states occupy smaller, more concentrated structures. The total current density increases towards the center of the plasma electrode. The circular and star-like structures that can be observed in the beam profiles of strongly focused, extracted ion beams are each dominated by ions of a single charge state. In addition, the spatially resolved current density distribution of charged particles in the plasma chamber that impinge on the plasma electrode was determined, differentiating between ions and electrons. The experimental results of this work show that the electrons of the plasma are strongly connected to the magnetic field lines in the source and thus spatially well confined in a triangular-like structure. The intensity of the electrons increases towards the center of the plasma electrode and the plasma chamber, as well. These electrons are surrounded by a spatially far less confined and less intense ion population. All the findings mentioned above were already predicted in parts by simulations of different groups. However, the results presented within this thesis represent the first (and by now only) direct experimental verification of those predictions and are qualitatively transferable to

  10. Molecular and negative ion production by a standard electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary); Biri, S.; Juhasz, Z.; Sulik, B. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); Palinkas, J. [University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary)

    2012-02-15

    Molecular and negative ion beams, usually produced in special ion sources, play an increasingly important role in fundamental and applied atomic physics. The ATOMKI-ECRIS is a standard ECR ion source, designed to provide highly charged ion (HCI) plasmas and beams. In the present work, H{sup -}, O{sup -}, OH{sup -}, O{sub 2}{sup -}, C{sup -}, C{sub 60}{sup -} negative ions and H{sub 2}{sup +}, H{sub 3}{sup +}, OH{sup +}, H{sub 2}O{sup +}, H{sub 3}O{sup +}, O{sub 2}{sup +} positive molecular ions were generated in this HCI-ECRIS. Without any major modification in the source and without any commonly applied tricks (such as usage of cesium or magnetic filter), negative ion beams of several {mu}A and positive molecular ion beams in the mA range were successfully obtained.

  11. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  12. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  13. Ion sources for initial use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility (HRIBF) now under construction at the Oak Ridge National Laboratory will use the 25-MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility; the choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. A high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the HRIBF because of its low emittance, relatively high ionization efficiencies and species versatility, and because it has been engineered for remote installation, removal and servicing as required for safe handling in a high-radiation-level ISOL facility. Prototype plasma-sputter negative ion sources and negative surfaceionization sources are also under design consideration for generating negative radioactive ion beams from high electron-affinity elements. A brief review of the HRIBF will be presented, followed by a detailed description of the design features, operational characteristics, ionization efficiencies, and beam qualities (emittances) of these sources

  14. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  15. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  16. State of the Art ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-01-01

    Electron Cyclotron Resonance (ECR) ion source which produces highly-charged ions is used in heavy ion accelerators worldwide. Applications also found in atomic physics research and industry ion implantation. ECR ion source performance continues to improve, especially in the last few years with new techniques, such as multiple-frequency plasma heating and better methods to provide extra cold electrons, combined with higher magnetic mirror fields. So far more than 1 emA of multiply-charged ions such as He 2+ and O 6+ , and 30 eμA of Au 32+ , 1.1 eμA of 238 U 48+ , and epA currents of very high charge states such as 86 Kr 35+ and 238 U 60+ have been produced

  17. Studies of an inductively coupled negative hydrogen ion radio frequency source through simulations and experiments

    International Nuclear Information System (INIS)

    Bandyopadhyay, M.

    2004-01-01

    In the frame work of a development project for ITER neutral beam injection system a radio frequency (RF) driven negative hydrogen (H-/D-) ion source, (BATMAN ion source) is developed which is designed to produce several 10s of ampere of H-/D- beam current. This PhD work has been carried out to understand and optimize BATMAN ion source. The study has been done with the help of computer simulations, modeling and experiments. The complete three dimensional Monte-Carlo computer simulation codes have been developed under the scope of this PhD work. A comprehensive description about the volume production and the surface production of H- ions is presented in the thesis along with the study results obtained from the simulations, modeling and the experiments. One of the simulations is based on the volume production of H- ions, where it calculates the density profile of the vibrationally excited H2 molecules, the density profile of H- ions and the transport probability of those H- ions along the source axis towards the grid. The other simulation studies the transport of those H- ions which are produced on the surface of the plasma grid. It is expected that if there is a plasma flow in the source, the transport of plasma components (molecules and ions) would be influenced. Experimentally it is observed that there is a convective plasma flow exists in the ion source. A transverse magnetic filter field which is present near the grid inside the ion source reduces the flow velocity. Negative ions and electrons have the same sign of charge; therefore the electrons are co-extracted with the negative ions through the grid system, which is not desirable. It is observed that a magnetic field near the grid, magnetized the electrons and therefore reduce the co-extracted electron current. It is also observed experimentally that if the plasma grid is biased positively with respect to the source body, the electron density near the plasma grid is reduced and therefore the co

  18. Studies on the Extraction Region of the Type VI RF Driven H- Ion Source

    Science.gov (United States)

    McNeely, P.; Bandyopadhyay, M.; Franzen, P.; Heinemann, B.; Hu, C.; Kraus, W.; Riedl, R.; Speth, E.; Wilhelm, R.

    2002-11-01

    IPP Garching has spent several years developing a RF driven H- ion source intended to be an alternative to the current ITER (International Thermonuclear Experimental Reactor) reference design ion source. A RF driven source offers a number of advantages to ITER in terms of reduced costs and maintenance requirements. Although the RF driven ion source has shown itself to be competitive with a standard arc filament ion source for positive ions many questions still remain on the physics behind the production of the H- ion beam extracted from the source. With the improvements that have been implemented to the BATMAN (Bavarian Test Machine for Negative Ions) facility over the last two years it is now possible to study both the extracted ion beam and the plasma in the vicinity of the extraction grid in greater detail. This paper will show the effect of changing the extraction and acceleration voltage on both the current and shape of the beam as measured on the calorimeter some 1.5 m downstream from the source. The extraction voltage required to operate in the plasma limit is 3 kV. The perveance optimum for the extraction system was determined to be 2.2 x 10-6 A/V3/2 and occurs at 2.7 kV extraction voltage. The horizontal and vertical beam half widths vary as a function of the extracted ion current and the horizontal half width is generally smaller than the vertical. The effect of reducing the co-extracted electron current via plasma grid biasing on the H- current extractable and the beam profile from the source is shown. It is possible in the case of a silver contaminated plasma to reduce the co-extracted electron current to 20% of the initial value by applying a bias of 12 V. In the case where argon is present in the plasma, biasing is observed to have minimal effect on the beam half width but in a pure hydrogen plasma the beam half width increases as the bias voltage increases. New Langmuir probe studies that have been carried out parallel to the plasma grid (in the

  19. Development of the High Current Ion Source for Neutral Beam Injection

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hun Ju; Kim, S. H.; Jang, D. H. [Jae Ju University, Jaeju (Korea, Republic of)

    1997-08-01

    The scope of the 1st year research is to design an 140keV deuterium ion source which has a beam current of 30-40A. According to the collected data, the model of an ion source for NBI of KSTAR was established. The negative ion source, which has good neutralization effecting in high energy, was selected. To generate a plasma, the thoriated tungsten filament was adopted. To increase the efficiency of plasma, the multi cusp type magnetic field was attached. The magnetic field was calculated by POISSON code. The extraction structure was designed with EGUN code, to extract the high quality ion beam. The design of a high current ion source for NBI was carried out. To develop the high current ion source with the high operational stability and the long lifetime, the parameters including an arc current, gas pressure and extraction voltage should be optimized. If designed ion source would be fabricated, its parameters could be optimized experimentally. Through the optimization of the ion source parameter, the core technology for NBI is established and the experiment of current drive in the fusion device can be performed. This technology also can be applied to the synthesis of new material and semiconductor industry. 18 refs., 11 tabs., 19 figs. (author)

  20. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  1. Means for obtaining a metal ion beam from a heavy-ion cyclotron source

    Science.gov (United States)

    Hudson, E.D.; Mallory, M.L.

    1975-08-01

    A description is given of a modification to a cyclotron ion source used in producing a high intensity metal ion beam. A small amount of an inert support gas maintains the usual plasma arc, except that it is necessary for the support gas to have a heavy mass, e.g., xenon or krypton as opposed to neon. A plate, fabricated from the metal (or anything that can be sputtered) to be ionized, is mounted on the back wall of the ion source arc chamber and is bombarded by returning energetic low-charged gas ions that fail to cross the initial accelerating gap between the ion source and the accelerating electrode. Some of the atoms that are dislodged from the plate by the returning gas ions become ionized and are extracted as a useful beam of heavy ions. (auth)

  2. A Langmuir probe system for high power RF-driven negative ion sources on high potential

    International Nuclear Information System (INIS)

    McNeely, P; Christ-Koch, S; Fantz, U; Dudin, S V

    2009-01-01

    A fully automated Langmuir probe system capable of operating simultaneously with beam extraction has been developed and commissioned for the negative hydrogen ion source testbeds at IPP Garching. It allows the measurement of temporal and spatial distributions of the plasma parameters within a single plasma pulse ( 10 18 m -3 ) and hot (T e > 10 eV) plasma with bi-Maxwellian electron energy distribution at low pressures. The plasma found near the plasma grid is very different being of low density (≤10 17 m -3 ) and very cold (T e < 2 eV). This plasma is also strongly influenced by the presence of caesium, the potential of the plasma grid, and if an ion beam is extracted from the source. Caesium strongly reduces the plasma potential of the source and enhances the negative ion density near the plasma grid. Extracting an ion beam is observed to reduce the electron density and increase the potential near the plasma grid. Applying a potential greater than the plasma potential to the plasma grid is found to significantly decrease the electron density near the plasma grid.

  3. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  4. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources; Profile der Plasmaparameter und Dichte negativer Wasserstoffionen mittels Laserdetachmentmessungen in HF-angeregten Ionenquellen

    Energy Technology Data Exchange (ETDEWEB)

    Christ-Koch, Sina

    2007-12-20

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields ({proportional_to} 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H{sup -})=1.10{sup 17} 1/m{sup 3}, which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  5. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  6. Development of C6+ laser ion source and RFQ linac for carbon ion radiotherapy

    Science.gov (United States)

    Sako, T.; Yamaguchi, A.; Sato, K.; Goto, A.; Iwai, T.; Nayuki, T.; Nemoto, K.; Kayama, T.; Takeuchi, T.

    2016-02-01

    A prototype C6+ injector using a laser ion source has been developed for a compact synchrotron dedicated to carbon ion radiotherapy. The injector consists of a laser ion source and a 4-vane radio-frequency quadrupole (RFQ) linac. Ion beams are extracted from plasma and directly injected into the RFQ. A solenoid guides the low-energy beams into the RFQ. The RFQ is designed to accelerate high-intensity pulsed beams. A structure of monolithic vanes and cavities is adopted to reduce its power consumption. In beam acceleration tests, a solenoidal magnetic field set between the laser ion source and the RFQ helped increase both the peak currents before and after the RFQ by a factor of 4.

  7. Development of C6+ laser ion source and RFQ linac for carbon ion radiotherapy

    International Nuclear Information System (INIS)

    Sako, T.; Yamaguchi, A.; Sato, K.; Goto, A.; Iwai, T.; Nayuki, T.; Nemoto, K.; Kayama, T.; Takeuchi, T.

    2016-01-01

    A prototype C 6+ injector using a laser ion source has been developed for a compact synchrotron dedicated to carbon ion radiotherapy. The injector consists of a laser ion source and a 4-vane radio-frequency quadrupole (RFQ) linac. Ion beams are extracted from plasma and directly injected into the RFQ. A solenoid guides the low-energy beams into the RFQ. The RFQ is designed to accelerate high-intensity pulsed beams. A structure of monolithic vanes and cavities is adopted to reduce its power consumption. In beam acceleration tests, a solenoidal magnetic field set between the laser ion source and the RFQ helped increase both the peak currents before and after the RFQ by a factor of 4

  8. Development of 16.5 GHz ECR ion source in KEK

    International Nuclear Information System (INIS)

    Mori, Yoshiharu; Kinsho, Michikazu; Ikegami, Kiyoshi; Takagi, Akira

    1992-01-01

    An electron cyclotron resonance (ECR) ion source is useful for generating not only highly charged heavy ions but intense protons. We have developed the 16.5 GHz ECR ion source for the optically pumped polarized ion source (OPPIS). Recently, we have modified it to extract highly charged heavy ions and succeeded in producting highly charged argon ions of which charge-states were from 2 to 8. When we introduced electrons into the plasma with a LaB 6 filament, the argon ion beam whose charge-state up to 11 could be extracted. The intensity was also enhanced in factor 2 to 6 for each charge-state ions. (author)

  9. High-efficiency target-ion sources for RIB generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1993-01-01

    A brief review is given of high-efficiency ion sources which have been developed or are under development at ISOL facilities which show particular promise for use at existing, future, or radioactive ion beam (RIB) facilities now under construction. Emphasis will be placed on those sources which have demonstrated high ionization efficiency, species versatility, and operational reliability and which have been carefully designed for safe handling in the high level radioactivity radiation fields incumbent at such facilities. Brief discussions will also be made of the fundamental processes which affect the realizable beam intensities in target-ion sources. Among the sources which will be reviewed will be selected examples of state-of-the-art electron-beam plasma-type ion sources, thermal-ionization, surface-ionization, ECR, and selectively chosen ion source concepts which show promise for radioactive ion beam generation. A few advanced, chemically selective target-ion sources will be described, such as sources based on the use of laser-resonance ionization, which, in principle, offer a more satisfactory solution to isobaric contamination problems than conventional electromagnetic techniques. Particular attention will be given to the sources which have been selected for initial or future use at the Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory

  10. High Intensity High Charge State ECR Ion Sources

    CERN Document Server

    Leitner, Daniela

    2005-01-01

    The next-generation heavy ion beam accelerators such as the proposed Rare Isotope Accelerator (RIA), the Radioactive Ion Beam Factory at RIKEN, the GSI upgrade project, the LHC-upgrade, and IMP in Lanzhou require a great variety of high charge state ion beams with a magnitude higher beam intensity than currently achievable. High performance Electron Cyclotron Resonance (ECR) ion sources can provide the flexibility since they can routinely produce beams from hydrogen to uranium. Over the last three decades, ECR ion sources have continued improving the available ion beam intensities by increasing the magnetic fields and ECR heating frequencies to enhance the confinement and the plasma density. With advances in superconducting magnet technology, a new generation of high field superconducting sources is now emerging, designed to meet the requirements of these next generation accelerator projects. The talk will briefly review the field of high performance ECR ion sources and the latest developments for high intens...

  11. Experimental investigation of the ion current distribution in microsecond plasma opening switch

    Energy Technology Data Exchange (ETDEWEB)

    Bystritskij, V; Grigor` ev, S; Kharlov, A; Sinebryukhov, A [Russian Academy of Sciences, Tomsk (Russian Federation). Institute of Electrophysics

    1997-12-31

    This paper is devoted to the investigations of properties of the microsecond plasma opening switch (MPOS) as an ion beam source for surface modification. Two plasma sources were investigated: flash-board and cable guns. The detailed measurements of axial and azimuthal distributions of ion current density in the switch were performed. It was found that the azimuthal inhomogeneity of the ion beam increases from the beginning to the end of MPOS. The advantages and problems of this approach are discussed. (author). 5 figs., 2 refs.

  12. Study of plasma meniscus formation and beam halo in negative ion source using the 3D3VPIC model

    International Nuclear Information System (INIS)

    Nishioka, S.; Goto, I.; Hatayama, A.; Miyamoto, K.; Fukano, A.

    2015-01-01

    In this paper, the effect of the electron confinement time on the plasma meniscus and the fraction of the beam halo is investigated by 3D3V-PIC (three dimension in real space and three dimension in velocity space) (Particle in Cell) simulation in the extraction region of negative ion source. The electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of diffusion across the magnetic field. Our 3D3V-PIC results support the previous result by 2D3V-PIC results i.e., it is confirmed that the penetration of the plasma meniscus becomes deep into the source plasma region when the effective confinement time is short

  13. Study of plasma meniscus formation and beam halo in negative ion source using the 3D3VPIC model

    Energy Technology Data Exchange (ETDEWEB)

    Nishioka, S.; Goto, I.; Hatayama, A. [Graduate school of Science and Technology, Keio University, Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan); Miyamoto, K. [Naruto University of Education, 748 Nakashima, Takashima, Naruto-cho, Naruto-shi, Tokushima 772-8502 (Japan); Fukano, A. [Tokyo Metropolitan Collage of Industrial Technology, Higashioi, Shinagawa, Tokyo 140-0011 (Japan)

    2015-04-08

    In this paper, the effect of the electron confinement time on the plasma meniscus and the fraction of the beam halo is investigated by 3D3V-PIC (three dimension in real space and three dimension in velocity space) (Particle in Cell) simulation in the extraction region of negative ion source. The electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of diffusion across the magnetic field. Our 3D3V-PIC results support the previous result by 2D3V-PIC results i.e., it is confirmed that the penetration of the plasma meniscus becomes deep into the source plasma region when the effective confinement time is short.

  14. Development of a versatile multiaperture negative ion source

    International Nuclear Information System (INIS)

    Cavenago, M.; Kulevoy, T.; Petrenko, S.; Serianni, G.; Antoni, V.; Bigi, M.; Fellin, F.; Recchia, M.; Veltri, P.

    2012-01-01

    A 60 kV ion source (9 beamlets of 15 mA each of H - ) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum |B| trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  15. Development of a versatile multiaperture negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Cavenago, M. [INFN-LNL, viale dell' Universita n.2, I-35020 Legnaro (Padova) (Italy); Kulevoy, T.; Petrenko, S. [INFN-LNL, viale dell' Universita n.2, I-35020 Legnaro (Padova) (Italy); ITEP, B. Cheremushkinskaya 25, 117218 Moscow (Russian Federation); Serianni, G.; Antoni, V.; Bigi, M.; Fellin, F.; Recchia, M.; Veltri, P. [Consorzio RFX, Associazione Euratom-ENEA sulla fusione, c.so S. Uniti 4, 35127 Padova (Italy)

    2012-02-15

    A 60 kV ion source (9 beamlets of 15 mA each of H{sup -}) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum |B| trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  16. Development of a versatile multiaperture negative ion source.

    Science.gov (United States)

    Cavenago, M; Kulevoy, T; Petrenko, S; Serianni, G; Antoni, V; Bigi, M; Fellin, F; Recchia, M; Veltri, P

    2012-02-01

    A 60 kV ion source (9 beamlets of 15 mA each of H(-)) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum ∣B∣ trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  17. High-current negative hydrogen ion beam production in a cesium-injected multicusp source

    International Nuclear Information System (INIS)

    Takeiri, Y.; Tsumori, K.; Kaneko, O.

    1997-01-01

    A high-current negative hydrogen ion source has been developed, where 16.2 A of the H - current was obtained with a current density of 31 mA/cm 2 . The ion source is a multicusp source with a magnetic filter for negative ion production, and cesium vapor is injected into the arc chamber, leading to enhancement of the negative ion yields. The cesium-injection effects are discussed, based on the experimental observations. Although the surface production of the negative ions on the cesium-covered plasma grid is thought to be a dominant mechanism of the H - current enhancement, the cesium effects in the plasma volume, such as the cesium ionization and the electron cooling, are observed, and could contribute to the improved operation of the negative ion source. (author)

  18. Effect of multi-ions on electromagnetic ion-cyclotron waves with a hot plasma around the polar cusp

    International Nuclear Information System (INIS)

    Patel, Soniya; Varma, P; Tiwari, M S

    2011-01-01

    Electromagnetic ion cyclotron (EMIC) instabilities with an isotropic ion beam and general loss-cone distribution of hot core plasmas are discussed. The growth rate of the wave, perpendicular heating of ions, parallel resonant energy and marginal instability of the EMIC waves in homogeneous plasmas are obtained using the dispersion relation for hot plasmas consisting of H + , He + ,O + ions and electrons. The wave is assumed to propagate parallel to the static magnetic field. The whole plasma is considered to consist of resonant and non-resonant particles permeated by the isotropic ion beam. It is assumed that the resonant particles and the ion beam participate in energy exchange with the wave, whereas the non-resonant particles support the oscillatory motion of the wave. We determined the variation in energies and growth rate in hot plasmas by the energy conservation method with a general loss-cone distribution function. We also discuss the effect of positive and negative ion beam velocity on the growth rate of the wave. The thermal anisotropy of the ions of the core plasma acts as a source of free energy for EMIC waves and enhances the growth rate. Heating of ions perpendicular to the magnetic field is discussed along with EMIC wave emission in the polar cusp region.

  19. Long-pulse operation of an intense negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Takeiri, Yasuhiko; Osakabe, Masaki; Tsumori, Katsuyoshi; Kaneko, Osamu; Oka, Yoshihide; Asano, Eiji; Kawamoto, Toshikazu; Akiyama, Ryuichi; Kuroda, Tsutomu [National Inst. for Fusion Science, Nagoya (Japan)

    1997-02-01

    In the National Institute for Fusion Science, as the heating system for the Large Helical Device (LHD), the negative ion NBI system of 20 MW incident power has been planned, and the development of a large current, large size negative ion source has been advanced. Based on the results obtained so far, the design of the LHD-NBI system was reconsidered, and the specification of the actual negative ion source was decided as 180 KeV-40A. This time, the grounding electrode with heightened heat removal capacity was made, and the long pulse operation was attempted, therefore, its results are reported. The structure of the external magnetic filter type large negative ion source used for the long pulse experiment is explained. In order to form the negative ion beam of long pulses, it is necessary to form stable are discharge plasma for long time, and variable resistors were attached to the output side of arc power sources of respective filament systems. By adjusting the resistors, uniform are discharge was able to be caused for longer than 10 s stably. The results of the long pulse experiment are reported. The dependence of the characteristics of negative ion beam on plasma electrode temperature was small, and the change of the characteristics of negative ion beam due to beam pulse width was not observed. (K.I.)

  20. Axial magnetic field extraction type microwave ion source with a permanent magnet

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Takagi, Toshinori

    1984-01-01

    A new type of microwave ion source in which a permanent magnet generates an axially directed magnetic field needed for the electron cyclotron resonance was developed. The electron cyclotron resonance produces a high density plasma in the ion source. A mA-order ion beam can be extracted. Compared with usual microwave ion sources, this source has a distinguished feature in that the axially directed magnetic field is formed by use of a permanent magnet. Shape of magnetic force lines near the ion extraction aperture was carefully investigated. The extracted ion current as a function of the ion extraction voltage was measured. The experimental data are in good agreement with the theoretical line. The ion source can be heated up to 500 deg C, and extraction of the alkaline metal ions is possible. The extracted ion current for various elements are shown in the table. The current density normalized by the proton was 350-650 mA/cm 2 which was nearly equal to the upper limit of the extractable positive ion current density. The plasma density was estimated and was 2 - 3 x 10 12 cm -3 . The mass spectrum of a Cesium ion beam was obtained. A negligible amount of impurities was observed. The emittance diagram of the extracted ion beam was measured. The result shows that a low emittance and high brightness ion source is constructed. (Kato, T.)

  1. H- formation process in a multicusp ion source

    International Nuclear Information System (INIS)

    Leung, K.N.; Kunkel, W.B.

    1987-07-01

    In recent years, H - ions have been found important applications in high energy accelerators and in neutral beam heating of fusion plasmas. There are different techniques for producing the H - or D - ions. The most attractive scheme is the direct extraction of H - ions from a hydrogen discharge. This technique requires no cesium and it utilizes the existing large area positive ion source technology. This paper investigates this techniques. 14 refs

  2. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    manufacturing industry by lowering power consumption by as much as 30 kW per ion implanter. Major problem was meeting commercialization goals did not succeed for the following reasons (which were discovered after R&D completion): record output of high charge state phosphorous would have thermally damage wafers; record high charge state of antimony requires tool (ion implanting machine in ion implantation jargon) modification, which did not make economic sense due to the small number of users. High fraction boron ion was delivered to PVI client Axcelis for retrofit and implantation testing; the source could have reduced beam preinjector power consumption by a factor of 3.5. But, since the source generated some lithium (though in miniscule amounts); last minute decision was made not to employ the source in implanters. An additional noteworthy reason for failure to commercialize is the fact that the ion implantation manufacturing industry had been in a very deep bust cycle. BNL, however, has benefited from advances in high-charge state ion generation, due to the need high charge state ions in some RHIC preinjectors. Since the invention of the transistor, the trend has been to miniaturize semiconductor devices. As semiconductors become smaller (and get miniaturized), ion energy needed for implantation decreases, since shallow implantation is desired. But, due to space charge (intra-ion repulsion) effects, forming and transporting ion beams becomes a rather difficult task. A few small manufacturers of low quality semiconductors use plasma immersion to circumvent the problem. However, in plasma immersion undesired plasma impurity ions are also implanted; hence, the quality of those semiconductors is poor. For high quality miniature semiconductor manufacturing, pure, low energy ion beams are utilized. But, low energy ion implanters are characterized by low current (much lower than desirable) and, therefore, low production rates. Consequently, increasing the current of pure low energy

  3. Characterization of electron temperature by simulating a multicusp ion source

    Energy Technology Data Exchange (ETDEWEB)

    Yeon, Yeong Heum [Sungkyunkwan University, WCU Department of Energy Science, 2066, Seobu-ro, Jangan-gu, Suwon-si (Korea, Republic of); Ghergherehchi, Mitra; Kim, Sang Bum; Jun, Woo Jung [Sungkyunkwan University, School of Information & Communication Engineering, 2066, Seobu-ro, Jangan-gu, Suwon-si (Korea, Republic of); Lee, Jong Chul; Mohamed Gad, Khaled Mohamed [Sungkyunkwan University, WCU Department of Energy Science, 2066, Seobu-ro, Jangan-gu, Suwon-si (Korea, Republic of); Namgoong, Ho [Sungkyunkwan University, School of Information & Communication Engineering, 2066, Seobu-ro, Jangan-gu, Suwon-si (Korea, Republic of); Chai, Jong Seo, E-mail: jschai@skku.edu [Sungkyunkwan University, School of Information & Communication Engineering, 2066, Seobu-ro, Jangan-gu, Suwon-si (Korea, Republic of)

    2016-12-01

    Multicusp ion sources are used in cyclotrons and linear accelerators to produce high beam currents. The structure of a multicusp ion source consists of permanent magnets, filaments, and an anode body. The configuration of the array of permanent magnets, discharge voltage of the plasma, extraction bias voltage, and structure of the multicusp ion source body decide the quality of the beam. The electrons are emitted from the filament by thermionic emission. The emission current can be calculated from thermal information pertaining to the filament, and from the applied voltage and current. The electron trajectories were calculated using CST Particle Studio to optimize the plasma. The array configuration of the permanent magnets decides the magnetic field inside the ion source. The extraction bias voltage and the structure of the multicusp ion source body decide the electric field. Optimization of the electromagnetic field was performed with these factors. CST Particle Studio was used to calculate the electron temperature with a varying permanent magnet array. Four types of permanent magnet array were simulated to optimize the electron temperature. It was found that a 2-layer full line cusp field (with inverse field) produced the best electron temperature control behavior.

  4. Numerical simulations of gas mixing effect in electron cyclotron resonance ion sources

    Directory of Open Access Journals (Sweden)

    V. Mironov

    2017-01-01

    Full Text Available The particle-in-cell Monte Carlo collisions code nam-ecris is used to simulate the electron cyclotron resonance ion source (ECRIS plasma sustained in a mixture of Kr with O_{2}, N_{2}, Ar, Ne, and He. The model assumes that ions are electrostatically confined in the ECR zone by a dip in the plasma potential. A gain in the extracted krypton ion currents is seen for the highest charge states; the gain is maximized when oxygen is used as a mixing gas. The special feature of oxygen is that most of the singly charged oxygen ions are produced after the dissociative ionization of oxygen molecules with a large kinetic energy release of around 5 eV per ion. The increased loss rate of energetic lowly charged ions of the mixing element requires a building up of the retarding potential barrier close to the ECR surface to equilibrate electron and ion losses out of the plasma. In the mixed plasmas, the barrier value is large (∼1  V compared to pure Kr plasma (∼0.01  V, with longer confinement times of krypton ions and with much higher ion temperatures. The temperature of the krypton ions is increased because of extra heating by the energetic oxygen ions and a longer time of ion confinement. In calculations, a drop of the highly charged ion currents of lighter elements is observed when adding small fluxes of krypton into the source. This drop is caused by the accumulation of the krypton ions inside plasma, which decreases the electron and ion confinement times.

  5. Recent advances in vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Oks, E.M.

    1995-07-01

    Intense beams of metal ions can be formed from a vacuum arc ion source. Broadbeam extraction is convenient, and the time-averaged ion beam current delivered downstream can readily be in the tens of milliamperes range. The vacuum arc ion source has for these reasons found good application for metallurgical surface modification--it provides relatively simple and inexpensive access to high dose metal ion implantation. Several important source developments have been demonstrated recently, including very broad beam operation, macroparticle removal, charge state enhancement, and formation of gaseous beams. The authors have made a very broad beam source embodiment with beam formation electrodes 50 cm in diameter, producing a beam of width ∼35 cm for a nominal beam area of ∼1,000 cm 2 , and a pulsed Ti beam current of about 7 A was formed at a mean ion energy of ∼100 keV. Separately, they've developed high efficiency macroparticle-removing magnetic filters and incorporated such a filter into a vacuum arc ion source so as to form macroparticle-free ion beams. Jointly with researchers at the High Current Electronics Institute at Tomsk, Russia, and the Gesellschaft fuer Schwerionenforschung at Darmstadt, Germany, they've developed a compact technique for increasing the charge states of ions produced in the vacuum arc plasma and thus providing a simple means of increasing the ion energy at fixed extractor voltage. Finally, operation with mixed metal and gaseous ion species has been demonstrated. Here, they briefly review the operation of vacuum marc ion sources and the typical beam and implantation parameters that can be obtained, and describe these source advances and their bearing on metal ion implantation applications

  6. Temporal evolution of ion energy in a plasma focus

    International Nuclear Information System (INIS)

    Rhee, M.J.; Weidman, D.J.

    1988-01-01

    For the first time, the temporal structure of ion energy in a plasma focus is revealed using a time-resolving Thomson spectrometer. The velocities and arrival times of ions are determined from the spectrogram. The resulting distribution of ions in velocity--time space at the source is found to be a line distribution, as if the ions were accelerated in a diode by a pulsed voltage

  7. Ion Beam Extraction by Discrete Ion Focusing

    DEFF Research Database (Denmark)

    2010-01-01

    An apparatus (900) and methods are disclosed for ion beam extraction. In an implementation, the apparatus includes a plasma source (or plasma) (802) and an ion extractor (804). The plasma source is adapted to generate ions and the ion extractor is immersed in the plasma source to extract a fracti...

  8. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  9. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  10. Jet laser ion source

    International Nuclear Information System (INIS)

    Dem'yanov, A.V.; Sidorov, S.V.

    1994-01-01

    External laser injector of multicharged ions (MCI) is developed in which wide-aperture aberration-free wire gauze spherical shape electrodes are applied for effective MCI extraction from laser plasma and beam focusing. Axial plasma compression by solenoid magnetic field is used to reduce ion losses due to transverse movement of the scattering laser plasma. Transverse magnetic field created by another solenoid facilitates the effective laser plasma braking and consequently, leads to the narrowing of energy spectrum of plasma ions and its shift towards lower energies. 2 refs.; 3 figs

  11. Plasma synthesis of hard materials with energetic ions

    International Nuclear Information System (INIS)

    Monteiro, Othon R.

    1999-01-01

    Recent developments in plasma synthesis of hard materials using metal plasma immersion ion implantation and deposition are described. We have produced and characterized a variety of films including doped and undoped DLC (diamond-like carbon) and metal carbides. By using multiple plasma sources operated either synchronously or asynchronously, different metal plasma species can be either blended or linked so as to form mixed-composition films or multilayer structures, and by control of the depositing ion energy, interfaces can be made sharp or graded and the film morphology and microstructure can be widely tailored. Plasma compositional uniformity is important to produce homogeneous films, and therefore effective mixing of plasma streams produced by the filtered cathodic vacuum arcs is very important. Specific systems described here include amorphic diamond, and TiC. We outline the deposition technique employed in this investigation, and summarize the results of the characterization of the films

  12. Paul Ion Trap as a Diagnostic for Plasma Focus

    Science.gov (United States)

    Sadat Kiai, S. M.; Adlparvar, S.; Zirak, A.; Alhooie, Samira; Elahi, M.; Sheibani, S.; Safarien, A.; Farhangi, S.; Dabirzadeh, A. A.; Khalaj, M. M.; Mahlooji, M. S.; KaKaei, S.; Talaei, A.; Kashani, A.; Tajik Ahmadi, H.; Zahedi, F.

    2010-02-01

    The plasma discharge contamination by high and low Z Impurities affect the rate of nuclear fusion reaction products, specially when light particles have to be confined. These impurities should be analyzed and can be fairly controlled. This paper reports on the development of a Paul ion trap with ion sources by impact electron ionization as a diagnostic for the 10 kJ Iranian sunshine plasma focus device. Preliminary results of the residual gas are analyzed and presented.

  13. Inertial electro-magnetostatic plasma neutron sources

    International Nuclear Information System (INIS)

    Barnes, D.C.; Nebel, R.A.; Schauer, M.M.; Pickrel, M.M.

    1997-01-01

    Two types of systems are being studied experimentally as D-T plasma neutron sources. In both concepts, spherical convergence of either electrons or ions or both is used to produce a dense central focus within which D-T fusion reactions produce 14 MeV neutrons. One concept uses nonneutral plasma confinement principles in a Penning type trap. In this approach, combined electrostatic and magnetic fields provide a vacuum potential well within which electrons are confined and focused. A small (6 mm radius) spherical machine has demonstrated a focus of 30 microm radius, with a central density of up to 35 times the Brillouin density limit of a static trap. The resulting electron plasma of up to several 10 13 cm -3 provides a multi-kV electrostatic well for confining thermonuclear ions as a neutron source. The second concept (Inertial Electrostatic Confinement, or IEC) uses a high-transparence grid to form a global well for acceleration and confinement of ions. Such a system has demonstrated steady neutron output of 2 x 10 10 s -1 . The present experiment will scale this to >10 11 s -1 . Advanced designs based on each concept have been developed recently. In these proposed approaches, a uniform-density electron sphere forms an electrostatic well for ions. Ions so trapped may be focused by spherical convergence to produce a dense core. An alternative approach produces large amplitude spherical oscillations of a confined ion cloud by a small, resonant modulation of the background electrons. In both the advanced Penning trap approach and the advanced IEC approach, the electrons are magnetically insulated from a large (up to 100 kV) applied electrostatic field. The physics of these devices is discussed, experimental design details are given, present observations are analyzed theoretically, and the performance of future advanced systems are predicted

  14. Development of C{sup 6+} laser ion source and RFQ linac for carbon ion radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Sako, T., E-mail: takayuki1.sako@toshiba.co.jp; Yamaguchi, A.; Sato, K. [Toshiba Corporation, Yokohama 235-8522 (Japan); Goto, A.; Iwai, T.; Nayuki, T.; Nemoto, K.; Kayama, T. [Cancer Research Center, Yamagata University Faculty of Medicine, Yamagata 990-9585 (Japan); Takeuchi, T. [Accelerator Engineering Corporation, Chiba 263-0043 (Japan)

    2016-02-15

    A prototype C{sup 6+} injector using a laser ion source has been developed for a compact synchrotron dedicated to carbon ion radiotherapy. The injector consists of a laser ion source and a 4-vane radio-frequency quadrupole (RFQ) linac. Ion beams are extracted from plasma and directly injected into the RFQ. A solenoid guides the low-energy beams into the RFQ. The RFQ is designed to accelerate high-intensity pulsed beams. A structure of monolithic vanes and cavities is adopted to reduce its power consumption. In beam acceleration tests, a solenoidal magnetic field set between the laser ion source and the RFQ helped increase both the peak currents before and after the RFQ by a factor of 4.

  15. Development of C⁶⁺ laser ion source and RFQ linac for carbon ion radiotherapy.

    Science.gov (United States)

    Sako, T; Yamaguchi, A; Sato, K; Goto, A; Iwai, T; Nayuki, T; Nemoto, K; Kayama, T; Takeuchi, T

    2016-02-01

    A prototype C(6+) injector using a laser ion source has been developed for a compact synchrotron dedicated to carbon ion radiotherapy. The injector consists of a laser ion source and a 4-vane radio-frequency quadrupole (RFQ) linac. Ion beams are extracted from plasma and directly injected into the RFQ. A solenoid guides the low-energy beams into the RFQ. The RFQ is designed to accelerate high-intensity pulsed beams. A structure of monolithic vanes and cavities is adopted to reduce its power consumption. In beam acceleration tests, a solenoidal magnetic field set between the laser ion source and the RFQ helped increase both the peak currents before and after the RFQ by a factor of 4.

  16. Ion sources for induction linac driven heavy ion fusion

    International Nuclear Information System (INIS)

    Rutkowski, H.L.; Eylon, S.; Chupp, W.W.

    1993-08-01

    The use of ion sources in induction linacs for heavy ion fusion is fundamentally different from their use in the rf linac-storage rings approach. Induction linacs require very high current, short pulse extraction usually with large apertures which are dictated by the injector design. One is faced with the problem of extracting beams in a pulsed fashion while maintaining high beam quality during the pulse (low-emittance). Four types of sources have been studied for this application. The vacuum arc and the rf cusp field source are the plasma types and the porous plug and hot alumino-silicate surface source are the thermal types. The hot alumino-silicate potassium source has proved to be the best candidate for the next generation of scaled experiments. The porous plug for potassium is somewhat more difficult to use. The vacuum arc suffers from noise and lifetime problems and the rf cusp field source is difficult to use with very short pulses. Operational experience with all of these types of sources is presented

  17. Ion sources for induction linac driven heavy ion fusion

    International Nuclear Information System (INIS)

    Rutkowski, H.L.; Eylon, S.; Chupp, W.W.

    1994-01-01

    The use of ion sources in induction linacs for heavy ion fusion is fundamentally different from their use in the rf linac-storage rings approach. Induction linacs require very high current, short pulse extraction usually with large apertures which are dictated by the injector design. One is faced with the problem of extracting beams in a pulsed fashion while maintaining high beam quality during the pulse (low emittance). Four types of sources have been studied for this application. The vacuum arc and the rf cusp field source are the plasma-types and the porous plug and hot alumino--silicate surface source are the thermal types. The hot alumino--silicate potassium source has proved to be the best candidate for the next generation of scaled experiments. The porous plug for potassium is somewhat more difficult to use. The vacuum arc suffers from noise and lifetime problems and the rf cusp field source is difficult to use with very short pulses. Operational experience with all of these types of sources is presented

  18. Ultracompact/ultralow power electron cyclotron resonance ion source for multipurpose applications

    International Nuclear Information System (INIS)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Latrasse, L.; Thuillier, T.

    2010-01-01

    In order to drastically reduce the power consumption of a microwave ion source, we have studied some specific discharge cavity geometries in order to reduce the operating point below 1 W of microwave power (at 2.45 GHz). We show that it is possible to drive an electron cyclotron resonance ion source with a transmitter technology similar to those used for cellular phones. By the reduction in the size and of the required microwave power, we have developed a new type of ultralow cost ion sources. This microwave discharge system (called COMIC, for COmpact MIcrowave and Coaxial) can be used as a source of light, plasma or ions. We will show geometries of conductive cavities where it is possible, in a 20 mm diameter chamber, to reduce the ignition of the plasma below 100 mW and define typical operating points around 5 W. Inside a simple vacuum chamber it is easy to place the source and its extraction system anywhere and fully under vacuum. In that case, current densities from 0.1 to 10 mA/cm 2 (Ar, extraction 4 mm, 1 mAe, 20 kV) have been observed. Preliminary measurements and calculations show the possibility, with a two electrodes system, to extract beams within a low emittance. The first application for these ion sources is the ion injection for charge breeding, surface analyzing system and surface treatment. For this purpose, a very small extraction hole is used (typically 3/10 mm for a 3 μA extracted current with 2 W of HF power). Mass spectrum and emittance measurements will be presented. In these conditions, values down to 1 π mm mrad at 15 kV (1σ) are observed, thus very close to the ones currently observed for a surface ionization source. A major interest of this approach is the possibility to connect together several COMIC devices. We will introduce some new on-going developments such as sources for high voltage implantation platforms, fully quartz radioactive ion source at ISOLDE or large plasma generators for plasma immersion, broad or ribbon beams

  19. Ultracompact/ultralow power electron cyclotron resonance ion source for multipurpose applications

    Energy Technology Data Exchange (ETDEWEB)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Latrasse, L.; Thuillier, T. [Laboratoire de Physique Subatomique et de Cosmologie de Grenoble, UJF-CNRS/IN2P3 - INPG, 53, rue des Martyrs, 38026 Grenoble Cedex (France)

    2010-02-15

    In order to drastically reduce the power consumption of a microwave ion source, we have studied some specific discharge cavity geometries in order to reduce the operating point below 1 W of microwave power (at 2.45 GHz). We show that it is possible to drive an electron cyclotron resonance ion source with a transmitter technology similar to those used for cellular phones. By the reduction in the size and of the required microwave power, we have developed a new type of ultralow cost ion sources. This microwave discharge system (called COMIC, for COmpact MIcrowave and Coaxial) can be used as a source of light, plasma or ions. We will show geometries of conductive cavities where it is possible, in a 20 mm diameter chamber, to reduce the ignition of the plasma below 100 mW and define typical operating points around 5 W. Inside a simple vacuum chamber it is easy to place the source and its extraction system anywhere and fully under vacuum. In that case, current densities from 0.1 to 10 mA/cm{sup 2} (Ar, extraction 4 mm, 1 mAe, 20 kV) have been observed. Preliminary measurements and calculations show the possibility, with a two electrodes system, to extract beams within a low emittance. The first application for these ion sources is the ion injection for charge breeding, surface analyzing system and surface treatment. For this purpose, a very small extraction hole is used (typically 3/10 mm for a 3 {mu}A extracted current with 2 W of HF power). Mass spectrum and emittance measurements will be presented. In these conditions, values down to 1 {pi} mm mrad at 15 kV (1{sigma}) are observed, thus very close to the ones currently observed for a surface ionization source. A major interest of this approach is the possibility to connect together several COMIC devices. We will introduce some new on-going developments such as sources for high voltage implantation platforms, fully quartz radioactive ion source at ISOLDE or large plasma generators for plasma immersion, broad or ribbon

  20. Conceptual design of a permanent ring magnet based helicon plasma source module intended to be used in a large size fusion grade ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Arun; Sudhir, Dass; Bandyopadhyay, M., E-mail: mainak@iter-india.org; Chakraborty, A.

    2016-02-15

    A conceptual design of a permanent magnet based single driver helicon plasma source module along with its design approach is described in this paper. The module unit is intended to be used in a large size ion source. The conceptual design of the helicon source module has been carried out using a computer code, HELIC. The magnetic field topology for the ring magnet is simulated with another code, BFieldM and the magnetic field values obtained from the calculation are further used as input in HELIC calculation for the conceptual design. The module is conceptualized based on a cylindrical glass vessel to produce plasma of diameter ∼50 mm, height ∼50 mm. The inner diameter of the permanent ring magnets is also of the same dimension with thickness ∼10 mm each, placed slightly above the backplate to maintain the required magnetic field. The simulated results show that for hydrogen gas, expected plasma density can be achieved as high as ∼10{sup 12}–10{sup 13} cm{sup −3} in the proposed helicon source configuration using 1 kW 13.56 MHz RF generator. An experimental setup to characterize a Helicon source module unit, consisting of a cylindrical glass (plasma) chamber along with the vacuum system, RF power supplies, probes and data acquisition system is being installed.

  1. ERC sources for the production of highly charged ions (invited)

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Antaya, T.A.

    1990-01-01

    Electron cyclotron resonance ion sources (ECRIS) using rf between 5 and 16 GHz have been developed into stable, reliable sources of highly charged ions produced from a wide range of elements. These devices are currently used as ion sources for cyclotrons, synchrotrons, and heavy-ion linacs for nuclear and relativistic heavy-ion physics. They also serve the atomic physics community as a source of low energy multiply charged ions. In order to improve their performance both with respect to maximum charge state and beam intensity, ECRIS builders are now designing and constructing sources which will operate at frequencies up to 30 GHz. In this article we review the present status of operating ECRIS, review recent experimental measurements on plasma parameters, and look at the technology and potential of sources operating at frequencies up to 30 GHz

  2. Advanced light ion source extraction system for a new electron cyclotron resonance ion source geometry at Saclay

    Energy Technology Data Exchange (ETDEWEB)

    Delferriere, O.; Gobin, R.; Harrault, F.; Nyckees, S.; Sauce, Y.; Tuske, O. [Commissariat a l' Energie Atomique, CEA/Saclay, DSM/IRFU, 91191 Gif/Yvette (France)

    2012-02-15

    One of the main goal of intense light ion injector projects such as IPHI, IFMIF, or SPIRAL2, is to produce high current beams while keeping transverse emittance as low as possible. To prevent emittance growth induced in a dual solenoid low energy transfer line, its length has to be minimized. This can be performed with the advanced light ion source extraction system concept that we are developing: a new ECR 2.45 GHz type ion source based on the use of an additional low energy beam transport (LEBT) short length solenoid close to the extraction aperture to create the resonance in the plasma chamber. The geometry of the source has been considerably modified to allow easy maintenance of each component and to save space in front of the extraction. The source aims to be very flexible and to be able to extract high current ion beams at energy up to 100 kV. A specific experimental setup for this source is under installation on the BETSI test bench, to compare its performances with sources developed up to now in the laboratory, such as SILHI, IFMIF, or SPIRAL2 ECR sources. This original extraction source concept is presented, as well as electromagnetic simulations with OPERA-2D code. Ion beam extraction in space charge compensation regime with AXCEL, and beam dynamics simulation with SOLMAXP codes show the beam quality improvement at the end of the LEBT.

  3. Ion acoustic waves in one- and two-negative ion species plasmas

    International Nuclear Information System (INIS)

    Ichiki, Ryuta; Shindo, Masako; Yoshimura, Shinji; Watanabe, Tsuguhiro; Kawai, Yoshinobu

    2001-01-01

    Ion acoustic waves in multi-ion plasmas including two negative ion species are investigated both numerically and experimentally. Numerically, the kinetic dispersion relation in two-negative ion plasmas is investigated. There are three modes of the ion acoustic waves in two-negative ion plasmas. In an Ar + -F - -SF 6 - plasma, only one of the three modes is dominant, regardless of the values of the electron and the ion temperatures. In a Xe + -F - -SF 6 - plasma, on the other hand, two modes can be important for a certain range of the electron-ion temperature ratio. The results also imply the possibility of the coexistence of the fast mode and the slow mode in one-negative ion plasmas. Experimentally, ion acoustic waves are observed in an Ar + -F - -SF 6 - plasma and are found to show a mode transition that agrees with the theoretical prediction for one of the three ion acoustic modes

  4. Ion-acoustic double layers in the presence of plasma source

    International Nuclear Information System (INIS)

    Okuda, H.; Ashour-Abdalla, M.

    1981-11-01

    Steady-state plasma turbulence and formation of negative potential spikes and double layers in the presence of ion acoustic instabilities have been studied by means of one-dimensional particle simulations in which velocities of a small fraction of electrons are replaced by the initial drifting Maxwellian at a constant rate. A steady state is found where negative potential spikes appear randomly in space and time giving rise to an anomalous resistivity much greater than previously found. Comparisons of the simulation results with laboratory and space plasmas are discussed

  5. Ion acoustic double layers in the presence of plasma source

    International Nuclear Information System (INIS)

    Okuda, H.; Ashour-Abdalla, M.

    1982-01-01

    Steady-state plasma turbulence and the formation of negative potential spikes and double layers in the presence of ion acoustic instabilities have been studied by means of one-dimensional particle simulations in which the velocities of a small fraction of electrons are replaced by the initial drifting Maxwellian at a constant rate. A steady state is found where negative potential spikes appear randomly in space and time giving rise to an anomalous resistivity much greater than previously found. Comparisons of the simulation results with laboratory and space plasmas are discussed

  6. Simulating Sources of Superstorm Plasmas

    Science.gov (United States)

    Fok, Mei-Ching

    2008-01-01

    We evaluated the contributions to magnetospheric pressure (ring current) of the solar wind, polar wind, auroral wind, and plasmaspheric wind, with the surprising result that the main phase pressure is dominated by plasmaspheric protons. We used global simulation fields from the LFM single fluid ideal MHD model. We embedded the Comprehensive Ring Current Model within it, driven by the LFM transpolar potential, and supplied with plasmas at its boundary including solar wind protons, polar wind protons, auroral wind O+, and plasmaspheric protons. We included auroral outflows and acceleration driven by the LFM ionospheric boundary condition, including parallel ion acceleration driven by upward currents. Our plasmasphere model runs within the CRCM and is driven by it. Ionospheric sources were treated using our Global Ion Kinetics code based on full equations of motion. This treatment neglects inertial loading and pressure exerted by the ionospheric plasmas, and will be superceded by multifluid simulations that include those effects. However, these simulations provide new insights into the respective role of ionospheric sources in storm-time magnetospheric dynamics.

  7. Self-consistent modeling of electron cyclotron resonance ion sources

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.; Lecot, C.

    2004-01-01

    In order to predict the performances of electron cyclotron resonance ion source (ECRIS), it is necessary to perfectly model the different parts of these sources: (i) magnetic configuration; (ii) plasma characteristics; (iii) extraction system. The magnetic configuration is easily calculated via commercial codes; different codes also simulate the ion extraction, either in two dimension, or even in three dimension (to take into account the shape of the plasma at the extraction influenced by the hexapole). However the characteristics of the plasma are not always mastered. This article describes the self-consistent modeling of ECRIS: we have developed a code which takes into account the most important construction parameters: the size of the plasma (length, diameter), the mirror ratio and axial magnetic profile, whether a biased probe is installed or not. These input parameters are used to feed a self-consistent code, which calculates the characteristics of the plasma: electron density and energy, charge state distribution, plasma potential. The code is briefly described, and some of its most interesting results are presented. Comparisons are made between the calculations and the results obtained experimentally

  8. Self-consistent modeling of electron cyclotron resonance ion sources

    Science.gov (United States)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.; Lécot, C.

    2004-05-01

    In order to predict the performances of electron cyclotron resonance ion source (ECRIS), it is necessary to perfectly model the different parts of these sources: (i) magnetic configuration; (ii) plasma characteristics; (iii) extraction system. The magnetic configuration is easily calculated via commercial codes; different codes also simulate the ion extraction, either in two dimension, or even in three dimension (to take into account the shape of the plasma at the extraction influenced by the hexapole). However the characteristics of the plasma are not always mastered. This article describes the self-consistent modeling of ECRIS: we have developed a code which takes into account the most important construction parameters: the size of the plasma (length, diameter), the mirror ratio and axial magnetic profile, whether a biased probe is installed or not. These input parameters are used to feed a self-consistent code, which calculates the characteristics of the plasma: electron density and energy, charge state distribution, plasma potential. The code is briefly described, and some of its most interesting results are presented. Comparisons are made between the calculations and the results obtained experimentally.

  9. Optical emission spectroscopy of carbon laser plasma ion source

    Science.gov (United States)

    Balki, Oguzhan; Rahman, Md. Mahmudur; Elsayed-Ali, Hani E.

    2018-04-01

    Carbon laser plasma generated by an Nd:YAG laser (wavelength 1064 nm, pulse width 7 ns, fluence 4-52 J cm-2) is studied by optical emission spectroscopy and ion time-of-flight. Up to C4+ ions are detected with the ion flux strongly dependent on the laser fluence. The increase in ion charge with the laser fluence is accompanied by observation of multicharged ion lines in the optical spectra. The time-integrated electron temperature Te is calculated from the Boltzmann plot using the C II lines at 392.0, 426.7, and 588.9 nm. Te is found to increase from ∼0.83 eV for a laser fluence of 22 J cm-2 to ∼0.90 eV for 40 J cm-2. The electron density ne is obtained from the Stark broadened profiles of the C II line at 392 nm and is found to increase from ∼ 2 . 1 × 1017cm-3 for 4 J cm-2 to ∼ 3 . 5 × 1017cm-3 for 40 J cm-2. Applying an external electric field parallel to the expanding plume shows no effect on the line emission intensities. Deconvolution of ion time-of-flight signal with a shifted Maxwell-Boltzmann distribution for each charge state results in an ion temperature Ti ∼4.7 and ∼6.0 eV for 20 and 36 J cm-2, respectively.

  10. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source.

    Science.gov (United States)

    Izotov, I V; Razin, S V; Sidorov, A V; Skalyga, V A; Zorin, V G; Bagryansky, P A; Beklemishev, A D; Prikhodko, V V

    2012-02-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap ("vortex" confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of "vortex" confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  11. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Izotov, I. V.; Razin, S. V.; Sidorov, A. V.; Skalyga, V. A.; Zorin, V. G.; Bagryansky, P. A.; Beklemishev, A. D.; Prikhodko, V. V.

    2012-01-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap (''vortex'' confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of ''vortex'' confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  12. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  13. Characteristics and dynamics of the boundary layer in RF-driven sources for negative hydrogen ions

    International Nuclear Information System (INIS)

    Wimmer, Christian

    2014-01-01

    The design of the neutral beam injection system of the upcoming ITER fusion device is based on the IPP (Max-Planck-Institut fuer Plasmaphysik, Garching) prototype source for negative hydrogen ions. The latter consists of a driver, in which hydrogen (or deuterium) molecules are dissociated in a large degree in a hydrogen plasma; the plasma expands then towards the plasma grid, on which negative hydrogen ions are formed by conversion of atoms or positive ions by the surface process and are extracted in the following accompanied by the co-extraction of electrons via a three grid system. Electrons are removed out of the extracted beam prior full acceleration using deflection magnets, bending them onto the second grid. The thermal load limits the tolerable amount of co-extracted electrons. A magnetic filter field in the expansion chamber reduces the electron temperature and density, on the one hand in order to minimize the destruction process of negative hydrogen ions by electron collisions and on the other hand in order to reduce the co-extracted electron current density. Caesium is evaporated into the source for an effective production of negative hydrogen ions, lowering the work function of the plasma grid. Due to the high chemical reactivity of caesium, the high vacuum condition in the source and the plasma-wall interaction, complex redistribution processes of Cs take place in the ion source. The boundary layer is the plasma volume between the magnetic filter field and the plasma grid, in which the most important physics of the negative ion source takes place: the production of negative hydrogen ions at the plasma grid, their transport through the plasma and the following extraction. A deeper understanding of the plasma and Cs dynamics in the boundary layer is desirable in order to achieve a stable long-pulse operation as well as to identify possible future improvements. For this reason, the boundary layer of the prototype source has been characterized in this work

  14. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  15. Simulation of RF power and multi-cusp magnetic field requirement for H{sup −} ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Pathak, Manish [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Senecha, V.K., E-mail: kumarvsen@gmail.com [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Kumar, Rajnish; Ghodke, Dharmraj V. [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-12-01

    A computer simulation study for multi-cusp RF based H{sup −} ion source has been carried out using energy and particle balance equation for inductively coupled uniformly dense plasma considering sheath formation near the boundary wall of the plasma chamber for RF ion source used as high current injector for 1 Gev H{sup −} Linac project for SNS applications. The average reaction rates for different reactions responsible for H{sup −} ion production and destruction have been considered in the simulation model. The RF power requirement for the caesium free H{sup -} ion source for a maximum possible H{sup −} ion beam current has been derived by evaluating the required current and RF voltage fed to the coil antenna using transformer model for Inductively Coupled Plasma (ICP). Different parameters of RF based H{sup −} ion source like excited hydrogen molecular density, H{sup −} ion density, RF voltage and current of RF antenna have been calculated through simulations in the presence and absence of multicusp magnetic field to distinctly observe the effect of multicusp field. The RF power evaluated for different H{sup −} ion current values have been compared with the experimental reported results showing reasonably good agreement considering the fact that some RF power will be reflected from the plasma medium. The results obtained have helped in understanding the optimum field strength and field free regions suitable for volume emission based H{sup −} ion sources. The compact RF ion source exhibits nearly 6 times better efficiency compare to large diameter ion source.

  16. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  17. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  18. Dependence of beam emittance on plasma electrode temperature and rf-power, and filter-field tuning with center-gapped rod-filter magnets in J-PARC rf-driven H− ion source

    International Nuclear Information System (INIS)

    Ueno, A.; Koizumi, I.; Ohkoshi, K.; Ikegami, K.; Takagi, A.; Yamazaki, S.; Oguri, H.

    2014-01-01

    The prototype rf-driven H − ion-source with a nickel plated oxygen-free-copper (OFC) plasma chamber, which satisfies the Japan Proton Accelerator Research Complex (J-PARC) 2nd stage requirements of a H − ion beam current of 60 mA within normalized emittances of 1.5 π mm mrad both horizontally and vertically, a flat top beam duty factor of 1.25% (500 μs × 25 Hz) and a life-time of more than 50 days, was reported at the 3rd international symposium on negative ions, beams, and sources (NIBS2012). The experimental results of the J-PARC ion source with a plasma chamber made of stainless-steel, instead of nickel plated OFC used in the prototype source, are presented in this paper. By comparing these two sources, the following two important results were acquired. One was that the about 20% lower emittance was produced by the rather low plasma electrode (PE) temperature (T PE ) of about 120 °C compared with the typically used T PE of about 200 °C to maximize the beam current for the plasma with the abundant cesium (Cs). The other was that by using the rod-filter magnets with a gap at each center and tuning the gap-lengths, the filter-field was optimized and the rf-power necessary to produce the J-PARC required H − ion beam current was reduced typically 18%. The lower rf-power also decreases the emittances

  19. Langmuir probe studies on a RF ion source for NBI

    International Nuclear Information System (INIS)

    McNeely, P.; Heineman, B.; Kraus, W.; Riedl, R.; Speth, E.; Vollmer, O.

    2001-01-01

    IPP Garching has been developing a RF ion source for H - production. In order to improve the data quality a new scanning probe system with passive RF compensation has been installed on the Type VI ion source on the BATMAN test stand. Using this probe, measurements have been carried out to study changes to the plasma parameters (electron density, electron temperature, and plasma potential) due to variation in the source operating conditions. The data were collected at a source pressure of 0.5 Pa and with 60±5 kW applied RF power. Presented are some of the results of these measurements, focusing on the effect of: argon seeding, addition of Cs to the source, and the newly added Faraday screen. The electron density behaves in a fashion that agrees with the theory of ambipolar diffusion. Typically there is little change to the average electron energy observed regardless of which effect is considered. The plasma potential shows the most significant changes with external source conditions, both in value for all cases and shape when the Faraday screen was added

  20. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  1. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  2. A laser activated ion source

    International Nuclear Information System (INIS)

    Hughes, J.; Luther-Davies, B.; Hora, H.; Kelly, J.

    1978-01-01

    Apparatus for generating energetic ions of a target material from a cold plasma of the material is described. A pulsed laser beam is directed onto the target to produce the cold plasma. Laser beam pulses are short in relation to the collision time in the plasma. Non-linear elctrodynamic forces within the plasma act to accelerate and eject ions from the plasma. The apparatus can be used to separate ions of isotopes of an element

  3. Electron energy recovery system for negative ion sources

    International Nuclear Information System (INIS)

    Dagenhart, W.K.; Stirling, W.L.

    1982-01-01

    An electron energy recovery system for negative ion sources is provided. The system, employs crossed electric and magnetic fields to separate the electrons from ions as they are extracted from a negative ion source plasma generator and before the ions are accelerated to their full kinetic energy. With the electric and magnetic fields oriented 90* to each other, the electrons are separated from the plasma and remain at approximately the electrical potential of the generator in which they were generated. The electrons migrate from the ion beam path in a precessing motion out of the ion accelerating field region into an electron recovery region provided by a specially designed electron collector electrode. The electron collector electrode is uniformly spaced from a surface of the ion generator which is transverse to the direction of migration of the electrons and the two surfaces are contoured in a matching relationship which departs from a planar configuration to provide an electric field component in the recovery region which is parallel to the magnetic field thereby forcing the electrons to be directed into and collected by the electron collector electrode. The collector electrode is maintained at a potential slightly positive with respect to the ion generator so that the electrons are collected at a small fraction of the full accelerating supply voltage energy

  4. Effect of electrode materials on a negative ion production in a cesium seeded negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, Takashi; Morishita, Takutoshi; Kashiwagi, Mieko; Hanada, Masaya; Iga, Takashi; Inoue, Takashi; Watanabe, Kazuhiro; Imai, Tsuyoshi [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment; Wada, Motoi [Doshisha Univ., Kyoto (Japan)

    2003-03-01

    Effects of plasma grid materials on the negative ion production efficiency in a cesium seeded ion source have been experimentally studied. Grid materials of Au, Ag, Cu, Ni, and Mo were examined. A 2.45 GHz microwave ion source was utilized in the experiment to avoid contamination of tungsten from filament cathode. Relations between the negative ion currents and work functions of the grid were measured for these materials. Influence of the contamination by tungsten on the grid was also investigated. If was clarified that the negative ion production efficiency was determined only by the work function of the grid. The efficiency did not depend on the material itself. The lowest work function of 1.42 eV was obtained for Au grid with Cs, and a high H{sup -} production efficiency of 20.7 mA/kW was measured. This efficiency is about 1.3 times larger than that of Cs/Mo and Cs/Cu. Further improvement of the production efficiency was observed by covering the plasma grid with tungsten and cesium simultaneously. Such co-deposition of W and Cs on the plasma grid produced the negative ion production efficiency of 1.7 times higher than that from the tungsten grid simply covered with Cs. (author)

  5. Frequency threshold for ion beam formation in expanding RF plasma

    Science.gov (United States)

    Chakraborty Thakur, Saikat; Harvey, Zane; Biloiu, Ioana; Hansen, Alex; Hardin, Robert; Przybysz, William; Scime, Earl

    2008-11-01

    We observe a threshold frequency for ion beam formation in expanding, low pressure, argon helicon plasma. Mutually consistent measurements of ion beam energy and density relative to the background ion density obtained with a retarding field energy analyzer and laser induced fluorescence indicate that a stable ion beam of 15 eV appears for source frequencies above 11.5 MHz. Reducing the frequency increases the upstream beam amplitude. Downstream of the expansion region, a clear ion beam is seen only for the higher frequencies. At lower frequencies, large electrostatic instabilities appear and an ion beam is not observed. The upstream plasma density increases sharply at the same threshold frequency that leads to the appearance of a stable double layer. The observations are consistent with the theoretical prediction that downstream electrons accelerated into the source by the double layer lead to increased ionization, thus balancing the higher loss rates upstream [1]. 1. M. A. Lieberman, C. Charles and R. W. Boswell, J. Phys. D: Appl. Phys. 39 (2006) 3294-3304

  6. Development of ECR ion source for VEC

    International Nuclear Information System (INIS)

    Bose, D.K.; Taki, G.S.; Nabhiraj, P.Y.; Pal, G.; Mallik, C.; Bhandari, R.K.

    1997-01-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable 16 O beam up to 50 eμA maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author)

  7. Ion accumulation in an electron plasma confined on magnetic surfaces

    International Nuclear Information System (INIS)

    Berkery, John W.; Marksteiner, Quinn R.; Pedersen, Thomas Sunn; Kremer, Jason P.

    2007-01-01

    Accumulation of ions can alter and may destabilize the equilibrium of an electron plasma confined on magnetic surfaces. An analysis of ion sources and ion content in the Columbia Non-neutral Torus (CNT) [T.S. Pedersen, J.P. Kremer, R.G. Lefrancois, Q. Marksteiner, N. Pomphrey, W. Reiersen, F. Dahlgreen, and X. Sarasola, Fusion Sci. Technol. 50, 372 (2006)] is presented. In CNT ions are created preferentially at locations of high electron temperature, near the outer magnetic surfaces. A volumetric integral of n e ν iz gives an ion creation rate of 2.8x10 11 ions/s. This rate of accumulation would cause neutralization of a plasma with 10 11 electrons in about half a second. This is not observed experimentally, however, because currently in CNT ions are lost through recombination on insulated rods. From a steady-state balance between the calculated ion creation and loss rates, the equilibrium ion density in a 2x10 -8 Torr neutral pressure, 7.5x10 11 m -3 electron density plasma in CNT is calculated to be n i =6.2x10 9 m -3 , or 0.8%. The ion density is experimentally measured through the measurement of the ion saturation current on a large area probe to be about 6.0x10 9 m -3 for these plasmas, which is in good agreement with the predicted value

  8. Study on a volume-production H- ion source

    International Nuclear Information System (INIS)

    Takama, S.

    1988-01-01

    H - ions formed by volume-production are extracted from a multicuspion source. By applying a large positive bias to the plasma electrode, the ratio I - /I e becomes 1/20. H - ion current of 0.4mA is extracted from a 0.3cm 2 circular aperture at an arc current of 10A. (author)

  9. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  10. Studies of plasma breakdown and electron heating on a 14 GHz ECR ion source through measurement of plasma bremsstrahlung

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T; Machicoane, G; Leitner, D [National Superconducting Cyclotron Laboratory, MSU, East Lansing, MI 48824 (United States); Tarvainen, O; Toivanen, V; Koivisto, H; Kalvas, T; Peura, P; Jones, P [University of Jyvaeskylae, Department of Physics, PO Box 35 (YFL), 40500 Jyvaeskylae (Finland); Izotov, I; Skalyga, V; Zorin, V [Institute of Applied Physics, RAS, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Noland, J, E-mail: tommi.ropponen@gmail.com, E-mail: olli.tarvainen@jyu.fi [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States)

    2011-10-15

    Temporal evolution of plasma bremsstrahlung emitted by a 14 GHz electron cyclotron resonance ion source (ECRIS) operated in pulsed mode is presented in the energy range 1.5-400 keV with 100 {mu}s resolution. Such a high temporal resolution together with this energy range has never been measured before with an ECRIS. Data are presented as a function of microwave power, neutral gas pressure, magnetic field configuration and seed electron density. The saturation time of the bremsstrahlung count rate is almost independent of the photon energy up to 100 keV and exhibits similar characteristics with the neutral gas balance. The average photon energy during the plasma breakdown is significantly higher than that during the steady state and depends strongly on the density of seed electrons. The results are consistent with a theoretical model describing the evolution of the electron energy distribution function during the preglow transient.

  11. Inverted end-Hall-type low-energy high-current gaseous ion source

    International Nuclear Information System (INIS)

    Oks, E. M.; Vizir, A. V.; Shandrikov, M. V.; Yushkov, G. Yu.; Grishin, D. M.; Anders, A.; Baldwin, D. A.

    2008-01-01

    A novel approach to low-energy, high-current, gaseous ion beam generation was explored and an ion source based on this technique has been developed. The source utilizes a dc high-current (up to 20 A) gaseous discharge with electron injection into the region of ion generation. Compared to the conventional end-Hall ion source, the locations of the discharge anode and cathode are inverted: the cathode is placed inside the source and the anode outside, and correspondingly, the discharge current is in the opposite direction. The discharge operates in a diverging axial magnetic field, similar to the end-Hall source. Electron generation and injection is accomplished by using an additional arc discharge with a ''cold'' (filamentless) hollow cathode. Low plasma contamination is achieved by using a low discharge voltage (avoidance of sputtering), as well as by a special geometric configuration of the emitter discharge electrodes, thereby filtering (removing) the erosion products stemming from the emitter cathode. The device produces a dc ion flow with energy below 20 eV and current up to 2.5 A onto a collector of 500 cm 2 at 25 cm from the source edge, at a pressure ≥0.02 Pa and gas flow rate ≥14 SCCM. The ion energy spread is 2 to 3 eV (rms). The source is characterized by high reliability, low maintenance, and long lifetime. The beam contains less than 0.1% of metallic ions. The specific electric energy consumption is 400 eV per ion registered at the collector. The source operates with noble gases, nitrogen, oxygen, and hydrocarbons. Utilizing biasing, it can be used for plasma sputtering, etching, and other ion technologies

  12. Multiaperture ion beam extraction from gas-dynamic electron cyclotron resonance source of multicharged ions

    International Nuclear Information System (INIS)

    Sidorov, A.; Dorf, M.; Zorin, V.; Bokhanov, A.; Izotov, I.; Razin, S.; Skalyga, V.; Rossbach, J.; Spaedtke, P.; Balabaev, A.

    2008-01-01

    Electron cyclotron resonance ion source with quasi-gas-dynamic regime of plasma confinement (ReGIS), constructed at the Institute of Applied Physics, Russia, provides opportunities for extracting intense and high-brightness multicharged ion beams. Despite the short plasma lifetime in a magnetic trap of a ReGIS, the degree of multiple ionization may be significantly enhanced by the increase in power and frequency of the applied microwave radiation. The present work is focused on studying the intense beam quality of this source by the pepper-pot method. A single beamlet emittance measured by the pepper-pot method was found to be ∼70 π mm mrad, and the total extracted beam current obtained at 14 kV extraction voltage was ∼25 mA. The results of the numerical simulations of ion beam extraction are found to be in good agreement with experimental data

  13. On the meniscus formation and the negative hydrogen ion extraction from ITER neutral beam injection relevant ion source

    International Nuclear Information System (INIS)

    Mochalskyy, S; Wünderlich, D; Ruf, B; Fantz, U; Franzen, P; Minea, T

    2014-01-01

    The development of a large area (A source,ITER  = 0.9 × 2 m 2 ) hydrogen negative ion (NI) source constitutes a crucial step in construction of the neutral beam injectors of the international fusion reactor ITER. To understand the plasma behaviour in the boundary layer close to the extraction system the 3D PIC MCC code ONIX is exploited. Direct cross checked analysis of the simulation and experimental results from the ITER-relevant BATMAN source testbed with a smaller area (A source,BATMAN  ≈ 0.32 × 0.59 m 2 ) has been conducted for a low perveance beam, but for a full set of plasma parameters available. ONIX has been partially benchmarked by comparison to the results obtained using the commercial particle tracing code for positive ion extraction KOBRA3D. Very good agreement has been found in terms of meniscus position and its shape for simulations of different plasma densities. The influence of the initial plasma composition on the final meniscus structure was then investigated for NIs. As expected from the Child–Langmuir law, the results show that not only does the extraction potential play a crucial role on the meniscus formation, but also the initial plasma density and its electronegativity. For the given parameters, the calculated meniscus locates a few mm downstream of the plasma grid aperture provoking a direct NI extraction. Most of the surface produced NIs do not reach the plasma bulk, but move directly towards the extraction grid guided by the extraction field. Even for artificially increased electronegativity of the bulk plasma the extracted NI current from this region is low. This observation indicates a high relevance of the direct NI extraction. These calculations show that the extracted NI current from the bulk region is low even if a complete ion–ion plasma is assumed, meaning that direct extraction from surface produced ions should be present in order to obtain sufficiently high extracted NI current density. The calculated

  14. Two-dimensional particle simulation of negative ion extraction from a volume source

    International Nuclear Information System (INIS)

    Naitou, H.; Fukumasa, O.; Sakachou, K.; Mutou, K.

    1995-01-01

    Two-dimensional electrostatic particle simulation was done to study the extraction of negative ions from a volume plasma source. The simulation model is a rectangular system which consists of an extraction grid, a plasma grid, and a grounded wall. Full dynamics of electrons, ions, and negative ions are followed. Negative ions are extracted from the plasma region to the extraction grid through a slit in the plasma grid. For the lower value of extraction grid potential, the simulation results agree with the Child-Langumuir law, where the extracted negative ion current is proportional to the three-halves power of the potential of the extraction grid. For the higher value of extraction grid potential, the space charge effect of negative ions, which enter into the beamline at the top of the concavity of the positive ion boundary, reduces the negative ion current from the prediction of the Child-Langumuir law. ((orig.))

  15. Recent advancements in sputter-type heavy negative ion sources

    International Nuclear Information System (INIS)

    Alton, G.D.

    1989-01-01

    Significant advancement have been made in sputter-type negative ion sources which utilize direct surface ionization, or a plasma to form the positive ion beam used to effect sputtering of samples containing the material of interest. Typically, such sources can be used to generate usable beam intensities of a few μA to several mA from all chemically active elements, depending on the particular source and the electron affinity of the element in question. The presentation will include an introduction to the fundamental processes underlying negative ion formation by sputtering from a low work function surface and several sources will be described which reflect the progress made in this technology. 21 refs., 9 figs., 1 tab

  16. Improvement of uniformity of the negative ion beams by tent-shaped magnetic field in the JT-60 negative ion source

    International Nuclear Information System (INIS)

    Yoshida, Masafumi; Hanada, Masaya; Kojima, Atsushi; Kashiwagi, Mieko; Akino, Noboru; Endo, Yasuei; Komata, Masao; Mogaki, Kazuhiko; Nemoto, Shuji; Ohzeki, Masahiro; Seki, Norikazu; Sasaki, Shunichi; Shimizu, Tatsuo; Terunuma, Yuto; Grisham, Larry R.

    2014-01-01

    Non-uniformity of the negative ion beams in the JT-60 negative ion source with the world-largest ion extraction area was improved by modifying the magnetic filter in the source from the plasma grid (PG) filter to a tent-shaped filter. The magnetic design via electron trajectory calculation showed that the tent-shaped filter was expected to suppress the localization of the primary electrons emitted from the filaments and created uniform plasma with positive ions and atoms of the parent particles for the negative ions. By modifying the magnetic filter to the tent-shaped filter, the uniformity defined as the deviation from the averaged beam intensity was reduced from 14% of the PG filter to ∼10% without a reduction of the negative ion production

  17. High charge state metal ion production in vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1994-01-01

    The vacuum arc is a rich source of highly ionized metal plasma that can be used to make a high current metal ion source. Vacuum arc ion sources have been developed for a range of applications including ion implantation for materials surface modification, particle accelerator injection for fundamental nuclear physics research, and other fundamental and applied purposes. Typically the source is repetitively pulsed with pulse length of order a millisecond and duty cycle or order 1% and operation of a dc embodiment has been demonstrated also. Beams have been produced from over 50 of the solid metals of the periodic table, with mean ion energy up to several hundred keV and with peak (pulsed) beam current up to several amperes. The ion charge state distribution has been extensively studied. Ion spectra have been measured for a wide range of metallic cathode materials, including Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Sb, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Tm, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U, as well as compound and alloy cathode materials such as TiC, SiC, UC, PbS, brass, and stainless steel. The ions generated are in general multiply-stripped with a mean charge state of from 1 to 3, depending on the particular metal species, and the charge state distribution can have components from Q = 1+ to 6+. Here the authors review the characteristics of vacuum arc ion sources from the perspective of their high charge state metal ion production

  18. Commissioning of the superconducting ECR ion source VENUS at 18 GHz

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde E.; Lyneis, Claude M.

    2004-01-01

    During the last year, the VENUS ECR ion source was commissioned at 18 GHz and preparations for 28 GHz operation are now underway. During the commissioning phase with 18 GHz, tests with various gases and metals have been performed with up to 2000 W RF power. The ion source performance is very promising [1,2]. VENUS (Versatile ECR ion source for Nuclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The goal of the VENUS ECR ion source project as the RIA R and D injector is the production of 240e(micro)A of U 30+ , a high current medium charge state beam. On the other hand, as an injector ion source for the 88-Inch Cyclotron the design objective is the production of 5e(micro)A of U 48+ , a low current, very high charge state beam. To meet these ambitious goals, VENUS has been designed for optimum operation at 28 GHz. This frequency choice has several design consequences. To achieve the required magnetic confinement, superconducting magnets have to be used. The size of the superconducting magnet structure implies a relatively large plasma volume. Consequently, high power microwave coupling becomes necessary to achieve sufficient plasma heating power densities. The 28 GHz power supply has been delivered in April 2004

  19. Humidity Effects on Fragmentation in Plasma-Based Ambient Ionization Sources.

    Science.gov (United States)

    Newsome, G Asher; Ackerman, Luke K; Johnson, Kevin J

    2016-01-01

    Post-plasma ambient desorption/ionization (ADI) sources are fundamentally dependent on surrounding water vapor to produce protonated analyte ions. There are two reports of humidity effects on ADI spectra. However, it is unclear whether humidity will affect all ADI sources and analytes, and by what mechanism humidity affects spectra. Flowing atmospheric pressure afterglow (FAPA) ionization and direct analysis in real time (DART) mass spectra of various surface-deposited and gas-phase analytes were acquired at ambient temperature and pressure across a range of observed humidity values. A controlled humidity enclosure around the ion source and mass spectrometer inlet was used to create programmed humidity and temperatures. The relative abundance and fragmentation of molecular adduct ions for several compounds consistently varied with changing ambient humidity and also were controlled with the humidity enclosure. For several compounds, increasing humidity decreased protonated molecule and other molecular adduct ion fragmentation in both FAPA and DART spectra. For others, humidity increased fragment ion ratios. The effects of humidity on molecular adduct ion fragmentation were caused by changes in the relative abundances of different reagent protonated water clusters and, thus, a change in the average difference in proton affinity between an analyte and the population of water clusters. Control of humidity in ambient post-plasma ion sources is needed to create spectral stability and reproducibility.

  20. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  1. Magnetically insulated ion diode with a gas-breakdown plasma anode

    International Nuclear Information System (INIS)

    Greenly, J.B.; Ueda, M.; Rondeau, G.D.; Hammer, D.A.

    1987-12-01

    An active anode plasma source has been developed for use in a magnetically insulated ion diode operated on a 10 sup(10)W pulsed power generator. This source uses an inductive voltage from a single turn coil to break down an annular gas puff produced by a supersonic nozzle. The resulting plasma is magnetically driven toward the radial insulating magnetic field in the diode accelerating gap and stagnates at a well-defined surface after about 300ns to form a plasma anode layer defined by magnetic flux surfaces. An ion beam is then extracted from this plasma layer by applying a 150kV, 1 μs pulse to the accelerating gap. Optimization of the timing of the gas puff, the plasma production discharge and the high voltage pulse has resulted in 1μs duration 75-150KeV ion beam pulses with >100A/cm sup(2) peak ion current density over an area of about 400cm sup(2). Up to 5J/cm sup(2) has been collected by a 4cm sup(2) calorimeter. The diode impedance history can be varied so that rising, flat, and falling voltage pulse waveforms can be produced. Streak photographs of beamlets impinging on a scintillator and time integrated targets both show beam divergence angles ≤3 sup(0). However, under certain operating conditions, large excursions (∼25 sup(0)) in mean aiming angle on time scales of 20-200ns are observed. (author)

  2. Determining plasma-fueling sources with an end-loss ion spectrometer

    International Nuclear Information System (INIS)

    Grubb, D.P.; Foote, J.H.

    1986-01-01

    To help identify the major sources of fueling gas in Tandem Mirror Experiment-Upgrade (TMX-U), we mounted a mass-sensitive, E parallel to B, end-loss ion spectrometer (ELIS) near the machine's centerline. We set the electric field in the ELIS to simultaneously measure the axial loss currents of both hydrogen and deuterium. We then initiated plasma discharges, where we injected either hydrogen or deuterium gas into the central cell. We also selected and deselected the central-cell neutral beams that were fueled with hydrogen gas. The end-cell neutral beams were always selected and fueled with deuterium. By taking the ratio of the hydrogen end-loss current to the deuterium end-loss current (with a known deuterium-gas feed rate), we were able to infer the effective fueling rates that were due to wall reflux, central-cell beams, and end-cell beams. The results were the following: wall reflux, 6 Torr.l/s; central-cell beams, 15 Torr.l/s; and end-cell beams 1 Torr.l/s. 3 refs., 3 figs., 1 tab

  3. Operations manual for the plasma source ion implantation economics program

    International Nuclear Information System (INIS)

    Bibeault, M.L.; Thayer, G.R.

    1995-10-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique for metal. PSIICOSTMODEL95 is an EXCEL-based program that estimates the cost for implementing a PSII system in a manufacturing setting where the number of parts to be processed is over 5,000 parts per day and the shape of each part does not change from day to day. Overall, the manufacturing process must be very well defined and should not change. This document is a self-contained manual for PSIICOSTMODEL95. It assumes the reader has some general knowledge of the technical requirements for PSII. Configuration of the PSII process versus design is used as the methodology in PSIICOSTMODEL95. The reason behind this is twofold. First, the design process cannot be programmed into a computer when the relationships between design variables are not understood. Second, the configuration methodology reduces the number of assumptions that must be programmed into our software. Misuse of results are less likely to occur if the user has fewer assumptions to understand

  4. Measurements of ion temperature and flow of pulsed plasmas produced by a magnetized coaxial plasma gun device using an ion Doppler spectrometer

    Science.gov (United States)

    Kitagawa, Y.; Sakuma, I.; Iwamoto, D.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is important to know surface damage characteristics of plasma-facing component materials during transient heat and particle loads such as type I ELMs. A magnetized coaxial plasma gun (MCPG) device has been used as transient heat and particle source in ELM simulation experiments. Characteristics of pulsed plasmas produced by the MCPG device play an important role for the plasma material interaction. In this study, ion temperature and flow velocity of pulsed He plasmas were measured by an ion Doppler spectrometer (IDS). The IDS system consists of a light collection system including optical fibers, 1m-spectrometer and a 16 channel photomultiplier tube (PMT) detector. The IDS system measures the width and Doppler shift of HeII (468.58 nm) emission line with the time resolution of 1 μs. The Doppler broadened and shifted spectra were measured with 45 and 135 degree angles with respect to the plasmoid traveling direction. The observed emission line profile was represented by sum of two Gaussian components to determine the temperature and flow velocity. The minor component at around the wavelength of zero-velocity was produced by the stationary plasma. As the results, the ion velocity and temperature were 68 km/s and 19 eV, respectively. Thus, the He ion flow energy is 97 eV. The observed flow velocity agrees with that measured by a time of flight technique.

  5. H- Ion Sources for High Intensity Proton Drivers

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Rolland Paul [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Dudnikov, Vadim [Muons, Inc., Batavia, IL (United States)

    2015-02-20

    Existing RF Surface Plasma Sources (SPS) for accelerators have specific efficiencies for H+ and H- ion generation around 3 to 5 mA/cm2 per kW, where about 50 kW of RF power is typically needed for 50 mA beam current production. The Saddle Antenna (SA) SPS described here was developed to improve H- ion production efficiency, reliability and availability for pulsed operation as used in the ORNL Spallation Neutron Source . At low RF power, the efficiency of positive ion generation in the plasma has been improved to 200 mA/cm2 per kW of RF power at 13.56 MHz. Initial cesiation of the SPS was performed by heating cesium chromate cartridges by discharge as was done in the very first versions of the SPS. A small oven to decompose cesium compounds and alloys was developed and tested. After cesiation, the current of negative ions to the collector was increased from 1 mA to 10 mA with RF power 1.5 kW in the plasma (6 mm diameter emission aperture) and up to 30 mA with 4 kW RF power in the plasma and 250 Gauss longitudinal magnetic field. The ratio of electron current to negative ion current was improved from 30 to 2. Stable generation of H- beam without intensity degradation was demonstrated in the aluminum nitride (AlN) discharge chamber for 32 days at high discharge power in an RF SPS with an external antenna. Some modifications were made to improve the cooling and cesiation stability. The extracted collector current can be increased significantly by optimizing the longitudinal magnetic field in the discharge chamber. While this project demonstrated the advantages of the pulsed version of the SA RF SPS as an upgrade to the ORNL Spallation Neutron Source, it led to a possibility for upgrades to CW machines like the many cyclotrons used for commercial applications. Four appendices contain important details of the work carried out under this grant.

  6. Development of the ion source for PDX neutral beam injection

    International Nuclear Information System (INIS)

    Menon, M.M.; Tsai, C.C.; Gardner, W.L.; Barber, G.C.; Haselton, H.H.; Ponte, N.S.; Ryan, P.M.; Schechter, D.E.; Stirling, W.L.; Whealton, J.H.

    1979-01-01

    The paper describes the development of the ion source for neutral beam injection heating of PDX plasma. After a brief description of the plasma generator, the performance characteristics of the source, with different types of grids, are described. Based on test stand results it is concluded that at least two different versions of the source should be able to meet and even exceed the neutral power and energy requirements expected out of PDX injectors

  7. Drift wave in pair-ion plasma

    Indian Academy of Sciences (India)

    ion plasma are discussed. It is shown that the temperature and/or mass difference of both species could produce drift wave in a pair-ion plasma. The results are discussed in the context of the fullerene pair-ion plasma experiment.

  8. Ion beam source construction and applications

    International Nuclear Information System (INIS)

    Torab, S.I.R.

    2011-01-01

    Argon gas. The ion source characteristics are measured at the optimum operating conditions using Argon and Nitrogen gases. The effect of negative voltage applied to Faraday cup on the output ion beam current is determined. The effect of permanent magnet on the discharge characteristics of the ion source has been determined. An axial Samarium- Cobalt permanent magnet of intensity, B, is used. The optimum permanent magnet - anode distance is equal to 1.5 cm which obtain from many trials. The energy of the heavy charged particles in this plasma of the ion source is measured using energy analyzer system. The retarding of ions can be determined by applying positive voltage on the retarding grid and from the experimental results, the energy distribution can be obtained. The efficiency of ion source can be determined using Nitrogen and Argon gases. The perveance of the ion source can be calculated from the experimental data of Argon and Nitrogen gases. The operating time of this ion source can be determined during the exposure of Argon gas on Molybdenum specimen. Also, the comparison between the experimental and theoretical data was made. Finally, the output ion beam current from the ion source is used in some applications, especially for PM-355 polymer specimens. When the exposure time of the ion beam increases, the absorbance increases and the cross linking occurs.

  9. GANIL Workshop on Ion Sources; Journees Sources d'Ions

    Energy Technology Data Exchange (ETDEWEB)

    Leroy, Renan [Grand Accelerateur National d' Ions Lourds (GANIL), 14 - Caen (France)

    1999-07-01

    The proceedings of the GANIL Workshop on Ion Sources held at GANIL - Caen on 18-19 March 1999 contains 13 papers aiming at improving the old source operation and developing new types of sources for nuclear research and studies of ion physics. A number of reports are devoted to applications like surface treatment, ion implantation or fusion injection. The 1+{yields}n+ transformation, charged particle transport in ECR sources, addition of cesium and xenon in negative ion sources and other basic issues in ion sources are also addressed.

  10. High voltage holding in the negative ion sources with cesium deposition

    Energy Technology Data Exchange (ETDEWEB)

    Belchenko, Yu.; Abdrashitov, G.; Ivanov, A.; Sanin, A.; Sotnikov, O., E-mail: O.Z.Sotnikov@inp.nsk.su [Budker Institute of Nuclear Physics, Siberian Branch of Russian Academy of Sciences, Novosibirsk (Russian Federation)

    2016-02-15

    High voltage holding of the large surface-plasma negative ion source with cesium deposition was studied. It was found that heating of ion-optical system electrodes to temperature >100 °C facilitates the source conditioning by high voltage pulses in vacuum and by beam shots. The procedure of electrode conditioning and the data on high-voltage holding in the negative ion source with small cesium seed are described. The mechanism of high voltage holding improvement by depletion of cesium coverage is discussed.

  11. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    Science.gov (United States)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  12. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, H.

    2004-01-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j +ext , and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j +ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects

  13. Selection and design of ion sources for use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.; Haynes, D.L.; Mills, G.D.; Olsen, D.K.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory will use the 25 MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility. The choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. Although direct-extraction negative ion sources are clearly desirable, the ion formation efficiencies are often too low for practical consideration; for this situation, positive ion sources, in combination with charge exchange, are the logical choice. The high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the facility because of its low emittance, relatively high ionization efficiencies, and species versatility, and because it has been engineered for remote installation, removal, and servicing as required for safe handling in a high-radiation-level ISOL facility. The source will be primarily used to generate ion beams from elements with intermediate to low electron affinities. Prototype plasma-sputter negative ion sources and negative surface-ionization sources are under design consideration for generating radioactive ion beams from high-electron-affinity elements. The design features of these sources and expected efficiencies and beam qualities (emittances) will be described in this report

  14. Development of ECR ion source for VEC

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Mallik, C; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1997-12-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable {sup 16}O beam up to 50 e{mu}A maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author) 16 refs., 14 figs., 2 tabs.

  15. H- Ion Sources For CERN’s Linac4

    CERN Document Server

    Lettry, J; Coutron, Y; Chaudeta, E; Dallocchio, A; Gil Flores, J; Hansen, J; Mahner, E; Mathot, S; Mattei, S; Midttun, O; Moyret, P; Nisbet, D; O’Neil, M; Paoluzzi, M; Pasquino, C; Pereira, H; Sanchez Arias, J; Schmitzer, C; Scrivens, R; Steyaert, D

    2013-01-01

    The specifications set to the Linac4 ion source are: H- ion pulses of 0.5 ms duration, 80 mA intensity and 45 keV energy within a normalized emittance of 0.25 mmmrad RMS at a repetition rate of 2 Hz. In 2010, during the commissioning of a prototype based on H- production from the plasma volume, it was observed that the powerful co-extracted electron beam inherent to this type of ion source could destroy its electron beam dump well before reaching nominal parameters. However, the same source was able to provide 80 mA of protons mixed with a small fraction of H2+ and H3+ molecular ions. The commissioning of the radio frequency quadrupole accelerator (RFQ), beam chopper and H- beam diagnostics of the Linac4 are scheduled for 2012 and its final installation in the underground building is to start in 2013. Therefore, a crash program was launched in 2010 and reviewed in 2011 aiming at keeping the original Linac4 schedule with the following deliverables: Design and production of a volume ion source prototype suitabl...

  16. Progress on precision measurements of inner shell transitions in highly charged ions at an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Szabo, Csilla I.; Indelicato, Paul; LeBigot, Eric-Olivier; Vallette, Alexandre; Amaro, Pedro; Guerra, Mauro; Gumberidze, Alex [Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France); Centro de Fisica Atomica, CFA, Departamento de Fisica (Portugal); Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France)

    2012-05-25

    Inner shell transitions of highly charged ions produced in the plasma of an Electron Cyclotron Resonance Ion Source (ECRIS) were observed the first time by a Double Crystal Spectrometer (DCS). The DCS is a well-used tool in precision x-ray spectroscopy due to its ability of precision wavelength measurement traced back to a relative angle measurement. Because of its requirement for a bright x-ray source the DCS has not been used before in direct measurements of highly charged ions (HCI). Our new precision measurement of inner shell transitions in HCI is not just going to provide new x-ray standards for quantum metrology but can also give information about the plasma in which the ions reside. Ionic temperatures and with that the electron density can be determined by thorough examination of line widths measured with great accuracy.

  17. Analytical studies of plasma extraction electrodes and ion beam formation

    International Nuclear Information System (INIS)

    Hassan, A.; Elsaftawy, A.; Zakhary, S. G.

    2007-01-01

    In this work a theoretical and computational study on the space charge dominated beams extracted from a plasma ion source through a spherical and planer electrode is simulated and optimized. The influence of some electrode parameters: axial position, electrode diameter, material and shape; on ion current extracted from a plasma source; were investigated and compared. The optimum values and conditions of the curvature of the plasma boundary, angular divergence, perveance, and the extraction gap were optimized to extract a high quality beams. It has shown that for a planar electrode system there is usually a minimum for optimum perveance versus angular divergence at about ? 0.6 for corresponding aspect ratios. This was assured by experimental data. The appropriate spherical electrode system focus the beam to a minimum value located at a distance equal to the focal length of the spherical extraction electrode.

  18. Triplasmatron sources for broad and reactive ion beams

    International Nuclear Information System (INIS)

    Lejeune, C.; Grandchamp, J.P.; Kessi, O.; Gilles, J.P.

    1986-01-01

    Two alternative discharge structures, which are both convenient for the extraction of broad and reactive ion beams, are described. They have been designed in order to overcome both lifetime and beam contamination problems while preserving a high ionization efficiency and a smooth plasma uniformity. They both use a hot cathode duoplasmatron discharge to inject ionizing electrons into the main ionization chamber, according to the triplasmatron concept. The triplasmatron multipolar ion source (TMIS) uses the magnetic multipolar containment of both electrons and ions, whereas the triplasmatron reflex ion source (TRIS) uses the electrostatic and geometric containment of the ionizing electrons. The behaviour and performance of both structures are reported and discussed with a special emphasis to the operation with either oxygen or fluorocarbon gases. (author)

  19. Investigation of Dusts Effect and Negative Ion in DC Plasmas by Electric Probes

    Science.gov (United States)

    Oh, Hye Taek; Kang, Inje; Bae, Min-Keun; Park, Insun; Lee, Seunghwa; Jeong, Seojin; Chung, Kyu-Sun

    2017-10-01

    Dust is typically negatively charged by electron attachment whose thermal velocities are fast compared to that of the heavier ions. The negatively charged particles can play a role of negative ions which affect the quasi-neutrality of background plasma. To investigate effect of metal dusts and negative ion on plasma and materials, metal dusts are injected into background Ar plasma which is generated by tungsten filament using dust dispenser on Cubical Plasma Device (CPD). The CPD has following conditions: size =24x24x24cm3, plasma source =DC filament plasma (ne 1x10x1010, Te 2eV), background gas =Ar, dusts =tungsten powder (diameter 1.89micron). The dust dispenser is developed to quantitate of metal dust by ultrasonic transducer. Electronegative plasmas are generated by adding O2 + Ar plasma to compare negative ion and dust effect. A few grams of micron-sized dusts are placed in the dust dispenser which is located at the upper side of the Cubical Plasma Device. The falling particles by dust dispenser are mainly charged up by the collection of the background plasma. The change in parameters due to negative ion production are characterized by measuring the floating and plasma potential, electron temperature and negative ion density using electric probes.

  20. Design of the compact permanent-magnet ECR ion source

    International Nuclear Information System (INIS)

    Park, J. Y.; Ahn, J. K.; Lee, H. S.; Won, M. S.; Lee, B. S.; Bae, J. S.; Bang, J. K.

    2009-01-01

    The Electron Cyclotron Resonance Ion Sources (ECRIS) for multiply charged ion beams keep regularly improving and expanding since the pioneer time of R. Geller and his coworkers about twenty years age. It has been widely utilized in a variety of research areas ranging from atomic and nuclear physics to material sciences. Because of the unique capability of producing highly charged ion beams, the ECR ion source has become increasingly popular in heavy-ion accelerators where the principle of acceleration sensitively depends on the charge-to-mass ratio (q=M) of the injected positive ion beam. The potential usages of beam based research development is still developing and there are plenty of rooms to be part of it. On the basis of ECR ion source technology, we will explore possible applications in the field of plasma technology, radiation technology, plastic deformation, adding more and new functionality by implantation, MEMS applications, developing new generation mass analysis system, fast neutron radiography system, etc

  1. Assessment of ion-atom collision data for magnetic fusion plasma edge modelling

    International Nuclear Information System (INIS)

    Phaneuf, R.A.

    1990-01-01

    Cross-section data for ion-atom collision processes which play important roles in the edge plasma of magnetically-confined fusion devices are surveyed and reviewed. The species considered include H, He, Li, Be, C, O, Ne, Al, Si, Ar, Ti, Cr, Fe, Ni, Cu, Mo, W and their ions. The most important ion-atom collision processes occurring in the edge plasma are charge-exchange reactions. Excitation and ionization processes are also considered. The scope is limited to atomic species and to collision velocities corresponding to plasma ion temperatures in the 2-200 eV range. Sources of evaluated or recommended data are presented where possible, and deficiencies in the data base are indicated. 42 refs., 1 fig., 4 tabs

  2. Near Earth Inner-Source and Interstellar Pickup Ions Observed with the Hot Plasma Composition Analyzer of the Magnetospheric Multiscale Mission Mms-Hpca

    Science.gov (United States)

    Gomez, R. G.; Fuselier, S. A.; Mukherjee, J.; Gonzalez, C. A.

    2017-12-01

    Pickup ions found near the earth are generally picked up in the rest frame of the solar wind, and propagate radially outward from their point of origin. While propagating, they simultaneously gyrate about the magnetic field. Pickup ions come in two general populations; interstellar and inner source ions. Interstellar ions originate in the interstellar medium, enter the solar system in a neutral charge state, are gravitationally focused on the side of the sun opposite their arrival direction and, are ionized when they travel near the sun. Inner-source ions originate at a location within the solar system and between the sun and the observation point. Both pickup ion populations share similarities in composition and charge states, so measuring of their dynamics, using their velocity distribution functions, f(v)'s, is absolutely essential to distinguishing them, and to determining their spatial and temporal origins. Presented here will be the results of studies conducted with the four Hot Plasma Composition Analyzers of the Magnetospheric Multiscale Mission (MMS-HPCA). These instruments measure the full sky (4π steradians) distribution functions of near earth plasmas at a 10 second cadence in an energy-to-charge range 0.001-40 keV/e. The instruments are also capable of parsing this combined energy-solid angle phase space with 22.5° resolution polar angle, and 11.25° in azimuthal angle, allowing for clear measurement of the pitch angle scattering of the ions.

  3. Ion-acoustic plasma turbulence

    International Nuclear Information System (INIS)

    Bychenkov, V.Y.; Silin, V.P.

    1982-01-01

    A theory is developed of the nonlinear state that is established in a plasma as a result of development of ion-acoustic instability. Account is taken simultaneously of the linear induced scattering of the waves by the ions and of the quasilinear relaxation of the electrons by the ion-acoustic pulsations. The distribution of the ion-acoustic turbulence in frequency and in angle is obtained. An Ohm's law is established and expressions are obtained for the electronic heat flux and for the relaxation time of the electron temperature in a turbulent plasma. Anomalously large absorption and scattering of the electromagnetic waves by the ion-acoustic pulsations is predicted

  4. Effect of Cesium and Xenon Seeding in Negative Hydrogen Ion Sources

    International Nuclear Information System (INIS)

    Bacal, M.; Brunteau, A.M.; Deniset, C.; Elizarov, L.I.; Sube, F.; Tontegode, A.Y.; Whealton, J.H.

    1999-01-01

    It is well known that cesium seeding in volume hydrogen negative ion sources leads to a large reduction of the extracted electron current and in some cases to the enhancement of the negative ion current. The cooling of the electrons due to the addition of this heavy impurity was proposed as a possible cause of the mentioned observations. In order to verify this assumption, the authors seeded the hydrogen plasma with xenon, which has an atomic weight almost equal to that of cesium. The plasma properties were studied in the extraction region of the negative ion source Camembert III using a cylindrical electrostatic probe while the negative ion relative density was studied using laser photodetachment. It is shown that the xenon mixing does not enhance the negative ion density and leads to the increase of the electron density, while the cesium seeding reduces the electron density

  5. Electron temperature profiles in axial field 2.45 GHz ECR ion source with a ceramic chamber

    Science.gov (United States)

    Abe, K.; Tamura, R.; Kasuya, T.; Wada, M.

    2017-08-01

    An array of electrostatic probes was arranged on the plasma electrode of a 2.45 GHz microwave driven axial magnetic filter field type negative hydrogen (H-) ion source to clarify the spatial plasma distribution near the electrode. The measured spatial distribution of electron temperature indicated the lower temperature near the extraction hole of the plasma electrode corresponding to the effectiveness of the axial magnetic filter field geometry. When the ratio of electron saturation current to the ion saturation current was plotted as a function of position, the obtained distribution showed a higher ratio near the hydrogen gas inlet through which ground state hydrogen molecules are injected into the source. Though the efficiency in producing H- ions is smaller for a 2.45 GHz source than a source operated at 14 GHz, it gives more volume to measure spatial distributions of various plasma parameters to understand fundamental processes that are influential on H- production in this type of ion sources.

  6. A collisional radiative model of hydrogen plasmas developed for diagnostic purposes of negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Iordanova, Snejana, E-mail: snejana@phys.uni-sofia.bg; Paunska, Tsvetelina [Faculty of Physics, Sofia University, BG-1164 Sofia (Bulgaria)

    2016-02-15

    A collisional radiative model of low-pressure hydrogen plasmas is elaborated and applied in optical emission spectroscopy diagnostics of a single element of a matrix source of negative hydrogen ions. The model accounts for the main processes determining both the population densities of the first ten states of the hydrogen atom and the densities of the positive hydrogen ions H{sup +}, H{sub 2}{sup +}, and H{sub 3}{sup +}. In the calculations, the electron density and electron temperature are varied whereas the atomic and molecular temperatures are included as experimentally obtained external parameters. The ratio of the H{sub α} to H{sub β} line intensities is calculated from the numerical results for the excited state population densities, obtained as a solution of the set of the steady-state rate balance equations. The comparison of measured and theoretically obtained ratios of line intensities yields the values of the electron density and temperature as well as of the degree of dissociation, i.e., of the parameters which have a crucial role for the volume production of the negative ions.

  7. Studies in High Current Density Ion Sources for Heavy Ion Fusion Applications

    International Nuclear Information System (INIS)

    Chacon-Golcher, E.

    2002-01-01

    This dissertation develops diverse research on small (diameter ∼ few mm), high current density (J ∼ several tens of mA/cm 2 ) heavy ion sources. The research has been developed in the context of a programmatic interest within the Heavy Ion Fusion (HIF) Program to explore alternative architectures in the beam injection systems that use the merging of small, bright beams. An ion gun was designed and built for these experiments. Results of average current density yield ( ) at different operating conditions are presented for K + and Cs + contact ionization sources and potassium aluminum silicate sources. Maximum values for a K + beam of ∼90 mA/cm 2 were observed in 2.3 (micro)s pulses. Measurements of beam intensity profiles and emittances are included. Measurements of neutral particle desorption are presented at different operating conditions which lead to a better understanding of the underlying atomic diffusion processes that determine the lifetime of the emitter. Estimates of diffusion times consistent with measurements are presented, as well as estimates of maximum repetition rates achievable. Diverse studies performed on the composition and preparation of alkali aluminosilicate ion sources are also presented. In addition, this work includes preliminary work carried out exploring the viability of an argon plasma ion source and a bismuth metal vapor vacuum arc (MEVVA) ion source. For the former ion source, fast rise-times (∼ 1 (micro)s), high current densities (∼ 100 mA/cm 2 ) and low operating pressures ( e psilon) n (le) 0.006 π mm · mrad) although measured currents differed from the desired ones (I ∼ 5mA) by about a factor of 10

  8. Potential profile near singularity point in kinetic Tonks-Langmuir discharges as a function of the ion sources temperature

    Science.gov (United States)

    Kos, L.; Tskhakaya, D. D.; Jelić, N.

    2011-05-01

    A plasma-sheath transition analysis requires a reliable mathematical expression for the plasma potential profile Φ(x) near the sheath edge xs in the limit ɛ ≡λD/ℓ =0 (where λD is the Debye length and ℓ is a proper characteristic length of the discharge). Such expressions have been explicitly calculated for the fluid model and the singular (cold ion source) kinetic model, where exact analytic solutions for plasma equation (ɛ =0) are known, but not for the regular (warm ion source) kinetic model, where no analytic solution of the plasma equation has ever been obtained. For the latter case, Riemann [J. Phys. D: Appl. Phys. 24, 493 (1991)] only predicted a general formula assuming relatively high ion-source temperatures, i.e., much higher than the plasma-sheath potential drop. Riemann's formula, however, according to him, never was confirmed in explicit solutions of particular models (e.g., that of Bissell and Johnson [Phys. Fluids 30, 779 (1987)] and Scheuer and Emmert [Phys. Fluids 31, 3645 (1988)]) since "the accuracy of the classical solutions is not sufficient to analyze the sheath vicinity" [Riemann, in Proceedings of the 62nd Annual Gaseous Electronic Conference, APS Meeting Abstracts, Vol. 54 (APS, 2009)]. Therefore, for many years, there has been a need for explicit calculation that might confirm the Riemann's general formula regarding the potential profile at the sheath edge in the cases of regular very warm ion sources. Fortunately, now we are able to achieve a very high accuracy of results [see, e.g., Kos et al., Phys. Plasmas 16, 093503 (2009)]. We perform this task by using both the analytic and the numerical method with explicit Maxwellian and "water-bag" ion source velocity distributions. We find the potential profile near the plasma-sheath edge in the whole range of ion source temperatures of general interest to plasma physics, from zero to "practical infinity." While within limits of "very low" and "relatively high" ion source temperatures

  9. Modeling of the negative ions extraction from a hydrogen plasma source. Application to ITER Neutral Beam Injector

    International Nuclear Information System (INIS)

    Mochalskyy, S.

    2011-12-01

    The development of a high performance negative ion (NI) source constitutes a crucial step in the construction of a Neutral Beam Injector of the future fusion reactor ITER. NI source should deliver 40 A of H - or of D - . To address this problem in a realistic way, a 3D particles-in-cell electrostatic collisional code was developed. Binary collisions between the particles are introduced using Monte-Carlo collision scheme. This code called ONIX was used to investigate the plasma properties and the transport of the charged particles close to a typical extraction aperture. Results obtained from this code are presented in this thesis. They include negative ions and electrons 3D trajectories. The ion and electron current density profiles are shown for different local magnetic field configurations. Results of production, destruction, and transport of H - in the extraction region are also presented. The production of H - is investigated via 3 atomic processes: 1) electron dissociative attachment to the vibrationally excited molecules H 2 (v) in the volume, 2) interaction of the positive ions H + and H 2 + with the aperture wall and 3) collisions of the neutral gas H, H 2 with aperture wall. The influence of each process on the total extracted NI current is discussed. The extraction efficiency of H - from the volume is compared to the one of H - coming from the wall. Moreover, a parametric study of the H - surface production is presented. Results show the role of sheath behavior in the vicinity of the aperture developing a double layer structure responsible of the NI extraction limitations. The 2 following issues are also analysed. First the influence of the external extracted potential value on the formation of negative sheath and secondly the strength of the magnetic filter on the total extracted NI and co-extracted electron current. The suppression of the electron beam by the negative ion produced at the plasma grid wall is also discussed. Results are in good agreement

  10. Development of exploding wire ion source for intense pulsed heavy ion beam accelerator

    International Nuclear Information System (INIS)

    Ochiai, Y.; Murata, T.; Ito, H.; Masugata, K.

    2012-01-01

    A Novel exploding wire type ion source device is proposed as a metallic ion source of intense pulsed heavy ion beam (PHIB) accelerator. In the device multiple shot operations is realized without breaking the vacuum. The basic characteristics of the device are evaluated experimentally with an aluminum wire of diameter 0.2 mm, length 25 mm. Capacitor bank of capacitance 3 μF, charging voltage 30 kV was used and the wire was successfully exploded by a discharge current of 15 kA, rise time 5.3 μs. Plasma flux of ion current density around 70 A/cm 2 was obtained at 150 mm downstream from the device. The drift velocity of ions evaluated by a time-of-flight method was 2.7x10 4 m/sec, which corresponds to the kinetic energy of 100 eV for aluminum ions. From the measurement of ion current density distribution ion flow is found to be concentrated to the direction where ion acceleration gap is placed. From the experiment the device is found to be acceptable for applying PHIB accelerator. (author)

  11. Formation of ECR Plasma in a Dielectric Plasma Guide under Self-Excitation of a Standing Ion-Acoustic Wave

    Science.gov (United States)

    Balmashnov, A. A.; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M.

    2018-01-01

    The formation of a spatially localized plasma with a high brightness has been experimentally observed in a dielectric plasma guide under the electron cyclotron resonance discharge at the excitation of a standing ion-acoustic wave. The results obtained show the possibility of designing compact high-intensity radiation sources with a spectrum determined by the working gas or gas mixture type, high-intensity chemically active particle flow sources, and plasma thrusters for correcting orbits of light spacecraft.

  12. Investigation of a large volume negative hydrogen ion source

    International Nuclear Information System (INIS)

    Courteille, C.; Bruneteau, A.M.; Bacal, M.

    1995-01-01

    The electron and negative ion densities and temperatures are reported for a large volume hybrid multicusp negative ion source. Based on the scaling laws an analysis is made of the plasma formation and loss processes. It is shown that the positive ions are predominantly lost to the walls, although the observed scaling law is n + ∝I 0.57 d . However, the total plasma loss scales linearly with the discharge current, in agreement with the theoretical model. The negative ion formation and loss is also discussed. It is shown that at low pressure (1 mTorr) the negative ion wall loss becomes a significant part of the total loss. The dependence of n - /n e versus the electron temperature is reported. When the negative ion wall loss is negligible, all the data on n - /n e versus the electron temperatures fit a single curve. copyright 1995 American Institute of Physics

  13. Kinetic modeling of particle dynamics in H− negative ion sources (invited)

    International Nuclear Information System (INIS)

    Hatayama, A.; Shibata, T.; Nishioka, S.; Ohta, M.; Yasumoto, M.; Nishida, K.; Yamamoto, T.; Miyamoto, K.; Fukano, A.; Mizuno, T.

    2014-01-01

    Progress in the kinetic modeling of particle dynamics in H − negative ion source plasmas and their comparisons with experiments are reviewed, and discussed with some new results. Main focus is placed on the following two topics, which are important for the research and development of large negative ion sources and high power H − ion beams: (i) Effects of non-equilibrium features of EEDF (electron energy distribution function) on H − production, and (ii) extraction physics of H − ions and beam optics

  14. RF Negative Ion Source Development at IPP Garching

    International Nuclear Information System (INIS)

    Kraus, W.; McNeely, P.; Berger, M.; Christ-Koch, S.; Falter, H. D.; Fantz, U.; Franzen, P.; Froeschle, M.; Heinemann, B.; Leyer, S.; Riedl, R.; Speth, E.; Wuenderlich, D.

    2007-01-01

    IPP Garching is heavily involved in the development of an ion source for Neutral Beam Heating of the ITER Tokamak. RF driven ion sources have been successfully developed and are in operation on the ASDEX-Upgrade Tokamak for positive ion based NBH by the NB Heating group at IPP Garching. Building on this experience a RF driven H- ion source has been under development at IPP Garching as an alternative to the ITER reference design ion source. The number of test beds devoted to source development for ITER has increased from one (BATMAN) by the addition of two test beds (MANITU, RADI). This paper contains descriptions of the three test beds. Results on diagnostic development using laser photodetachment and cavity ringdown spectroscopy are given for BATMAN. The latest results for long pulse development on MANITU are presented including the to date longest pulse (600 s). As well, details of source modifications necessitated for pulses in excess of 100 s are given. The newest test bed RADI is still being commissioned and only technical details of the test bed are included in this paper. The final topic of the paper is an investigation into the effects of biasing the plasma grid

  15. Power supply system for negative ion source at IPR

    Science.gov (United States)

    Gahlaut, Agrajit; Sonara, Jashwant; Parmar, K. G.; Soni, Jignesh; Bandyopadhyay, M.; Singh, Mahendrajit; Bansal, Gourab; Pandya, Kaushal; Chakraborty, Arun

    2010-02-01

    The first step in the Indian program on negative ion beams is the setting up of Negative ion Experimental Assembly - RF based, where 100 kW of RF power shall be coupled to a plasma source producing plasma of density ~5 × 1012 cm-3, from which ~ 10 A of negative ion beam shall be produced and accelerated to 35 kV, through an electrostatic ion accelerator. The experimental system is modelled similar to the RF based negative ion source, BATMAN presently operating at IPP, Garching, Germany. The mechanical system for Negative Ion Source Assembly is close to the IPP source, remaining systems are designed and procured principally from indigenous sources, keeping the IPP configuration as a base line. High voltage (HV) and low voltage (LV) power supplies are two key constituents of the experimental setup. The HV power supplies for extraction and acceleration are rated for high voltage (~15 to 35kV), and high current (~ 15 to 35A). Other attributes are, fast rate of voltage rise (< 5ms), good regulation (< ±1%), low ripple (< ±2%), isolation (~50kV), low energy content (< 10J) and fast cut-off (< 100μs). The low voltage (LV) supplies required for biasing and providing heating power to the Cesium oven and the plasma grids; have attributes of low ripple, high stability, fast and precise regulation, programmability and remote operation. These power supplies are also equipped with over-voltage, over-current and current limit (CC Mode) protections. Fault diagnostics, to distinguish abnormal rise in currents (breakdown faults) with over-currents is enabled using fast response breakdown and over-current protection scheme. To restrict the fault energy deposited on the ion source, specially designed snubbers are implemented in each (extraction and acceleration) high voltage path to swap the surge energy. Moreover, the monitoring status and control signals from these power supplies are required to be electrically (~ 50kV) isolated from the system. The paper shall present the

  16. Power supply system for negative ion source at IPR

    International Nuclear Information System (INIS)

    Gahlaut, Agrajit; Sonara, Jashwant; Parmar, K G; Soni, Jignesh; Bandyopadhyay, M; Singh, Mahendrajit; Bansal, Gourab; Pandya, Kaushal; Chakraborty, Arun

    2010-01-01

    The first step in the Indian program on negative ion beams is the setting up of Negative ion Experimental Assembly - RF based, where 100 kW of RF power shall be coupled to a plasma source producing plasma of density ∼5 x 10 12 cm -3 , from which ∼ 10 A of negative ion beam shall be produced and accelerated to 35 kV, through an electrostatic ion accelerator. The experimental system is modelled similar to the RF based negative ion source, BATMAN presently operating at IPP, Garching, Germany. The mechanical system for Negative Ion Source Assembly is close to the IPP source, remaining systems are designed and procured principally from indigenous sources, keeping the IPP configuration as a base line. High voltage (HV) and low voltage (LV) power supplies are two key constituents of the experimental setup. The HV power supplies for extraction and acceleration are rated for high voltage (∼15 to 35kV), and high current (∼ 15 to 35A). Other attributes are, fast rate of voltage rise (< 5ms), good regulation (< ±1%), low ripple (< ±2%), isolation (∼50kV), low energy content (< 10J) and fast cut-off (< 100μs). The low voltage (LV) supplies required for biasing and providing heating power to the Cesium oven and the plasma grids; have attributes of low ripple, high stability, fast and precise regulation, programmability and remote operation. These power supplies are also equipped with over-voltage, over-current and current limit (CC Mode) protections. Fault diagnostics, to distinguish abnormal rise in currents (breakdown faults) with over-currents is enabled using fast response breakdown and over-current protection scheme. To restrict the fault energy deposited on the ion source, specially designed snubbers are implemented in each (extraction and acceleration) high voltage path to swap the surge energy. Moreover, the monitoring status and control signals from these power supplies are required to be electrically (∼ 50kV) isolated from the system. The paper shall

  17. Ion deposition by inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Hu, K.; Houk, R.S.

    1996-01-01

    An atmospheric pressure inductively coupled plasma (ICP) is used with a quadrupole mass spectrometer (MS) for ion deposition. The deposited element is introduced as a nebulized aqueous solution. Modifications to the ICP-MS device allow generation and deposition of a mass-resolved beam of 165 Ho + at 5x10 12 ions s -1 . The ICP is a universal, multielement ion source that can potentially be used for applications such as deposition of mixtures of widely varying stoichiometry or of alternating layers of different elements. copyright 1996 American Vacuum Society

  18. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  19. On the meniscus formation and the negative hydrogen ion extraction from ITER neutral beam injection relevant ion source

    Science.gov (United States)

    Mochalskyy, S.; Wünderlich, D.; Ruf, B.; Fantz, U.; Franzen, P.; Minea, T.

    2014-10-01

    The development of a large area (Asource,ITER = 0.9 × 2 m2) hydrogen negative ion (NI) source constitutes a crucial step in construction of the neutral beam injectors of the international fusion reactor ITER. To understand the plasma behaviour in the boundary layer close to the extraction system the 3D PIC MCC code ONIX is exploited. Direct cross checked analysis of the simulation and experimental results from the ITER-relevant BATMAN source testbed with a smaller area (Asource,BATMAN ≈ 0.32 × 0.59 m2) has been conducted for a low perveance beam, but for a full set of plasma parameters available. ONIX has been partially benchmarked by comparison to the results obtained using the commercial particle tracing code for positive ion extraction KOBRA3D. Very good agreement has been found in terms of meniscus position and its shape for simulations of different plasma densities. The influence of the initial plasma composition on the final meniscus structure was then investigated for NIs. As expected from the Child-Langmuir law, the results show that not only does the extraction potential play a crucial role on the meniscus formation, but also the initial plasma density and its electronegativity. For the given parameters, the calculated meniscus locates a few mm downstream of the plasma grid aperture provoking a direct NI extraction. Most of the surface produced NIs do not reach the plasma bulk, but move directly towards the extraction grid guided by the extraction field. Even for artificially increased electronegativity of the bulk plasma the extracted NI current from this region is low. This observation indicates a high relevance of the direct NI extraction. These calculations show that the extracted NI current from the bulk region is low even if a complete ion-ion plasma is assumed, meaning that direct extraction from surface produced ions should be present in order to obtain sufficiently high extracted NI current density. The calculated extracted currents, both ions

  20. Ion collection by probing objects in flowing magnetized plasmas

    International Nuclear Information System (INIS)

    Kyu-Sun, Chung.

    1989-04-01

    A new one-dimensional collisionless kinetic model is developed for the flow of ions to probing structures in drifting plasmas. The cross-field flow into the presheath is modelled by accounting consistently for particle exchange between the collection flux tube and the outer plasma. Numerical solutions of the self-consistent plasma/sheath equations are obtained with arbitrary external ion temperature and parallel plasma flow velocity. Results are presented of the spatial dependence of the ion distribution function as well as its moments (density, particle flux, temperature, and power flux). The ion current to the probe is obtained and the ratio of the upstream to downstream currents is found to be well represented by the form R = exp[Ku d ], where K = 1.66 for T i = T e and u d is the drift velocity in units of (T e /m i ) 1/2 . The results agree well with comparable recent fluid calculations but show substantial deviations from other models which ignore particle exchange out of the presheath. No evidence is found of the formation of shocks in the downstream wake, contrary to the implications of some fluid theories. We have also extended the previous kinetic model by generalizing cross-field transport and adding ionization to the source of the Boltzmann equation along the presheath. Ion sheath current density and ratio(R) of upstream to downstream current are obtained as a function of plasma drift velocity, equivalent viscosity, ion temperature, and ionization rate. Constants(K) in the form R = exp[Ku d ] are obtained in terms of viscosity, ion temperature, and ionization rate. The effect of an electrical bias applied to the object on the presheath characteristics is discussed

  1. Operation of Lanzhou all permanent electron cyclotron resonance ion source No. 2 on 320 kV platform with highly charged ions.

    Science.gov (United States)

    Lu, W; Li, J Y; Kang, L; Liu, H P; Li, H; Li, J D; Sun, L T; Ma, X W

    2014-02-01

    The 320 kV platform for multi-discipline research with highly charged ions is a heavy ion beam acceleration instrument developed by Institute of Modern Physics, which is dedicated to basic scientific researches such as plasma, atom, material physics, and astrophysics, etc. The platform has delivered ion beams of 400 species for 36,000 h. The average operation time is around 5000 h/year. With the beams provided by the platform, lots of outstanding progresses were made in various research fields. The ion source of the platform is an all-permanent magnet electron cyclotron resonance ion source, LAPECR2 (Lanzhou All Permanent ECR ion source No. 2). The maximum axial magnetic fields are 1.28 T at injection and 1.07 T at extraction, and the radial magnetic field is up to 1.21 T at the inner wall of the plasma chamber. The ion source is capable to produce low, medium, and high charge state gaseous and metallic ion beams, such as H(+), (40)Ar(8+), (129)Xe(30+), (209)Bi(33+), etc. This paper will present the latest result of LAPECR2 and the routine operation status for the high voltage platform.

  2. Estimation of Sputtering Damages on a Magnetron H- Ion Source Induced by Cs+ and H+ Ions

    CERN Document Server

    Pereira, H; Alessi, J; Kalvas, t

    2013-01-01

    An H− ion source is being developed for CERN’s Linac4 accelerator. A beam current requirement of 80 mA and a reliability above 99% during 1 year with 3 month uninterrupted operation periods are mandatory. To design a low-maintenance long life-time source, it is important to investigate and understand the wear mechanisms. A cesiated plasma discharge ion source, such as the BNL magnetron source, is a good candidate for the Linac4 ion source. However, in the magnetron source operated at BNL, the removal of material from the molybdenum cathode and the stainless steel anode cover plate surfaces is visible after extended operation periods. The observed sputtering traces are shown to result from cesium vapors and hydrogen gas ionized in the extraction region and subsequently accelerated by the extraction field. This paper presents a quantitative estimate of the ionization of cesium and hydrogen by the electron and H− beams in the extraction region of BNL’s magnetron ion source. The respective contributions o...

  3. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  4. Influence of the low-frequency source parameters on the plasma characteristics in a dual frequency capacitively coupled plasma reactor: Two dimensional simulations

    Institute of Scientific and Technical Information of China (English)

    Xiang Xu; Hao Ge; Shuai Wang; Zhongling Dai; Younian Wang; Aimin Zhu

    2009-01-01

    A two-dimensional (2D) fluid model is presented to study the discharge of argon in a dual frequency capacitively coupled plasma (CCP) reactor. We are interested in the influence of low-frequency (LF) source parameters such as applied voltage amplitudes and low frequencies on the plasma characteristics. In this paper, the high frequency is set to 60 MHz with voltage 50 V. The simulations were carried out for low frequencies of 1, 2 and 6 MHz with LF voltage 100 V, and for LF voltages of 60, 90 and 120 V with low frequency 2 MHz. The results of 2D distributions of electric field and ion density, the ion flux impinging on the substrate and the ion energy on the powered electrode are shown. As the low frequency increases, two sources become from uncoupling to coupling, When two sources are uncoupling, the increase in LF has little impact on the plasma characteristics, but when two sources are coupling, the increase in LF decreases the uniformities of ion density and ion flux noticeably. It is also found that with the increase in LF voltage, the uniformities in the radial direction of ion density distribution and ion flux at the powered electrode decreases significantly, and the energy of ions bombarding on the powered electrode increases significantly.

  5. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  6. Evaluation of power transfer efficiency for a high power inductively coupled radio-frequency hydrogen ion source

    Science.gov (United States)

    Jain, P.; Recchia, M.; Cavenago, M.; Fantz, U.; Gaio, E.; Kraus, W.; Maistrello, A.; Veltri, P.

    2018-04-01

    Neutral beam injection (NBI) for plasma heating and current drive is necessary for International Thermonuclear Experimental reactor (ITER) tokamak. Due to its various advantages, a radio frequency (RF) driven plasma source type was selected as a reference ion source for the ITER heating NBI. The ITER relevant RF negative ion sources are inductively coupled (IC) devices whose operational working frequency has been chosen to be 1 MHz and are characterized by high RF power density (˜9.4 W cm-3) and low operational pressure (around 0.3 Pa). The RF field is produced by a coil in a cylindrical chamber leading to a plasma generation followed by its expansion inside the chamber. This paper recalls different concepts based on which a methodology is developed to evaluate the efficiency of the RF power transfer to hydrogen plasma. This efficiency is then analyzed as a function of the working frequency and in dependence of other operating source and plasma parameters. The study is applied to a high power IC RF hydrogen ion source which is similar to one simplified driver of the ELISE source (half the size of the ITER NBI source).

  7. The preliminary tests of the superconducting electron cyclotron resonance ion source DECRIS-SC2.

    Science.gov (United States)

    Efremov, A; Bekhterev, V; Bogomolov, S; Drobin, V; Loginov, V; Lebedev, A; Yazvitsky, N; Yakovlev, B

    2012-02-01

    A new compact version of the "liquid He-free" superconducting ECR ion source, to be used as an injector of highly charged heavy ions for the MC-400 cyclotron, is designed and built at the Flerov Laboratory of Nuclear Reactions in collaboration with the Laboratory of High Energy Physics of JINR. The axial magnetic field of the source is created by the superconducting magnet and the NdFeB hexapole is used for the radial plasma confinement. The microwave frequency of 14 GHz is used for ECR plasma heating. During the first tests, the source shows a good enough performance for the production of medium charge state ions. In this paper, we will present the design parameters and the preliminary results with gaseous ions.

  8. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  9. Integrating a Traveling Wave Tube into an AECR-U ion source

    Energy Technology Data Exchange (ETDEWEB)

    Covo, Michel Kireeff; Benitez, Janilee Y.; Ratti, Alessandro; Vujic, Jasmina L.

    2011-07-01

    An RF system of 500W - 10.75 to 12.75 GHz was designed and integrated into the Advanced Electron Cyclotron Resonance - Upgrade (AECR-U) ion source of the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory. The AECR-U produces ion beams for the Cyclotron giving large flexibility of ion species and charge states. The broadband frequency of a Traveling Wave Tube (TWT) allows modifying the volume that couples and heats the plasma. The TWT system design and integration with the AECR-U ion source and results from commissioning are presented.

  10. Development of the long pulse negative ion source for ITER

    Energy Technology Data Exchange (ETDEWEB)

    Hemsworth, R.S.; Svensson, L.; Esch, H.P.L. de; Krylov, A.; Massmann, P. [Association EURATOM-CEA, CEA/DSM/DRFC, CEA-Cadarache, 13 - St Paul-lez-Durance (France); Boilson, D. [Association EURATOM -DCU, PRL/NCPST, Glasnevin, Dublin (Ireland); Fanz, U. [Association EURATOM-IPP, Max-Planck-Institut fuer Plasmaphysik, Garching (Germany); Zaniol, B. [CONSORZIO RFX Association EURATOM-ENEA, Padova (Italy)

    2005-07-01

    A model of the ion source designed for the neutral beam injectors of the International Thermonuclear Experimental Reactor (ITER), the KAMABOKO III ion source, is being tested on the MANTIS test stand at the DRFC Cadarache in collaboration with JAERI, Japan, who designed and supplied the ion source. The ion source is attached to a 3 grid 30 keV accelerator (also supplied by JAERI) and the accelerated negative ion current is determined from the energy deposited on a calorimeter located 1.6 m from the source. During experiments on MANTIS three adverse effects of long pulse operation were found. First the negative ion current to the calorimeter is {approx} 50% of that obtained from short pulse operation. Secondly increasing the plasma grid (PG) temperature results in {<=} 40% enhancement in negative ion yield, substantially below that reported for short pulse operation, {>=} 100%. And thirdly the caesium 'consumption' is up to 1500 times that expected. Results presented here indicate that each of these is, at least partially, explained by thermal effects. Additionally presented are the results of a detailed characterisation of the source, which enable the most efficient mode of operation to be identified. (authors)

  11. Role of positive ions on the surface production of negative ions in a fusion plasma reactor type negative ion source—Insights from a three dimensional particle-in-cell Monte Carlo collisions model

    International Nuclear Information System (INIS)

    Fubiani, G.; Boeuf, J. P.

    2013-01-01

    Results from a 3D self-consistent Particle-In-Cell Monte Carlo Collisions (PIC MCC) model of a high power fusion-type negative ion source are presented for the first time. The model is used to calculate the plasma characteristics of the ITER prototype BATMAN ion source developed in Garching. Special emphasis is put on the production of negative ions on the plasma grid surface. The question of the relative roles of the impact of neutral hydrogen atoms and positive ions on the cesiated grid surface has attracted much attention recently and the 3D PIC MCC model is used to address this question. The results show that the production of negative ions by positive ion impact on the plasma grid is small with respect to the production by atomic hydrogen or deuterium bombardment (less than 10%)

  12. Tungsten transport and sources control in JET ITER-like wall H-mode plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Fedorczak, N., E-mail: nicolas.fedorczak@cea.fr [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Monier-Garbet, P. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Pütterich, T. [MPI für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Brezinsek, S. [Institute of Energy and Climate Research, Forschungszentrum Jlich, Assoc EURATOM-FZJ, Jlich (Germany); Devynck, P.; Dumont, R.; Goniche, M.; Joffrin, E. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Lerche, E. [Association EURATOM-Belgian State, LPP-ERM-KMS, TEC partner, Brussels (Belgium); Euratom/CCFE Fusion Association, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Lipschultz, B. [York Plasma Institute, University of York, Heslington, York YO10 5DD (United Kingdom); Luna, E. de la [Laboratorio Nacional de Fusin, Asociacin EURATOM/CIEMAT, 28040 Madrid (Spain); Maddison, G. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Maggi, C. [MPI für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Matthews, G. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Nunes, I. [Istituto de plasmas e fusao nuclear, Lisboa (Portugal); Rimini, F. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Solano, E.R. [Laboratorio Nacional de Fusin, Asociacin EURATOM/CIEMAT, 28040 Madrid (Spain); Tamain, P. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Tsalas, M. [Association EURATOM-Hellenic Republic, NCSR Demokritos 153 10, Attica (Greece); Vries, P. de [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2015-08-15

    A set of discharges performed with the JET ITER-like wall is investigated with respect to control capabilities on tungsten sources and transport. In attached divertor regimes, increasing fueling by gas puff results in higher divertor recycling ion flux, lower divertor tungsten source, higher ELM frequency and lower core plasma radiation, dominated by tungsten ions. Both pedestal flushing by ELMs and divertor screening (including redeposition) are possibly responsible. For specific scenarios, kicks in plasma vertical position can be employed to increase the ELM frequency, which results in slightly lower core radiation. The application of ion cyclotron radio frequency heating at the very center of the plasma is efficient to increase the core electron temperature gradient and flatten electron density profile, resulting in a significantly lower central tungsten peaking. Beryllium evaporation in the main chamber did not reduce the local divertor tungsten source whereas core radiation was reduced by approximately 50%.

  13. Linac4 H{sup −} ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Lettry, J., E-mail: Jacques.lettry@cern.ch; Aguglia, D.; Andersson, P.; Bertolo, S.; Butterworth, A.; Coutron, Y.; Dallocchio, A.; David, N.; Chaudet, E.; Fink, D. A.; Garlasche, M.; Grudiev, A.; Guida, R.; Hansen, J.; Haase, M.; Jones, A.; Koszar, I.; Lallement, J.-B.; Lombardi, A. M.; Machado, C. [CERN-ABP, 1211 Geneva 23 (Switzerland); and others

    2016-02-15

    CERN’s 160 MeV H{sup −} linear accelerator (Linac4) is a key constituent of the injector chain upgrade of the Large Hadron Collider that is being installed and commissioned. A cesiated surface ion source prototype is being tested and has delivered a beam intensity of 45 mA within an emittance of 0.3 π ⋅ mm ⋅ mrad. The optimum ratio of the co-extracted electron- to ion-current is below 1 and the best production efficiency, defined as the ratio of the beam current to the 2 MHz RF-power transmitted to the plasma, reached 1.1 mA/kW. The H{sup −} source prototype and the first tests of the new ion source optics, electron-dump, and front end developed to minimize the beam emittance are presented. A temperature regulated magnetron H{sup −} source developed by the Brookhaven National Laboratory was built at CERN. The first tests of the magnetron operated at 0.8 Hz repetition rate are described.

  14. Pantechnik new superconducting ion source: PantechniK Indian Superconducting Ion Source

    International Nuclear Information System (INIS)

    Gaubert, G.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Leroy, R.; Sineau, A.; Vallerand, C.; Villari, A. C. C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PantechniK Indian Superconducting Ion Source (PKISIS) was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration, while the radial magnetic field is done with the multi-layer permanent magnets. Special care was devoted to the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using low temperature superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability and easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 deg. C) installed with an angle of 5 deg. with respect to the source axis or a sputtering system, mounted on the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PKISIS magnetic fields are 2.1 T axial B inj and 1.32 T radial field in the wall, variable B min with an independent coil and a large and opened extraction region. Moreover, PKISIS integrates modern design concepts, like RF direct injection (2 kW availability), dc-bias moving disk, out-of-axis oven and axial sputtering facility for metal beams. Finally, PKISIS is also conceived in order to operate in a high-voltage platform with minor power consumption.

  15. Effect of the gas mixing technique on the production efficiency of ion beams extracted from an electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Tarvainen, O.; Suominen, P.; Koivisto, H.

    2004-01-01

    In this work the effect of gas mixing on the production efficiency of ion beams extracted from an ECR ion source has been studied with the JYFL 6.4 GHz electron cyclotron resonance ion source (ECRIS). It was found that the gas mixing affects strongly the confinement of ions in the plasma of the ECRIS. The information obtained can be used to minimize the consumption of expensive materials or isotopes and to reduce contamination of the plasma chamber. It was observed that the carbon contamination, which is built up when the MIVOC method is used could be decreased with the aid of the gas mixing technique. The best mixing gas for this purpose was found to be oxygen

  16. Development of a high brightness ion source for IFMIF and preliminary test results

    International Nuclear Information System (INIS)

    Iga, Takashi; Okumura, Yoshikazu; Kashiwagi, Mieko

    2001-05-01

    Development of a high brightness ion source for the 40MeV/250mA deuteron beam accelerator, IFMIF, is in progress at JAERI. A prototype ion source using hot filament cathodes has been developed. This ion source consists of a multi-cusp plasma generator and a two-stage accelerator. Beam optics has been investigated at the energy of up to 60keV. Experimental results of the beam optics agreed well with the simulation by assuming that the equivalent ion mass is 2.38. Ion beam of 60keV/100mA H+, which corresponds to ion beam of 100keV/220mA D+, was obtained with optimum perveance (minimum divergence). This result indicates that the current requirement for the IFMIF ion source would be satisfied with this ion source. (author)

  17. kHz femtosecond laser-plasma hard X-ray and fast ion source

    International Nuclear Information System (INIS)

    Thoss, A.; Korn, G.; Stiel, H.; Voigt, U.; Elsaesser, T.; Richardson, M.C.; Siders, C.W.; Faubel, M.

    2002-01-01

    We describe the first demonstration of a new stable, kHz femtosecond laser-plasma source of hard x-ray continuum and K α emission using a thin liquid metallic jet target. kHz femtosecond x-ray sources will find many applications in time-resolved x-ray diffraction and microscopy studies. As high intensity lasers become more compact and operate at increasingly high repetition-rates, they require a target configuration that is both repeatable from shot-to-shot and is debris-free. We have solved this requirement with the use of a fine (10-30 μm diameter) liquid metal jet target that provides a pristine, unperturbed filament surface at rates >100 kHz. A number of liquid metal targets are considered. We will show hard x-ray spectra recorded from liquid Ga targets that show the generation of the 9.3 keV and 10.3 keV, K α and K β lines superimposed on a multi-keV Bremsstrahlung continuum. This source was generated by a 50fs duration, 1 kHz, 2W, high intensity Ti:Sapphire laser. We will discuss the extension of this source to higher powers and higher repetition rates, providing harder x-ray emission, with the incorporation of pulse-shaping and other techniques to enhance the x-ray conversion efficiency. Using the same liquid target technology, we have also demonstrated the generation of forward-going sub-MeV protons from a 10 μm liquid water target at 1 kHz repetition rates. kHz sources of high energy ions will find many applications in time-resolved particle interaction studies, as well as lead to the efficient generation of short-lived isotopes for use in nuclear medicine and other applications. The protons were detected with CR-39 track detectors both in the forward and backward directions up to energies of ∼500 keV. As the intensity of compact high repetition-rate lasers sources increase, we can expect improvements in the energy, conversion efficiency and directionality to occur. The impact of these developments on a number of fields will be discussed. As compact

  18. Studies in High Current Density Ion Sources for Heavy Ion Fusion Applications

    Energy Technology Data Exchange (ETDEWEB)

    Chacon-Golcher, Edwin [Univ. of California, Berkeley, CA (United States)

    2002-06-01

    This dissertation develops diverse research on small (diameter ~ few mm), high current density (J ~ several tens of mA/cm2) heavy ion sources. The research has been developed in the context of a programmatic interest within the Heavy Ion Fusion (HIF) Program to explore alternative architectures in the beam injection systems that use the merging of small, bright beams. An ion gun was designed and built for these experiments. Results of average current density yield () at different operating conditions are presented for K+ and Cs+ contact ionization sources and potassium aluminum silicate sources. Maximum values for a K+ beam of ~90 mA/cm2 were observed in 2.3 μs pulses. Measurements of beam intensity profiles and emittances are included. Measurements of neutral particle desorption are presented at different operating conditions which lead to a better understanding of the underlying atomic diffusion processes that determine the lifetime of the emitter. Estimates of diffusion times consistent with measurements are presented, as well as estimates of maximum repetition rates achievable. Diverse studies performed on the composition and preparation of alkali aluminosilicate ion sources are also presented. In addition, this work includes preliminary work carried out exploring the viability of an argon plasma ion source and a bismuth metal vapor vacuum arc (MEVVA) ion source. For the former ion source, fast rise-times (~ 1 μs), high current densities (~ 100 mA/cm+) and low operating pressures (< 2 mtorr) were verified. For the latter, high but acceptable levels of beam emittance were measured (εn ≤ 0.006 π· mm · mrad) although measured currents differed from the desired ones (I ~ 5mA) by about a factor of 10.

  19. Ion-plasma gun for ion-milling machine

    Science.gov (United States)

    Kaminsky, Manfred S.; Campana, Jr., Thomas J.

    1976-01-01

    An ion gun includes an elongated electrode with a hollow end portion closed by a perforated end plate. The end plate is positioned parallel to a perforated flat electrode of opposite electrical polarity. An insulated sleeve encompasses the elongated electrode and extends outwardly from the perforated end towards the flat electrode. The sleeve length is separated into two portions of different materials. The first is formed of a high-temperature material that extends over the hollow portion of the elongated electrode where the arc is initiated by a point source electrode. The second sleeve portion extending over the remainder of the elongated electrode is of a resilient material for enhanced seal-forming ability and retention of plasma gas. Perforations are arranged in the flat electrode in a mutually opposing triangular pattern to project a plasma beam having a generally flat current profile towards a target requiring precision milling.

  20. A simple alkali-metal and noble gas ion source for SIMS equipments with mass separation of the primary ions

    International Nuclear Information System (INIS)

    Duesterhoeft, H.; Pippig, R.

    1986-01-01

    An alkali-metal ion source working without a store of alkali-metals is described. The alkali-metal ions are produced by evaporation of alkali salts and ionization in a low-voltage arc discharge stabilized with a noble gas plasma or in the case of small alkali-metal ion currents on the base of the well known thermic ionization at a hot tungsten wire. The source is very simple in construction and produces a stable ion current of 0.3 μA for more than 100 h. It is possible to change the ion species in a short time. This source is applicable to all SIMS equipments using mass separation for primary ions. (author)

  1. Ion source development for uranium-logging neutron tube

    International Nuclear Information System (INIS)

    Bacon, F.M.; O'Hagan, J.B.

    1977-03-01

    Ion beam current and mass distributions have been measured for a Penning-type ion source in a uranium-logging neutron tube. For a discharge current of 1 A and gas pressure of 1.3 Pa, the beam current was about 65 mA and the mass distribution was 5 percent D + , 80 percent D 2 + , and 15 percent D 3 + . A demountable version of this source was built to determine how geometry changes could affect the ion beam current and mass distribution. A factor of three increase in beam current was achieved by decreasing the depth of the plasma expansion cup to zero. The only method by which the mass distribution was significantly modified was by dissociating the gas in the source with a hot tungsten filament. Atomic percentage was increased to 40 percent with a filament at about 3000 K

  2. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization

  3. Aerosol Vacuum-Assisted Plasma Ionization (Aero-VaPI) Coupled to Ion Mobility-Mass Spectrometry

    Science.gov (United States)

    Blair, Sandra L.; Ng, Nga L.; Zambrzycki, Stephen C.; Li, Anyin; Fernández, Facundo M.

    2018-02-01

    In this communication, we report on the real-time analysis of organic aerosol particles by Vacuum-assisted Plasma Ionization-Mass Spectrometry (Aero-VaPI-MS) using a home-built VaPI ion source coupled to a Synapt G2-S HDMS ion mobility-mass spectrometry (IM-MS) system. Standards of organic molecules of interest in prebiotic chemistry were used to generate aerosols. Monocaprin and decanoic acid aerosol particles were successfully detected in both the positive and negative ion modes, respectively. A complex aerosol mixture of different sizes of polymers of L-malic acid was also examined through ion mobility (IM) separations, resulting in the detection of polymers of up to eight monomeric units. This noncommercial plasma ion source is proposed as a low cost alternative to other plasma ionization platforms used for aerosol analysis, and a higher-performance alternative to more traditional aerosol mass spectrometers. VaPI provides robust online ionization of organics in aerosols without extensive ion activation, with the coupling to IM-MS providing higher peak capacity and excellent mass accuracy. [Figure not available: see fulltext.

  4. H- ion source research at Los Alamos

    International Nuclear Information System (INIS)

    Allison, P.; Smith, H.V. Jr.; Sherman, J.D.

    1980-01-01

    Up to 160 mA of H - ions has been extracted at 20 kV from a 10 by 0.5-mm 2 slit in a Penning surface-plasma source. Typically, 70% of the beam can be transported through a bending magnet to a Faraday cup or emittance scanner. Up to 90% transmission has been observed for some neutralizing gases. Average and pulsed cesium flows from the source were measured with a surface-ionization gauge. Operating parameters of the source and measurements of the emittance are reported

  5. Use of reactive gases with broad-beam radio frequency ion sources for industrial applications

    International Nuclear Information System (INIS)

    Schneider, St.; Jolly, T.W.; Kohlstedt, H.; Waser, R.

    2004-01-01

    Broad-beam ion sources are used for a number of important industrial etching and deposition applications, and the use of inductively coupled plasmas has greatly increased the feasibility of using beams of reactive gases, especially of chlorine and oxygen, but also of CO, CO 2 , CF 4 , CHF 3 , SF 6 , etc. In order to gain more understanding of the factors that affect the composition of beams of these gases, we have used a Hiden energy-dispersive quadrupole mass spectrometer to analyze the flux of ions and energetic particles produced by an Oxford Instruments 15 cm rf ion source. For all of the above gases, we have analyzed the effects of changing the operating conditions on the composition of the ion beam, and the fractional production of multiply charged ions; on the plasma potential (and the consequential divergence of the ion beam) and on the spread in energy of the ion beam. We discuss how these factors influence the correct use of the ion source in etching applications with these gases. It is important that the design of the ion source should be optimized for the process gases that are used. The source was originally optimized for use on argon. We discuss the effect of the design on the source's performance with the different gases, and we consider whether design changes would be appropriate for optimum performance on different gases

  6. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  7. Experimental methods in radioactive ion-beam target/ion source development and characterization

    International Nuclear Information System (INIS)

    Welton, R.F.; Alton, G.D.; Cui, B.; Murray, S.N.

    1998-01-01

    We have developed off-line experimental techniques and apparatuses that permit direct measurement of effusive-flow delay times and ionization efficiencies for nearly any chemically reactive element in high-temperature target/ion sources (TIS) commonly used for on-line radioactive ion-beam (RIB) generation. The apparatuses include a hot Ta valve for effusive-flow delay-time measurements, a cooled molecular injection system for determination of ionization efficiencies, and a gas flow measurement/control system for introducing very low, well-defined molecular flows into the TIS. Measurements are performed on a test stand using molecular feed compounds containing stable complements of the radioactive nuclei of interest delivered to the TIS at flow rates commensurate with on-line RIB generation. In this article, the general techniques are described and effusive-flow delay times and ionization efficiency measurements are reported for fluorine in an electron-beam plasma target/ion source developed for RIB generation and operated in both positive- and negative-ion extraction modes. copyright 1998 American Institute of Physics

  8. Motion of the plasma critical layer during relativistic-electron laser interaction with immobile and comoving ion plasma for ion acceleration

    International Nuclear Information System (INIS)

    Sahai, Aakash A.

    2014-01-01

    We analyze the motion of the plasma critical layer by two different processes in the relativistic-electron laser-plasma interaction regime (a 0 >1). The differences are highlighted when the critical layer ions are stationary in contrast to when they move with it. Controlling the speed of the plasma critical layer in this regime is essential for creating low-β traveling acceleration structures of sufficient laser-excited potential for laser ion accelerators. In Relativistically Induced Transparency Acceleration (RITA) scheme, the heavy plasma-ions are fixed and only trace-density light-ions are accelerated. The relativistic critical layer and the acceleration structure move longitudinally forward by laser inducing transparency through apparent relativistic increase in electron mass. In the Radiation Pressure Acceleration (RPA) scheme, the whole plasma is longitudinally pushed forward under the action of the laser radiation pressure, possible only when plasma ions co-propagate with the laser front. In RPA, the acceleration structure velocity critically depends upon plasma-ion mass in addition to the laser intensity and plasma density. In RITA, mass of the heavy immobile plasma-ions does not affect the speed of the critical layer. Inertia of the bared immobile ions in RITA excites the charge separation potential, whereas RPA is not possible when ions are stationary

  9. Advanced plasma flow simulations of cathodic-arc and ferroelectric plasma sources for neutralized drift compression experiments

    Directory of Open Access Journals (Sweden)

    Adam B. Sefkow

    2008-07-01

    Full Text Available Large-space-scale and long-time-scale plasma flow simulations are executed in order to study the spatial and temporal evolution of plasma parameters for two types of plasma sources used in the neutralized drift compression experiment (NDCX. The results help assess the charge neutralization conditions for ion beam compression experiments and can be employed in more sophisticated simulations, which previously neglected the dynamical evolution of the plasma. Three-dimensional simulations of a filtered cathodic-arc plasma source show the coupling efficiency of the plasma flow from the source to the drift region depends on geometrical factors. The nonuniform magnetic topology complicates the well-known general analytical considerations for evaluating guiding-center drifts, and particle-in-cell simulations provide a self-consistent evaluation of the physics in an otherwise challenging scenario. Plasma flow profiles of a ferroelectric plasma source demonstrate that the densities required for longitudinal compression experiments involving ion beams are provided over the drift length, and are in good agreement with measurements. Simulations involving azimuthally asymmetric plasma creation conditions show that symmetric profiles are nevertheless achieved at the time of peak on-axis plasma density. Also, the ferroelectric plasma expands upstream on the thermal expansion time scale, and therefore avoids the possibility of penetration into the acceleration gap and transport sections, where partial neutralization would increase the beam emittance. Future experiments on NDCX will investigate the transverse focusing of an axially compressing intense charge bunch to a sub-mm spot size with coincident focal planes using a strong final-focus solenoid. In order to fill a multi-tesla solenoid with the necessary high-density plasma for beam charge neutralization, the simulations predict that supersonically injected plasma from the low-field region will penetrate and

  10. Towards a realistic 3D simulation of the extraction region in ITER NBI relevant ion source

    Science.gov (United States)

    Mochalskyy, S.; Wünderlich, D.; Fantz, U.; Franzen, P.; Minea, T.

    2015-03-01

    The development of negative ion (NI) sources for ITER is strongly accompanied by modelling activities. The ONIX code addresses the physics of formation and extraction of negative hydrogen ions at caesiated sources as well as the amount of co-extracted electrons. In order to be closer to the experimental conditions the code has been improved. It includes now the bias potential applied to first grid (plasma grid) of the extraction system, and the presence of Cs+ ions in the plasma. The simulation results show that such aspects play an important role for the formation of an ion-ion plasma in the boundary region by reducing the depth of the negative potential well in vicinity to the plasma grid that limits the extraction of the NIs produced at the Cs covered plasma grid surface. The influence of the initial temperature of the surface produced NI and its emission rate on the NI density in the bulk plasma that in turn affects the beam formation region was analysed. The formation of the plasma meniscus, the boundary between the plasma and the beam, was investigated for the extraction potentials of 5 and 10 kV. At the smaller extraction potential the meniscus moves closer to the plasma grid but as in the case of 10 kV the deepest meniscus bend point is still outside of the aperture. Finally, a plasma containing the same amount of NI and electrons (nH- =ne =1017 m-3) , representing good source conditioning, was simulated. It is shown that at such conditions the extracted NI current can reach values of ˜32 mA cm-2 using ITER-relevant extraction potential of 10 kV and ˜19 mA cm-2 at 5 kV. These results are in good agreement with experimental measurements performed at the small scale ITER prototype source at the test facility BATMAN.

  11. Physics of the Advanced Plasma Source: a review of recent experimental and modeling approaches

    International Nuclear Information System (INIS)

    Brinkmann, R P; Schröder, B; Lapke, M; Storch, R; Styrnoll, T; Awakowicz, P; Harhausen, J; Foest, R; Hannemann, M; Loffhagen, D; Ohl, A

    2016-01-01

    The Advanced Plasma Source (APS), a gridless hot cathode glow discharge capable of generating an ion beam with an energy of up to 150 eV and a flux of 10 19 s −1 , is a standard industrial tool for the process of plasma ion-assisted deposition (PIAD). This manuscript details the results of recent experimental and modeling work aimed at a physical understanding of the APS. A three-zone model is proposed which consists of (i) the ionization zone (the source itself) where the plasma is very dense, hot, and has a high ionization rate, (ii) the acceleration zone (of  ∼20 cm extension) where a strong outward-directed electric field accelerates the primary ions to a high kinetic energy, and (iii) a drift zone (the rest of the process chamber) where the emerging plasma beam is further modified by resonant charge exchange collisions that neutralize some of the energetic ions and generate, at the same time, a flux of slow ions. (paper)

  12. Sources plasma RF magnétisées : applications à la propulsion spatiale

    OpenAIRE

    Gerst , Jan Dennis

    2013-01-01

    The PEGASES thruster (Plasma Propulsion with Electronegative Gases) is a novel type of electric thruster for space propulsion. It uses negative and positive ions produced by an inductively coupled radio frequency discharge to create the thrust by electrostatically accelerating the ions through a set of grids. A magnetic filter is used to increase the amount of negative ions in the cavity of the thruster. The PEGASES thruster is not only a source to create a strongly negative ion plasma or eve...

  13. Transition of ion-acoustic perturbations in multicomponent plasma with negative ions

    International Nuclear Information System (INIS)

    Sharma, Sumita Kumari; Devi, Kavita; Adhikary, Nirab Chandra; Bailung, Heremba

    2008-01-01

    Evolution of ion-acoustic compressive (positive) and rarefactive (negative) perturbations in a multicomponent plasma with negative ions has been investigated in a double plasma device. Transition of compressive solitons in electron-positive ion plasma, into a dispersing train of oscillations in a multicomponent plasma, when the negative ion concentration r exceeds a critical value r c , has been observed. On the other hand, an initial rarefactive perturbation initially evolves into a dispersing train of oscillations in electron-positive ion plasma and transforms into rarefactive solitons in a multicomponent plasma when the negative ion concentration is higher than the critical value. The Mach velocity and width of the compressive and rarefactive solitons are measured. The compressive solitons in the range 0 c and the rarefactive solitons in the range r>r c have different characteristics than the Korteweg-de Vries (KdV) solitons at r=0 and modified KdV solitons at r=r c . A nonlinear differential equation having two terms to account for the lower and higher order nonlinearity has been used to explain the observed results

  14. Experiments with planar inductive ion source meant for creation ofH+ Beams

    Energy Technology Data Exchange (ETDEWEB)

    Vainionpaa, J.H.; Kalvas, T.; Hahto, S.K.; Reijonen, J.

    2007-02-07

    In this article the effect of different engineering parameters of an rf-driven ion sources with external spiral antenna and quartz disk rf-window are studied. Paper consists of three main topics: The effect of source geometry on the operation gas pressure, the effect of source materials and magnetic confinement on extracted current density and ion species and the effect of different antenna geometries on the extracted current density. The operation gas pressure as a function of the plasma chamber diameter, was studied. This was done with three cylindrical plasma chambers with different inner diameters. The chamber materials were studied using two materials, aluminum and alumina (AlO{sub 2}). The removable 14 magnet multicusp confinement arrangement enabled us to compare the effects of the two wall materials with and without the magnetic confinement. Highest proton fraction of {approx} 8% at 2000 W of rf-power and at pressure of 1.3 Pa was measured using AlO{sub 2} plasma chamber and no multicusp confinement. For all the compared ion sources at 1000W of rf-power, source with multicusp confinement and AlO2 plasma chamber yields highest current density of 82.7 mA/cm{sup 2} at operation pressure of 4 Pa. From the same source highest measured current density of 143 mA/cm{sup 2} at 1.3 Pa and 2200W of rf-power was achieved. Multicusp confinement increased the maximum extracted current up to factor of two. Plasma production with different antenna geometries was also studied. Antenna tests were performed using same source geometry as in source material study with AlO{sub 2} plasma chamber and multicusp confinement. The highest current density was achieved with 4.5 loop solenoid antenna with 6 cm diameter. Slightly lower current density with lower pressure was achieved using tightly wound 3 loop spiral antenna with 3.3 cm ID and 6 cm OD.

  15. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  16. Study of diffused particles by an electron cyclotron-resonance ions source plasma

    International Nuclear Information System (INIS)

    Klein, J.P.

    1995-01-01

    A double electrostatic analyser has been built mainly to study the loss cone electron population. The analysis of the ions can help to determine the plasma potential. The possibility of applying two analysing potentials along the extraction decaying magnetic filed allowed us to determine the anisotropy of the electron distribution function, of parallel temperature Tpar and perpendicular temperature Tper outside the plasma. The Tpar temperature remains constant at around 10 eV when Tper increases from 30 eV to 150 eV with improved confinement. The electron cyclotron heating provides mainly perpendicular energy to the electrons. The perpendicular electron energy is then converted to parallel energy predominantly by electron ion collisions and leave the plasma with a frequency depending on v per -3 . Taking a Maxwellian function of temperature T per cent to describe the electron function distribution f cent (v per ) in the center of the plasma is in line with the experimental electron characteristics obtained with a single electrostatic potential. Temperatures of 2 keV have been reached at 10 Ghz and 6 keV at 18 Ggz. Measurements of density and diamagnetism can complete the description of this warm population: the warm electrons dominate in number but leave the plasma quicker than the very hot electron population (analysed with the X ray diagnostic). For this reason the energy density of warm electrons is less than that of the very hot population by warm electrons consume most of the injected rf power. (author). 52 refs., 100 figs

  17. Performance of a modified DuoPIGatron ion source for PLT neutral beam injectors

    International Nuclear Information System (INIS)

    Tsai, C.C.; Stirling, W.L.; Haselton, H.H.

    1978-09-01

    The performance of a modified duoPIGatron ion source for PLT neutral beam injectors is described. The 22-cm source has been operated to deliver beams of 70 A, up to 45 keV, and 0.5 sec. Following a brief review of source operation, the dominant reactions leading to an enhanced atomic ion fraction in the source plasma are emphasized. In addition to the high atomic ion species yield (about 85%), other important characteristics of the source such as high arc efficiency (about 1.1 A ion beam current per kW of arc power), long filament lifetime, high reliability, and scalability are also described

  18. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  19. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  20. First results from the new RIKEN superconducting electron cyclotron resonance ion source (invited).

    Science.gov (United States)

    Nakagawa, T; Higurashi, Y; Ohnishi, J; Aihara, T; Tamura, M; Uchiyama, A; Okuno, H; Kusaka, K; Kidera, M; Ikezawa, E; Fujimaki, M; Sato, Y; Watanabe, Y; Komiyama, M; Kase, M; Goto, A; Kamigaito, O; Yano, Y

    2010-02-01

    The next generation heavy ion accelerator facility, such as the RIKEN radio isotope (RI) beam factory, requires an intense beam of high charged heavy ions. In the past decade, performance of the electron cyclotron resonance (ECR) ion sources has been dramatically improved with increasing the magnetic field and rf frequency to enhance the density and confinement time of plasma. Furthermore, the effects of the key parameters (magnetic field configuration, gas pressure, etc.) on the ECR plasma have been revealed. Such basic studies give us how to optimize the ion source structure. Based on these studies and modern superconducting (SC) technology, we successfully constructed the new 28 GHz SC-ECRIS, which has a flexible magnetic field configuration to enlarge the ECR zone and to optimize the field gradient at ECR point. Using it, we investigated the effect of ECR zone size, magnetic field configuration, and biased disk on the beam intensity of the highly charged heavy ions with 18 GHz microwaves. In this article, we present the structure of the ion source and first experimental results with 18 GHz microwave in detail.

  1. Ion spectra of the metal vapor vacuum arc ion source with compound and alloy cathodes

    Science.gov (United States)

    Sasaki, Jun; Brown, Ian G.

    1990-01-01

    In metal vapor vacuum arc (MEVVA) ion sources, vacuum arc plasma with cathodes of single, pure elements has been utilized for the production of metal ions. In this study, we have investigated the charge state distributions of ions produced in vacuum arc plasmas in a MEVVA ion source for the case when the cathode is an alloy or a compound material. The ion charge state spectra were analyzed by means of a time-of-flight apparatus. We have compared the ion spectra for a cathode of an alloy or a compound material with its constituent elements: TiC/TiN/TiO2/Ti/C, SiC/Si/C, WC/W/C U/UN/(UN-ZrC)/Zr/C, and brass/Zn/Cu. We find that the MEVVA produces ions of all constituent elements in the compound and the alloy cathodes. The charge state distribution of each element differs, however, from the charge state distribution obtained in the vacuum arc with a cathode made of the pure, single constituent element. Fractional values of the total ion numbers of each constituent element in the extracted beam depart from the stoichiometry of the elements in the cathode material. In an operation with a TiC cathode, we irradiated a 304 stainless-steel plate with the extracted beam. Results from glow-discharge spectroscopy (GDS) of the surface show that both titanium and carbon are implanted in the substrate after the irradiation.

  2. Intense negative hydrogen ion source for neutral injection into tokamaks

    International Nuclear Information System (INIS)

    Prelec, K.; Sluyters, T.

    1975-01-01

    In this scheme negative ions are extracted from a plasma source, accelerated to the required energy and then neutralized by stripping in a gas, metal vapor or plasma jet. One of the most promising direct extraction sources is the magnetron source, operating in the mixed hydrogen-cesium mode. In the present source cathode current densities are up to 20 A/cm 2 at arc voltages between 100 V and 150 V. In order to utilize the discharge more efficiently multislit extraction geometry was adopted. Highest currents were obtained by using six slits, with a total extraction area of 1.35 cm 2 . At an extraction voltage of 18 kV negative hydrogen ion currents close to 1 A were obtained, which corresponds to current densities of about 0.7 A/cm 2 at the extraction aperture. Pulse length was 10-20 ms and the repetition rate 0.1 Hz. The total extracted current was usually 2-3 times the H - current

  3. Helicon plasma potential measurements using a heavy ion beam probe

    International Nuclear Information System (INIS)

    P. Schoch; K. Connor; J. Si

    2005-01-01

    A Heavy Ion Beam Probe, HIBP, has been installed on a helicon plasma device. The objective was to measure plasma fluctuations at the 13.55MHz RF frequency. This offers a unique challenge for the HIBP, because the transit time of the probing ion is long compared to the fluctuations of interest. For previous HIBPs, the transit time has been short compared to the period of the fluctuations which permits one to assume that the magnetic and electric fields are static. Modeling has shown that the diagnostic will still accurately measure the average potential. The fluctuating potential was to be detected but the absolute magnitude is difficult to determine with signal from a single point. However, modeling indicates multipoint measurements will allow one to resolve the absolute fluctuation magnitude. Work supported by DOE Grant No. DE-FG02-99ER5452985 During the funding of this grant, a helicon plasma discharge device was built and operated. A Heavy Ion Beam Probe primary system was installed and operated. A primary beam detector was installed and primary beam was detected both with and without plasma. Attempts were made to detect secondary ions using the primary beam detector, without success. Given the lack of a detectable signal, the energy analyzer of the HIBP system was never installed. It is available for installation if there is a reason to do so in the future. Analysis of the system indicated that the plasma electron temperature, estimated to be a few eV, was the likely reason for the lack of detectable secondary ions. A change of ion species to either Boron or Magnesium would greatly increase the signal, but neither of these ions have been used in a HIBP system. The ion source used in this system is made by using a charge exchange process to create a zeolite loaded with the desired ion. Attempts were made to use charge exchange to load Magnesium into a zeolite, and were not successful. It is felt that Magnesium and/or Boron zeolite sources could be created, but

  4. Streaming metal plasma generation by vacuum arc plasma guns

    International Nuclear Information System (INIS)

    MacGill, R.A.; Dickinson, M.R.; Anders, A.; Monteiro, O.R.; Brown, I.G.

    1998-01-01

    We have developed several different embodiments of repetitively pulsed vacuum arc metal plasma gun, including miniature versions, multicathode versions that can produce up to 18 different metal plasma species between which one can switch, and a compact high-duty cycle well-cooled version, as well as a larger dc gun. Plasma guns of this kind can be incorporated into a vacuum arc ion source for the production of high-energy metal ion beams, or used as a plasma source for thin film formation and for metal plasma immersion ion implantation and deposition. The source can also be viewed as a low-energy metal ion source with ion drift velocity in the range 20 - 200 eV depending on the metal species used. Here we describe the plasma sources that we have developed, the properties of the plasma generated, and summarize their performance and limitations. copyright 1998 American Institute of Physics

  5. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  6. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  7. Ion source using a hollow cathode discharge system and especially, particle accelerator comprising said source

    International Nuclear Information System (INIS)

    Mourier, Georges.

    1975-01-01

    An ion source provided with a hollow cathode discharge system is presented. The ion extraction system is designed in view of generating a beam directed towards a point of use located far from the point of ion production. Said source essentially comprises two cathodes facing each other, an anode at a continuous voltage with respect to the cathodes, a heated filament beyond the cathode on the path of the extracted beam, and a grid between said filament and cathode. The ion extraction is limited to a certain portion of the ions present inside the plasma, so as the discharge to continue to be sustained by itself. For that purpose pierced cathodes are used, with a transparency (the ratio of the hole area to the whole cathode area) not much higher than 50% [fr

  8. Study of hot electrons in a ECR ion source

    International Nuclear Information System (INIS)

    Barue, C.

    1992-12-01

    The perfecting of diagnosis connected with hot electrons of plasma, and then the behaviour of measured parameters of plasma according to parameters of source working are the purpose of this thesis. The experimental results obtained give new information on hot electrons of an ECR ion source. This thesis is divided in 4 parts: the first part presents an ECR source and the experimental configuration (ECRIS physics, minimafios GHz, diagnosis used); the second part, the diagnosis (computer code of cyclotron emission and calibration); the third part gives experimental results in continuous regime (emission cyclotron diagnosis, bremsstrahlung); the fourth part, experimental results in pulsed regime (emission cyclotron diagnosis, diamagnetism) calibration)

  9. Production of highly charged ion beams from electron cyclotron resonance ion sources (invited)

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1998-01-01

    Electron cyclotron resonance ion source (ECRIS) development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields, and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECRISs. So far at continuous wave (CW) mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ , and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ , and U 34+ were produced from ECRISs. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ , and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I≥60enA) were also achieved. This article will review the ECR ion source progress and discuss key requirement for ECRISs to produce the highly charged ion beams. copyright 1998 American Institute of Physics

  10. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  11. A combination of permanent magnet and magnetic coil for a large diameter ion source

    International Nuclear Information System (INIS)

    Uramoto, Joshin; Kubota, Yusuke; Miyahara, Akira.

    1980-02-01

    A large diameter ion source for fast neutral beam injection is designed under a magnetic field (we call ''Uramoto Field'') composed of a circular ferrite permanent magnet and a usual coreless magnetic coil. As the magnetic filed is reduced abruptly in a discharge anode, an ion source with a uniform ion current density over a large diameter is produced easily without a ''button'' of ORNL duoPIGatron type ion source (a floating electrode to diffuse an axial plasma flow radially). (author)

  12. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  13. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  14. Dynamics of plasma ions motion in ultra-intense laser-excited plasma wakes

    International Nuclear Information System (INIS)

    Zhou Suyun; Li Jing

    2013-01-01

    The effects of heavy ions and protons motion in an ultra-intense laser-driven plasma wake are compared by rebuilding a plasma wake model. It is shown that with the same laser and plasma background electron density n 0 , the heavy ions' motion suppresses wake-field resonant excitation less than the protons' motion in their own plasma wake. Though heavy ions obtain more kinetic energy from the plasma wake, its energy density is less than that of the protons due to the ion density being far less than the proton density. As a result, the total energy of heavy ions obtained from the wake-field is far less than that of protons. The dependence of the kinetic energy and the energy density of protons and heavy ions on n 0 is discussed. (paper)

  15. Study and development of a new ECR source creating an intense light ions beam

    International Nuclear Information System (INIS)

    Nyckees, S.

    2012-01-01

    This thesis is in the context of study and design of a new ECR light ion source on LEDA (Laboratory of Research and Development of Accelerators - CEA Saclay), named ALISES (Advanced Light Ions Source Extraction System). As a first step, the magnetic, electrical and mechanical design of the new source is described. Then, simulations were performed to determine the reduction of emittance growth taking into account the reduction of the length of the LBE (Low Energy Beam Line) provided by the source ALISES. With this source, it's also possible to realize a study on the dimensions of the cylindrical plasma chamber. Simulations were performed to better understand the interaction between radiofrequency wave and plasma. Subsequently, experiments on the source ALISES helped highlight, understand and solve problems in the Penning discharges inside the accelerator column. Measurements performed on the plasma have yielded the assumption that the electrons are heated at the entrance of the plasma chamber and thermalized along its entire length to achieve an energy corresponding to the maximum of the ionization cross section for hydrogen. (author) [fr

  16. Electron Cyclotron Resonance (ECR) Ion Source Development at the Holified Radioactive Ion Beam Facility

    Science.gov (United States)

    Bilheux, Hassina; Liu, Yuan; Alton, Gerald; Cole, John; Williams, Cecil; Reed, Charles

    2004-11-01

    Performance of ECR ion sources can be significantly enhanced by increasing the physical size of their ECR zones in relation to the size of their plasma volumes (spatial and frequency domain methods).^3-5 A 6 GHz, all-permanent magnet ECR ion source with a large resonant plasma volume has been tested at ORNL.^6 The magnetic circuit can be configured for creating both flat-β (volume) and conventional minimum-β (surface) resonance conditions. Direct comparisons of the performance of the two source types can be made under similar operating conditions. In this paper, we clearly demonstrate that the flat-β source outperforms its minimum-β counterpart in terms of charge state distribution and intensity within a particular charge state. ^1bilheuxhn@ornl.gov ^2Managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725. ^3G.D. Alton, D.N. Smithe, Rev. Sci. Instrum. 65 (1994) 775. ^4G.D. Alton et al., Rev. Sci. Instrum. 69 (1998) 2305. ^5Z.Q. Xie, C.M. Lyneis, Rev. Sci. Instrum. 66 (1995) 4218. ^6Y. Liu et al., Rev. Sci. Instrum. 69 (1998) 1311.

  17. Measurements of hydrogen gas stopping efficiency for tin ions from laser-produced plasma

    Science.gov (United States)

    Abramenko, D. B.; Spiridonov, M. V.; Krainov, P. V.; Krivtsun, V. M.; Astakhov, D. I.; Medvedev, V. V.; van Kampen, M.; Smeets, D.; Koshelev, K. N.

    2018-04-01

    Experimental studies of stopping of ion fluxes from laser-produced plasma by a low-pressure gas atmosphere are presented. A modification of the time-of-flight spectroscopy technique is proposed for the stopping cross-sectional measurements in the ion energy range of 0.1-10 keV. The application of the proposed technique is demonstrated for Sn ion stopping by H2 gas. This combination of elements is of particular importance for the development of plasma-based sources of extreme ultraviolet radiation for lithographic applications.

  18. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro [Accelerator Engineering Corp., Chiba (Japan); Kitagawa, Atsushi; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Biri, Sandor [Institute of Nuclear Research (ATOMKI), Debrecen (Hungary)

    2000-11-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 e{mu}A for Ar{sup 11+}. (J.P.N.)

  19. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    International Nuclear Information System (INIS)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro; Kitagawa, Atsushi; Muramatsu, Masayuki; Biri, Sandor

    2000-01-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 eμA for Ar 11+ . (J.P.N.)

  20. Ion-beam Plasma Neutralization Interaction Images

    Energy Technology Data Exchange (ETDEWEB)

    Igor D. Kaganovich; Edward Startsev; S. Klasky; Ronald C. Davidson

    2002-04-09

    Neutralization of the ion beam charge and current is an important scientific issue for many practical applications. The process of ion beam charge and current neutralization is complex because the excitation of nonlinear plasma waves may occur. Computer simulation images of plasma neutralization of the ion beam pulse are presented.