WorldWideScience

Sample records for plasma source generation

  1. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  2. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  3. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  4. Flux compression generators as plasma compression power sources

    International Nuclear Information System (INIS)

    Fowler, C.M.; Caird, R.S.; Erickson, D.J.; Freeman, B.L.; Thomson, D.B.; Garn, W.B.

    1979-01-01

    A survey is made of applications where explosive-driven magnetic flux compression generators have been or can be used to directly power devices that produce dense plasmas. Representative examples are discussed that are specific to the theta pinch, the plasma gun, the dense plasma focus and the Z pinch. These examples are used to illustrate the high energy and power capabilities of explosive generators. An application employing a rocket-borne, generator-powered plasma gun emphasizes the size and weight potential of flux compression power supplies. Recent results from a local effort to drive a dense plasma focus are provided. Imploding liners ae discussed in the context of both the theta and Z pinches

  5. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  6. Non-thermal atmospheric pressure HF plasma source: generation of nitric oxide and ozone for bio-medical applications

    Science.gov (United States)

    Kühn, S.; Bibinov, N.; Gesche, R.; Awakowicz, P.

    2010-01-01

    A new miniature high-frequency (HF) plasma source intended for bio-medical applications is studied using nitrogen/oxygen mixture at atmospheric pressure. This plasma source can be used as an element of a plasma source array for applications in dermatology and surgery. Nitric oxide and ozone which are produced in this plasma source are well-known agents for proliferation of the cells, inhalation therapy for newborn infants, disinfection of wounds and blood ozonation. Using optical emission spectroscopy, microphotography and numerical simulation, the gas temperature in the active plasma region and plasma parameters (electron density and electron distribution function) are determined for varied nitrogen/oxygen flows. The influence of the gas flows on the plasma conditions is studied. Ozone and nitric oxide concentrations in the effluent of the plasma source are measured using absorption spectroscopy and electro-chemical NO-detector at variable gas flows. Correlations between plasma parameters and concentrations of the particles in the effluent of the plasma source are discussed. By varying the gas flows, the HF plasma source can be optimized for nitric oxide or ozone production. Maximum concentrations of 2750 ppm and 400 ppm of NO and O3, correspondingly, are generated.

  7. Generators of nonequilibrium low-temperature plasma

    International Nuclear Information System (INIS)

    Dautov, G.Yu.

    1988-01-01

    Results are described of a study and of the characteristics of sources of a non-equilibrium gas-discharge plasma. The plasma generators considered include glow, high frequency, and arc discharge generators. Thermodynamic, ionic, and electronic processes occurring in the plasmas are evaluated

  8. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  9. Streaming metal plasma generation by vacuum arc plasma guns

    International Nuclear Information System (INIS)

    MacGill, R.A.; Dickinson, M.R.; Anders, A.; Monteiro, O.R.; Brown, I.G.

    1998-01-01

    We have developed several different embodiments of repetitively pulsed vacuum arc metal plasma gun, including miniature versions, multicathode versions that can produce up to 18 different metal plasma species between which one can switch, and a compact high-duty cycle well-cooled version, as well as a larger dc gun. Plasma guns of this kind can be incorporated into a vacuum arc ion source for the production of high-energy metal ion beams, or used as a plasma source for thin film formation and for metal plasma immersion ion implantation and deposition. The source can also be viewed as a low-energy metal ion source with ion drift velocity in the range 20 - 200 eV depending on the metal species used. Here we describe the plasma sources that we have developed, the properties of the plasma generated, and summarize their performance and limitations. copyright 1998 American Institute of Physics

  10. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  11. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  12. Air spark-like plasma source for antimicrobial NOx generation

    International Nuclear Information System (INIS)

    Pavlovich, M J; Galleher, C; Curtis, B; Clark, D S; Graves, D B; Ono, T; Machala, Z

    2014-01-01

    We demonstrate and analyse the generation of nitrogen oxides and their antimicrobial efficacy using atmospheric air spark-like plasmas. Spark-like discharges in air in a 1 L confined volume are shown to generate NO x at an initial rate of about 1.5  ×  10 16 NO x molecules/J dissipated in the plasma. Such a discharge operating in this confined volume generates on the order of 6000 ppm NO x in 10 min. Around 90% of the NO x is in the form of NO 2 after several minutes of operation in the confined volume, suggesting that NO 2 is the dominant antimicrobial component. The strong antimicrobial action of the NO x mixture after several minutes of plasma operation is demonstrated by measuring rates of E. coli disinfection on surfaces and in water exposed to the NO x mixture. Some possible applications of plasma generation of NO x (perhaps followed by dissolution in water) include disinfection of surfaces, skin or wound antisepsis, and sterilization of medical instruments at or near room temperature. (paper)

  13. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  14. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  15. Generation of plasma X-ray sources via high repetition rate femtosecond laser pulses

    Science.gov (United States)

    Baguckis, Artūras; Plukis, Artūras; Reklaitis, Jonas; Remeikis, Vidmantas; Giniūnas, Linas; Vengris, Mikas

    2017-12-01

    In this study, we present the development and characterization of Cu plasma X-ray source driven by 20 W average power high repetition rate femtosecond laser in ambient atmosphere environment. The peak Cu- Kα photon flux of 2.3 × 109 photons/s into full solid angle is demonstrated (with a process conversion efficiency of 10-7), using pulses with peak intensity of 4.65 × 1014 W/cm2. Such Cu- Kα flux is significantly larger than others found in comparable experiments, performed in air environment. The effects of resonance plasma absorption process, when optimized, are shown to increase measured flux by the factor of 2-3. The relationship between X-ray photon flux and plasma-driving pulse repetition rate is quasi-linear, suggesting that fluxes could further be increased to 1010 photons/s using even higher average powers of driving radiation. These results suggest that to fully utilize the potential of high repetition rate laser sources, novel target material delivery systems (for example, jet-based ones) are required. On the other hand, this study demonstrates that high energy lasers currently used for plasma X-ray sources can be conveniently and efficiently replaced by high average power and repetition rate laser radiation, as a way to increase the brightness of the generated X-rays.

  16. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  17. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  18. Plasma X-ray sources powered by megajoule magnetocumulative generators

    Energy Technology Data Exchange (ETDEWEB)

    Popkov, N F; Averchenkov, V Ya; Pikar` , A S; Ryaslov, E A; Kargin, V I; Lazarev, S A; Borodkov, V V; Nazarenko, S T; Makartsev, G F [All-Russian Research Inst. of Experimental Physics, Sarov (Russian Federation). Russian Federal Nuclear Center

    1997-12-31

    Experiments using magnetocumulative generators (MCGs) were performed to power three different types of high-energy-density plasma discharges suitable for intense x-ray generation. These included the H-pressed discharge, the capillary z-pinch, and the {theta}-pinch. The MCGs were operated both with and without plasma opening switches. The characteristic currents were approximately 10 MA and characteristic time scales approximately 1 {mu}s. (author). 7 figs., 3 refs.

  19. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  20. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  1. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  2. Plasma driven neutron/gamma generator

    Science.gov (United States)

    Leung, Ka-Ngo; Antolak, Arlyn

    2015-03-03

    An apparatus for the generation of neutron/gamma rays is described including a chamber which defines an ion source, said apparatus including an RF antenna positioned outside of or within the chamber. Positioned within the chamber is a target material. One or more sets of confining magnets are also provided to create a cross B magnetic field directly above the target. To generate neutrons/gamma rays, the appropriate source gas is first introduced into the chamber, the RF antenna energized and a plasma formed. A series of high voltage pulses are then applied to the target. A plasma sheath, which serves as an accelerating gap, is formed upon application of the high voltage pulse to the target. Depending upon the selected combination of source gas and target material, either neutrons or gamma rays are generated, which may be used for cargo inspection, and the like.

  3. Plasma x-ray radiation source.

    Science.gov (United States)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar', A S

    1995-01-01

    This paper gives the results of studies on a plasma x-ray source, which enables one to obtain a 2.5-krad radiation dose per pulse over an area of 100 cm2 in the quantum energy range from 20 to 500 keV. Pulse duration is 100 ns. Spectral radiation distributions from a diode under various operation conditions of a plasma are obtained. A Marx generator served as an initial energy source of 120 kJ with a discharge time of T/4 = 10-6 s. A short electromagnetic pulse (10-7 s) was shaped using plasma erosion opening switches.

  4. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  5. A plasma needle generates nitric oxide

    International Nuclear Information System (INIS)

    Stoffels, E; Gonzalvo, Y Aranda; Whitmore, T D; Seymour, D L; Rees, J A

    2006-01-01

    Generation of nitric oxide (NO) by a plasma needle is studied by means of mass spectrometry. The plasma needle is an atmospheric glow generated by a radio-frequency excitation in a mixture of helium and air. This source is used for the treatment of living tissues, and nitric oxide may be one of the most important active agents in plasma therapy. Efficient NO generation is of particular importance in the treatment of cardiovascular diseases. Mass spectrometric measurements have been performed under various plasma conditions; gas composition in the plasma and conversion of feed gases (nitrogen and oxygen) into other species has been studied. Up to 30% of the N 2 and O 2 input is consumed in the discharge, and NO has been identified as the main conversion product

  6. Laser plasma as a source of intense attosecond pulses via high-order harmonic generation

    International Nuclear Information System (INIS)

    Ozaki, T.

    2013-01-01

    The incredible progress in ultrafast laser technology and Ti:sapphire lasers have lead to many important applications, one of them being high-order harmonic generation (HHG). HHG is a source of coherent extreme ultraviolet (XUV) radiation, which has opened new frontiers in science by extending nonlinear optics and time-resolved spectroscopy to the XUV region, and pushing ultrafast science to the attosecond domain. Progress in attosecond science has revealed many new phenomena that have not been seen with femtosecond pulses. Clearly, the next frontier is to study nonlinear effects at the attosecond timescale and in the XUV. However, a problem with present-day attosecond pulses is that they are just too weak to induce measurable nonlinearities, which severely limits the application of this source. While HHG from solid targets has shown promise for higher conversion efficiency, there is no experiment so far that demonstrates isolated attosecond pulse generation. The generation of isolated, several 100-as pulses with few-µJ energy will enable us to enter a completely new phase in attoscience. In past works, we have demonstrated that high-order harmonics from lowly ionized plasma is a highly efficient method to generate coherent XUV pulses. For example, indium plasma has been shown to generate intense 13th harmonic of the Ti:sapphire laser, with conversion efficiency of 10-4. However, the quasi-monochromatic nature of indium harmonics would make it difficult to generate attosecond pulses. We have also demonstrated that one could increase the harmonic yield by using nanoparticle targets. Specifically, we showed that by using indium oxide nanoparticles or C60 film, we could obtain intense harmonics between wavelengths of 50 to 90 nm. The energy in each of these harmonic orders was measured to be a few µJ, which is sufficient for many applications. However, the problem of using nanoparticle or film targets is the rapid decrease in the harmonic intensity, due to the rapid

  7. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  8. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  9. Plasma Generator Using Spiral Conductors

    Science.gov (United States)

    Szatkowski, George N. (Inventor); Dudley, Kenneth L. (Inventor); Ticatch, Larry A. (Inventor); Smith, Laura J. (Inventor); Koppen, Sandra V. (Inventor); Nguyen, Truong X. (Inventor); Ely, Jay J. (Inventor)

    2016-01-01

    A plasma generator includes a pair of identical spiraled electrical conductors separated by dielectric material. Both spiraled conductors have inductance and capacitance wherein, in the presence of a time-varying electromagnetic field, the spiraled conductors resonate to generate a harmonic electromagnetic field response. The spiraled conductors lie in parallel planes and partially overlap one another in a direction perpendicular to the parallel planes. The geometric centers of the spiraled conductors define endpoints of a line that is non-perpendicular with respect to the parallel planes. A voltage source coupled across the spiraled conductors applies a voltage sufficient to generate a plasma in at least a portion of the dielectric material.

  10. Low pressure arc discharges with hollow cathodes and their using in plasma generators and charged particle sources

    CERN Document Server

    Vintizenko, L G; Koval, N N; Tolkachev, V S; Lopatin, I V; Shchanin, P M

    2001-01-01

    Paper presents the results of investigation into arc discharges with a hollow cathode generating 10 sup 1 sup 0 -10 sup 1 sup 2 concentration gas-discharge plasma in essential (approx 1 m sup 3) volumes at low (10 sup - sup 2 -1 Pa) pressures and up to 200 A discharge currents. One studied design of discharge systems with heated and cold cathodes their peculiar features, presented the parameters of plasma generators and of charged particle sources based on arc discharges and discussed, as well, the problems of more rational application of those systems in the processes for surface modification of solids

  11. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    Science.gov (United States)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  12. An amplitude modulated radio frequency plasma generator

    Science.gov (United States)

    Lei, Fan; Li, Xiaoping; Liu, Yanming; Liu, Donglin; Yang, Min; Xie, Kai; Yao, Bo

    2017-04-01

    A glow discharge plasma generator and diagnostic system has been developed to study the effects of rapidly variable plasmas on electromagnetic wave propagation, mimicking the plasma sheath conditions encountered in space vehicle reentry. The plasma chamber is 400 mm in diameter and 240 mm in length, with a 300-mm-diameter unobstructed clear aperture. Electron densities produced are in the mid 1010 electrons/cm3. An 800 W radio frequency (RF) generator is capacitively coupled through an RF matcher to an internally cooled stainless steel electrode to form the plasma. The RF power is amplitude modulated by a waveform generator that operates at different frequencies. The resulting plasma contains electron density modulations caused by the varying power levels. A 10 GHz microwave horn antenna pair situated on opposite sides of the chamber serves as the source and detector of probe radiation. The microwave power feed to the source horn is split and one portion is sent directly to a high-speed recording oscilloscope. On mixing this with the signal from the pickup horn antenna, the plasma-induced phase shift between the two signals gives the path-integrated electron density with its complete time dependent variation. Care is taken to avoid microwave reflections and extensive shielding is in place to minimize electronic pickup. Data clearly show the low frequency modulation of the electron density as well as higher harmonics and plasma fluctuations.

  13. Secondary electron ion source neutron generator

    Science.gov (United States)

    Brainard, John P.; McCollister, Daryl R.

    1998-01-01

    A neutron generator employing an electron emitter, an ion source bombarded by the electrons from the electron emitter, a plasma containment zone, and a target situated between the plasma containment zone and the electron emitter. The target contains occluded deuterium, tritium, or a mixture thereof

  14. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    Science.gov (United States)

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  15. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    Science.gov (United States)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  16. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Center

    International Nuclear Information System (INIS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-01-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H - ion beams in a filament-driven discharge. In this kind of an ion source the extracted H - beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H - converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H - ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H - ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H - production (main discharge) in order to further improve the brightness of extracted H - ion beams

  17. Generation of radiation by intense plasma and electromagnetic undulators

    International Nuclear Information System (INIS)

    Joshi, C.

    1989-01-01

    This is a second year progress report which details the work on the generation of radiation by intense plasma and electromagnetic undulators being carried out at UCLA. The status of the experimental work is described and the future directions are outlined. We have completed the first phase of experiments on the plasma wiggler generation and characterization. Suitability of a null-pinch as a plasma source was investigated in great detail. It is found that a w of a few percent can be excited but there are trapped magnetic fields within null-pinch plasma which hinder the injection of the electrons. A new more uniform and field-free plasma source is now being characterized

  18. Generation of radiation by intense plasma and electromagnetic undulators

    International Nuclear Information System (INIS)

    Joshi, C.

    1989-01-01

    This is a second year progress report which details the work on the generation of radiation by intense plasma and electromagnetic undulators being carried out at UCLA. The status of the experimental work is described and the future directions are outlined. We have completed the first phase of experiments on the plasma wiggler generation and characterization. Suitability of a θ-pinch as a plasma source was investigated in great detail. It is found that a w of a few percent can be excited but there are trapped magnetic fields within θ-pinch plasma which hinder the injection of the electrons. A few more uniform and field-free plasma source is now being characterized. 8 refs., 5 figs

  19. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  20. Magnum-psi, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Groot, B. de; Rooij, G.J. van; Veremiyenko, V.; Hellermann, M.G. von; Eck, H.J.N. van; Barth, C.J.; Kruijtzer, G.L.; Wolff, J.C.; Goedheer, W.J.; Lopes Cardozo, N.J.; Kleyn, A.W.; Smeets, P.H.M.; Brezinsek, S.; Pospieszczyk, A.; Engeln, R.A.H.; Dahiya, R.P.

    2005-01-01

    The FOM Institute for Plasma Physics is preparing the construction of the linear plasma generator, Magnum-psi. A pilot experiment (Pilot-psi) has been constructed, which we have used to optimize the cascaded arc plasma source and to explore the effect of high magnetic fields on the source operation as well as the expanding plasma beam and the effectiveness of Ohmic heating for manipulating the electron temperature and plasma density after the plasma expansion. Results are presented that demonstrate increasing source efficiency for increasing magnetic fields (up to 1.6 T). Thomson scattering measurements demonstrate that ITER relevant plasma fluxes are presently achieved in Pilot-psi: ∼10 24 m -2 s -1 and that additional heating could elevate the plasma temperature from 1.0 to 1.7 eV

  1. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  2. Power supply for plasma generator of HL-1M neutral beam injector

    International Nuclear Information System (INIS)

    Wang Detai; Qian Jiamei; Lei Guangjiu; Shun Mengda; Jiang Shaofeng; Wang Enyao; Lu Xuejun; Yang Tiehai; Wang Xuehua; Zhao Zhimin; Hao Ming; Huang Jianrong; Yu Yanqiu; Cheng Baoqiang; Wu Zhige; Sheng Ning; Hu Qingtao

    1999-01-01

    The diagram of the HL-1M Neutral Beam Injector (NBI) and the power supply (PS) system is shown. The NBI consists of ion source, beam line and power supply system etc. The ion source includes plasma generator and three-electrode extraction system. The power supply for plasma generator consists of a filament PS, an arc PS and gas valve PS. Testing has shown that the PS for plasma generator of the HL-1M NBI has excellent stability and obtain good plasma heating effect

  3. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  4. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  6. Pulsed Plasma Electron Sources

    Science.gov (United States)

    Krasik, Yakov

    2008-11-01

    Pulsed (˜10-7 s) electron beams with high current density (>10^2 A/cm^2) are generated in diodes with electric field of E > 10^6 V/cm. The source of electrons in these diodes is explosive emission plasma, which limits pulse duration; in the case E Saveliev, J. Appl. Phys. 98, 093308 (2005). Ya. E. Krasik, A. Dunaevsky, and J. Felsteiner, Phys. Plasmas 8, 2466 (2001). D. Yarmolich, V. Vekselman, V. Tz. Gurovich, and Ya. E. Krasik, Phys. Rev. Lett. 100, 075004 (2008). J. Z. Gleizer, Y. Hadas and Ya. E. Krasik, Europhysics Lett. 82, 55001 (2008).

  7. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  8. Theory for beam-plasma millimeter-wave radiation source experiments

    International Nuclear Information System (INIS)

    Rosenberg, M.; Krall, N.A.

    1989-01-01

    This paper reports on theoretical studies for millimeter-wave plasma source experiments. In the device, millimeter-wave radiation is generated in a plasma-filled waveguide driven by counter-streaming electron beams. The beams excite electron plasma waves which couple to produce radiation at twice the plasma frequency. Physics topics relevant to the high electron beam current regime are discussed

  9. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  10. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  11. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  12. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, Richard Howell; Biewer, Theodore M.; Caughman, John B.; Chen, Guangye; Owen, Larry W.; Sparks, Dennis O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Gamma(p) > 10(23) M-3 s(-1), and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of similar to 10 MW/m(2). An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength vertical bar B vertical bar in the antenna region up to similar to 0.15 T. Maximum densities of 3 x 10(19) M-3 in He and 2.5 x 10(19) m(-3) in H have been achieved. Radial density profiles have been seen to be dependent on the axial vertical bar B vertical bar profile.

  13. Production of accelerated electrons near an electron source in the plasma resonance region

    International Nuclear Information System (INIS)

    Fedorov, V.A.

    1989-01-01

    Conditions of generation of plasma electrons accelerated and their characteristics in the vicinity of an electron source are determined. The electron source isolated electrically with infinitely conducting surface, being in unrestricted collisionless plasma ω 0 >>ν, where ω 0 - plasma frequency of nonperturbated plasma, ν - frequency of plasma electron collisions with other plasma particles, is considered. Spherically symmetric injection of electrons, which rates are simulated by ω frequency, occurs from the source surface. When describing phenomena in the vicinity of the electron source, one proceeds from the quasihydrodynamic equation set

  14. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  15. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  16. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  17. Pulsed, Inductively Generated, Streaming Plasma Ion Source for Heavy Ion Fusion Linacs

    International Nuclear Information System (INIS)

    Steven C. Glidden; Howard D Sanders; John B. Greenly; Daniel L. Dongwoo

    2006-01-01

    This report describes a compact, high current density, pulsed ion source, based on electrodeless, inductively driven gas breakdown, developed to meet the requirements on normalized emittance, current density, uniformity and pulse duration for an ion injector in a heavy-ion fusion driver. The plasma source produces >10 (micro)s pulse of Argon plasma with ion current densities >100 mA/cm2 at 30 cm from the source and with strongly axially directed ion energy of about 80 eV, and sub-eV transverse temperature. The source has good reproducibility and spatial uniformity. Control of the current density during the pulse has been demonstrated with a novel modulator coil method which allows attenuation of the ion current density without significantly affecting the beam quality. This project was carried out in two phases. Phase 1 used source configurations adapted from light ion sources to demonstrate the feasibility of the concept. In Phase 2 the performance of the source was enhanced and quantified in greater detail, a modulator for controlling the pulse shape was developed, and experiments were conducted with the ions accelerated to >40 kV

  18. Determination of plasma spot current and arc discharge plasma current on the system of plasma cathode electron sources using Rogowski coil technique

    International Nuclear Information System (INIS)

    Wirjoadi; Bambang Siswanto; Lely Susita RM; Agus Purwadi; Sudjatmoko

    2015-01-01

    It has been done the function test experiments of ignitor electrode system and the plasma generator electrode system to determine the current spot plasma and arc discharge plasma current with Rogowski coil technique. Ignitor electrode system that gets power supply from IDPS system can generate the plasma spot current of 11.68 ampere to the pulse width of about 33 μs, this value is greater than the design probably because of electronic components used in the IDPS system was not as planned. For the plasma generator electrode system that gets power from ADPS system capable of producing an arc discharge plasma current around 103.15 amperes with a pulse width of about 96 μs, and this value as planned. Based on the value of the arc discharge plasma current can be determined plasma electron density, which is about 10.12 10"1"9 electrons/m"3, and with this electron density value, an ignitor electrode system and a plasma generator system is quite good if used as a plasma cathode electron source system. (author)

  19. Foil-less plasma-filled diode for HPM generator

    International Nuclear Information System (INIS)

    Eltchaninov, A A; Kovalchuk, B M; Kurkan, I K; Zherlitsyn, A A

    2014-01-01

    Plasma-filled diode regarded as perspective source of electron beam feeding HPM generator of GW power level, comparing to conventional explosive emission vacuum diode. Electron beam generation occurs in plasma double layer, where plasma boundary plays as an anode. It allows cancelling the usage of anode foils or grids in HPM generators with the virtual cathode, which could limit its life time to few shots. The presence of ions in the e-beam drift space could raise the limiting current for a drift space, but it could affect to microwave generation also. Sectioned plasma-filled diode with beam current of about 100 kA, electron beam energy of about 0.5 MV and beam current density of 1-10 kA/cm 2 was realized. Cylindrical transport channel with the diameter of 200 mm and the length of about 30 cm was attached to the diode. Beam current measurements in a drift space were performed. Computer simulations of electron beam transport with the presence of ions were carried out with the 2.5D axisymmetric version of PiC-code KARAT. Obtained results would help optimizing electrodynamic system of HPM generator subjected to the presence of ions

  20. Radiation from a pulsed dipole source in a moving magnetized plasma

    International Nuclear Information System (INIS)

    Gavrilenko, V. G.; Petrov, E. Yu.; Pikulin, V. D.; Sutyagina, D. A.

    2006-01-01

    The problem of radiation from a pulsed dipole source in a moving magnetized plasma described by a diagonal permittivity tensor is considered. An exact solution describing the spatiotemporal behavior of the excited electromagnetic field is obtained. The shape of an electromagnetic pulse that is generated by the source and propagates at different angles to both the direction of the external magnetic field and the direction of plasma motion is investigated. It is found that even nonrelativistic motion of the plasma medium can substantially influence the parameters of radiation from prescribed unsteady sources

  1. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  2. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  3. Burning plasmas in ITER for energy source

    International Nuclear Information System (INIS)

    Inoue, Nobuyuki

    2002-01-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  4. Burning plasmas in ITER for energy source

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Nobuyuki [Atomic Energy Commission, Tokyo (Japan)

    2002-10-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  5. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  6. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  7. High-Intensity High-order Harmonics Generated from Low-Density Plasma

    International Nuclear Information System (INIS)

    Ozaki, T.; Bom, L. B. Elouga; Abdul-Hadi, J.; Ganeev, R. A.; Haessler, S.; Salieres, P.

    2009-01-01

    We study the generation of high-order harmonics from lowly ionized plasma, using the 10 TW, 10 Hz laser of the Advanced Laser Light Source (ALLS). We perform detailed studies on the enhancement of a single order of the high-order harmonic spectrum generated in plasma using the fundamental and second harmonic of the ALLS beam line. We observe quasi-monochromatic harmonics for various targets, including Mn, Cr, Sn, and In. We identify most of the ionic/neutral transitions responsible for the enhancement, which all have strong oscillator strengths. We demonstrate intensity enhancements of the 13th, 17th, 29th, and 33rd harmonics from these targets using the 800 nm pump laser and varying its chirp. We also characterized the attosecond nature of such plasma harmonics, measuring attosecond pulse trains with 360 as duration for chromium plasma, using the technique of ''Reconstruction of Attosecond Beating by Interference of Two-photon Transitions''(RABBIT). These results show that plasma harmonics are intense source of ultrashort coherent soft x-rays.

  8. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  9. Characterization of the plasma-switch interaction in the LBL HIF ion source

    International Nuclear Information System (INIS)

    Hewett, D.W.; Rutkowski, H.L.

    1990-01-01

    A new way to characterize the performance of the LBL HIF ion source has been found. In the LBL source, ions are drawn from an arc-generated plasma reservoir in which the electrons are confined by a negative-biased ''switch'' mesh. Stagnation of the plasma is prevented by absorption of the excess ion flow on this mesh. The ion beam is generated by an external negative voltage that provides Child-Langmuir extraction of the ions through the switch mesh. We elucidate the physics requirements of the source and deduce switch mesh parameters needed for successful operation. 2 refs., 2 figs

  10. Next Generation Driver for Attosecond and Laser-plasma Physics.

    Science.gov (United States)

    Rivas, D E; Borot, A; Cardenas, D E; Marcus, G; Gu, X; Herrmann, D; Xu, J; Tan, J; Kormin, D; Ma, G; Dallari, W; Tsakiris, G D; Földes, I B; Chou, S-W; Weidman, M; Bergues, B; Wittmann, T; Schröder, H; Tzallas, P; Charalambidis, D; Razskazovskaya, O; Pervak, V; Krausz, F; Veisz, L

    2017-07-12

    The observation and manipulation of electron dynamics in matter call for attosecond light pulses, routinely available from high-order harmonic generation driven by few-femtosecond lasers. However, the energy limitation of these lasers supports only weak sources and correspondingly linear attosecond studies. Here we report on an optical parametric synthesizer designed for nonlinear attosecond optics and relativistic laser-plasma physics. This synthesizer uniquely combines ultra-relativistic focused intensities of about 10 20  W/cm 2 with a pulse duration of sub-two carrier-wave cycles. The coherent combination of two sequentially amplified and complementary spectral ranges yields sub-5-fs pulses with multi-TW peak power. The application of this source allows the generation of a broad spectral continuum at 100-eV photon energy in gases as well as high-order harmonics in relativistic plasmas. Unprecedented spatio-temporal confinement of light now permits the investigation of electric-field-driven electron phenomena in the relativistic regime and ultimately the rise of next-generation intense isolated attosecond sources.

  11. 21 CFR 640.60 - Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Source Plasma. 640.60 Section 640.60 Food and... ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.60 Source Plasma. The proper name of the product shall be Source Plasma. The product is defined as the fluid portion of human blood...

  12. Plasma jet source parameter optimisation and experiments on injection into Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Semenov, A.A.; Voronin, A.V.

    2005-01-01

    Results of theoretical and experimental research on the plasma sources and injection of plasma and gas jet produced by the modified source into tokamak Globus-M are presented. An experimental test stand was developed for investigation of intense plasma jet generation. Optimisation of pulsed coaxial accelerator parameters by means of analytical calculations is performed with the aim of achieving the highest flow velocity at limited coaxial electrode length and discharge current. The optimal parameters of power supply to generate a plasma jet with minimal impurity contamination and maximum flow velocity were determined. A comparison of experimental and calculation results is made. Plasma jet parameters are measured, such as: impurity species content, pressure distribution across the jet, flow velocity, plasma density, etc. Experiments on the interaction of a higher kinetic energy plasma jet with the magnetic field and plasma of the Globus-M tokamak were performed. Experimental results on plasma and gas jet injection into different Globus-M discharge phases are presented and discussed. Results are presented on the investigation of plasma jet injection as the source for discharge breakdown, plasma current startup and initial density rise. (author)

  13. Plasma generator

    International Nuclear Information System (INIS)

    Omichi, Takeo; Yamanaka, Toshiyuki.

    1976-01-01

    Object: To recycle a coolant in a sealed hollow portion formed interiorly of a plasma limiter itself to thereby to cause direct contact between the coolant and the plasma limiter and increase of contact area therebetween to cool the plasma limiter. Structure: The heat resulting from plasma generated during operation and applied to the body of the plasma limiter is transmitted to the coolant, which recycles through an inlet and outlet pipe, an inlet and outlet nozzle and a hollow portion to hold the plasma limiter at a level less than a predetermined temperature. On the other hand, the heater wire is, at the time of emergency operation, energized to heat the plasma limiter, but this heat is transmitted to the limiter body to increase the temperature thereof. However, the coolant recycling the hollow portion comes into direct contact with the limiter body, and since the plasma limiter surround the hollow portion, the heat amount transmitted from the limiter body to the coolant increases to sufficiently cool the plasma limiter. (Yoshihara, H.)

  14. X-ray radiation source based on a plasma filled diode

    Energy Technology Data Exchange (ETDEWEB)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar, A S [All-Russian Research Inst. of Experimental Physics, Sarov (Russian Federation). Russian Federal Nuclear Center

    1997-12-31

    The results are given of studies on a plasma X-ray source providing 2.5 krad of radiation dose per pulse over an area of 100 cm{sup 2} in the quantum energy range between 20 and 500 keV. The pulse duration was 100 ns. The spectral radiation distribution was obtained under various operating conditions of plasma and diode. A Marx generator served as the starting power source of 120 kJ with a discharge time of T/4=10{sup -6} s. A short electromagnetic pulse (10{sup -7} s) was shaped using plasma erosion opening switches. (author). 5 figs., 4 refs.

  15. Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams

    Science.gov (United States)

    Hershkowitz, Noah [Madison, WI; Longmier, Benjamin [Madison, WI; Baalrud, Scott [Madison, WI

    2009-03-03

    An electron generating device extracts electrons, through an electron sheath, from plasma produced using RF fields. The electron sheath is located near a grounded ring at one end of a negatively biased conducting surface, which is normally a cylinder. Extracted electrons pass through the grounded ring in the presence of a steady state axial magnetic field. Sufficiently large magnetic fields and/or RF power into the plasma allow for helicon plasma generation. The ion loss area is sufficiently large compared to the electron loss area to allow for total non-ambipolar extraction of all electrons leaving the plasma. Voids in the negatively-biased conducting surface allow the time-varying magnetic fields provided by the antenna to inductively couple to the plasma within the conducting surface. The conducting surface acts as a Faraday shield, which reduces any time-varying electric fields from entering the conductive surface, i.e. blocks capacitive coupling between the antenna and the plasma.

  16. Vacuum-spark metal ion source based on a modified Marx generator

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; MacGill, R.A.; Dickinson, M.R.

    1996-04-01

    The plasma generating parts of ion sources including their power supplies are usually floated to high potential (ion extraction voltage), thus requiring great insulation efforts and high costs for high-energy ion beams. A new concept for pulsed ion sources is presented in which a single power supply is used to simultaneously produce the plasma and high extractor voltage via a modified Marx generator. Proof-of-principle experiments have been performed with high-current spark discharges in vacuum where multiply charged ions are produced with this Marx-generator based ion source (Magis). Using Magis, it has been demonstrated that pulsed ion beams of very high energies can be obtained with relatively low voltage. For copper, ion of charge states up to 7+ have been found whose energy was 112 keV for a charging voltage of only 10 kV

  17. Study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    International Nuclear Information System (INIS)

    Wright, K.H. Jr.

    1988-02-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory

  18. Waves generated in the plasma plume of helicon magnetic nozzle

    International Nuclear Information System (INIS)

    Singh, Nagendra; Rao, Sathyanarayan; Ranganath, Praveen

    2013-01-01

    Experimental measurements have shown that the plasma plume created in a helicon plasma device contains a conical structure in the plasma density and a U-shaped double layer (US-DL) tightly confined near the throat where plasma begins to expand from the source. Recently reported two-dimensional particle-in-cell simulations verified these density and US-DL features of the plasma plume. Simulations also showed that the plasma in the plume develops non-thermal feature consisting of radial ion beams with large densities near the conical surface of the density structure. The plasma waves that are generated by the radial ion beams affecting the structure of the plasma plume are studied here. We find that most intense waves persist in the high-density regions of the conical density structure, where the transversely accelerated ions in the radial electric fields in the plume are reflected setting up counter-streaming. The waves generated are primarily ion Bernstein modes. The nonlinear evolution of the waves leads to magnetic field-aligned striations in the fields and the plasma near the conical surface of the density structure.

  19. Waves generated in the plasma plume of helicon magnetic nozzle

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Nagendra; Rao, Sathyanarayan; Ranganath, Praveen [Department of Electrical and Computer Engineering, University of Alabama, Huntsville, Alabama 35899 (United States)

    2013-03-15

    Experimental measurements have shown that the plasma plume created in a helicon plasma device contains a conical structure in the plasma density and a U-shaped double layer (US-DL) tightly confined near the throat where plasma begins to expand from the source. Recently reported two-dimensional particle-in-cell simulations verified these density and US-DL features of the plasma plume. Simulations also showed that the plasma in the plume develops non-thermal feature consisting of radial ion beams with large densities near the conical surface of the density structure. The plasma waves that are generated by the radial ion beams affecting the structure of the plasma plume are studied here. We find that most intense waves persist in the high-density regions of the conical density structure, where the transversely accelerated ions in the radial electric fields in the plume are reflected setting up counter-streaming. The waves generated are primarily ion Bernstein modes. The nonlinear evolution of the waves leads to magnetic field-aligned striations in the fields and the plasma near the conical surface of the density structure.

  20. A differentially pumped argon plasma in the linear plasma generator Magnum-PSI: gas flow and dynamics of the ionized fraction

    NARCIS (Netherlands)

    van Eck, H.J.N.; Hansen, T.A.R.; Kleyn, A.W.; van der Meiden, H.J.; Schram, D.C.; Zeijlmans van Emmichoven, P.A.

    2011-01-01

    Magnum-PSI is a linear plasma generator designed to reach the plasma-surface interaction (PSI) regime of ITER and nuclear fusion reactors beyond ITER. To reach this regime, the influx of cold neutrals from the source must be significantly lower than the plasma flux reaching the target. This is

  1. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  2. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  3. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  4. A study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    Science.gov (United States)

    Wright, Kenneth Herbert, Jr.

    1988-01-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory.

  5. Electromagnetic-implosion generation of pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Baker, W.L.; Broderick, N.F.; Degnan, J.H.; Hussey, T.W.; Kiuttu, G.F.; Kloc, D.A.; Reinovsky, R.E.

    1983-01-01

    This chapter reports on the experimental and theoretical investigation of the generation of pulsed high-energy-density plasmas by electromagnetic implosion of cylindrical foils (i.e., imploding liners or hollow Z-pinches) at the Air Force Weapons Laboratory. Presents a comparison of experimental data with one-dimensional MHD and two-dimensional calculations. Points out that the study is distinct from other imploding liner efforts in that the approach is to produce a hot, dense plasma from the imploded liner itself, rather than to compress a magnetic-field-performed plasma mixture. The goal is to produce an intense laboratory pulsed X-ray source

  6. Characteristics of the Plasma Source for Ground Ionosphere Simulation Surveyed by Disk-Type Langmuir Probe

    Science.gov (United States)

    Ryu, Kwangsun; Lee, Junchan; Kim, Songoo; Chung, Taejin; Shin, Goo-Hwan; Cha, Wonho; Min, Kyoungwook; Kim, Vitaly P.

    2017-12-01

    A space plasma facility has been operated with a back-diffusion-type plasma source installed in a mid-sized vacuum chamber with a diameter of 1.5 m located in Satellite Technology Research Center (SaTReC), Korea Advanced Institute of Science and Technology (KAIST). To generate plasma with a temperature and density similar to the ionospheric plasma, nickel wires coated with carbonate solution were used as filaments that emit thermal electrons, and the accelerated thermal electrons emitted from the heated wires collide with the neutral gas to form plasma inside the chamber. By using a disk-type Langmuir probe installed inside the vacuum chamber, the generation of plasma similar to the space environment was validated. The characteristics of the plasma according to the grid and plate anode voltages were investigated. The grid voltage of the plasma source is realized as a suitable parameter for manipulating the electron density, while the plate voltage is suitable for adjusting the electron temperature. A simple physical model based on the collision cross-section of electron impact on nitrogen molecule was established to explain the plasma generation mechanism.

  7. Plasma wave and second harmonic generation

    International Nuclear Information System (INIS)

    Sodha, M.S.; Sharma, J.K.; Tewari, D.P.; Sharma, R.P.; Kaushik, S.C.

    1978-01-01

    An investigation is made of a plasma wave at pump wave frequency and second harmonic generation caused by a self induced transverse inhomogeneity introduced by a Gaussian electromagnetic beam in a hot collisionless plasma. In the presence of a Gaussian beam the carriers get redistributed from the high field region to the low field region by ponderomative force and a transverse density gradient is established in the plasma. When the electric vector of the main beam is parallel to this density gradient, a plasma wave at the pump wave frequency is generated. In addition to this the transverse intensity gradient of the electromagnetic wave also contributes significantly to the plasma wave generation. The power of the plasma wave exhibits a maximum and minimum with the power of the pump wave (at z = 0). The generated plasma wave interacts with the electromagnetic wave and leads to the generation of a second harmonic. Furthermore, if the initial power of the pump wave is more than the critical power for self-focusing, the beam gets self-focused and hence the generated plasma wave and second harmonic which depend upon the background electron concentration and power of the main beam also get accordingly modified. (author)

  8. MAGNUM-PSI, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Goedheer, W.J.; Rooij, G.J. van; Veremiyenko, V.; Ahmad, Z.; Barth, C.J.; Eck, H.J.N. van; Groot, B. de; Hellermann, M.G. von; Kruijtzer, G.L.; Wolff, J.C.; Brezinsek, S.; Philipps, V.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Dahiya, R.P.; Engeln, R.A.H.; Schram, D.C.; Fantz, U.; Kleyn, A.W.; Lopes Cardozo, N.J.

    2005-01-01

    The FOM-Institute for Plasma Physics - together with its TEC partners - is preparing the construction of Magnum-psi, a magnetized (3 T), steady-state, large area (100 cm 2 ), high-flux (up to 10 24 H + ions m -2 s -1 ) plasma generator. The research programme of Magnum-psi will address the questions for the ITER divertor: erosion, redeposition and hydrogen retention with carbon substrates, melting of metal surfaces, erosion and redeposition with mixed materials. In order to explore and develop the techniques to be applied in Magnum-psi, a pilot experiment (Pilot-psi), operating at a magnetic field up to 1.6 Tesla, has been constructed. Pilot-psi produces a hydrogen plasma beam with the required parameters (T e ≤ 1eV and flux ≥ 10 23 m -2 s -1 ) over an area of 1 cm 2 . In this paper the results of extensive diagnostic measurements on Pilot-psi (a.o., Thomson Scattering and high-resolution spectroscopy), combined with numerical studies of the source and the expansion of the plasma will be presented to demonstrate the feasibility of the large Magnum-psi plasma generator. (author)

  9. Development of compact size penning ion source for compact neutron generator.

    Science.gov (United States)

    Das, Basanta Kumar; Shyam, Anurag

    2008-12-01

    For long-life operation, easy to mount and compact in size penning type ion sources are widely used in different fields of research such as neutron generators, material research, and surface etching. One penning type ion source has been developed in our laboratory. Applying high voltage of 2 kV between two oppositely biased electrodes and using permanent magnet of 500 gauss magnetic field along the axis, we had produced the glow discharge in the plasma region. The performance of this source was investigated using nitrogen gas. Deuterium ions were produced and extracted on the basis of chosen electrodes and the angle of extraction. Using a single aperture plasma electrode, the beam was extracted along the axial direction. The geometry of plasma electrode is an important factor for the efficient extraction of the ions from the plasma ion source. The extracted ion current depends upon the shape of the plasma meniscus. A concave shaped plasma meniscus produces converged ion beam. The convergence of extracted ions is related to the extraction electrode angle. The greater the angle, the more the beam converges. We had studied experimentally this effect with a compact size penning ion source. The detailed comparison among the different extraction geometry and different electrode angle are discussed in this paper.

  10. Controlled generation of comb-like electron beams in plasma channels for polychromatic inverse Thomson γ-ray sources

    International Nuclear Information System (INIS)

    Kalmykov, S Y; Shadwick, B A; Davoine, X; Ghebregziabher, I; Lehe, R; Lifschitz, A F

    2016-01-01

    Propagating a relativistically intense, negatively chirped laser pulse (the bandwidth  >150 nm) in a plasma channel makes it possible to generate background-free, comb-like electron beams—sequences of synchronized bunches with a low phase-space volume and controlled energy spacing. The tail of the pulse, confined in the accelerator cavity (an electron density ‘bubble’), experiences periodic focusing, while the head, which is the most intense portion of the pulse, steadily self-guides. Oscillations of the cavity size cause periodic injection of electrons from the ambient plasma, creating an electron energy comb with the number of components, their mean energy, and energy spacing dependent on the channel radius and pulse length. These customizable electron beams enable the design of a tunable, all-optical source of pulsed, polychromatic γ-rays using the mechanism of inverse Thomson scattering, with up to  ∼10 −5 conversion efficiency from the drive pulse in the electron accelerator to the γ-ray beam. Such a source may radiate  ∼10 7 quasi-monochromatic photons per shot into a microsteradian-scale cone. The photon energy is distributed among several distinct bands, each having sub-30% energy spread, with a highest energy of 12.5 MeV. (paper)

  11. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  12. A differentially pumped argon plasma in the linear plasma generator Magnum-PSI: gas flow and dynamics of the ionized fraction

    NARCIS (Netherlands)

    Eck, van H.J.N.; Hansen, T.A.R.; Kleyn, A.W.; Meiden, van der H.J.; Schram, D.C.; Zeijlmans van Emmichoven, P.A.

    2011-01-01

    Magnum-PSI is a linear plasma generator designed to reach the plasma–surface interaction (PSI) regime of ITER and nuclear fusion reactors beyond ITER. To reach this regime, the influx of cold neutrals from the source must be significantly lower than the plasma flux reaching the target. This is

  13. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  14. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  15. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  16. Hollow core plasma channel generation

    International Nuclear Information System (INIS)

    Quast, Heinrich Martin

    2018-03-01

    The use of a hollow plasma channel in plasma-based acceleration has beneficial properties for the acceleration of electron and positron bunches. In the scope of the FLASHForward facility at DESY, the generation of such a plasma structure is examined. Therefore, the generation of a ring-shaped laser intensity profile with different techniques is analyzed. From the obtained intensity profiles the electron density of a hollow plasma channel is simulated in the focal region. Different parameters are scanned to understand their influence on the electron density distribution - an important parameter being, for example, the radius of the central region of the channel. In addition to the simulations, experiments are presented, during which a laser pulse is transformed into a hollow beam with a spiral phase plate. Subsequently, it forms a plasma during the interaction with hydrogen, where the plasma is imaged with interferometry. For energies above 0.9 mJ a hollow plasma structure can be observed at the location of first plasma formation.

  17. Study of electron current extraction from a radio frequency plasma cathode designed as a neutralizer for ion source applications

    Energy Technology Data Exchange (ETDEWEB)

    Jahanbakhsh, Sina, E-mail: sinajahanbakhsh@gmail.com; Satir, Mert; Celik, Murat [Department of Mechanical Engineering, Bogazici University, Istanbul 34342 (Turkey)

    2016-02-15

    Plasma cathodes are insert free devices that are developed to be employed as electron sources in electric propulsion and ion source applications as practical alternatives to more commonly used hollow cathodes. Inductively coupled plasma cathodes, or Radio Frequency (RF) plasma cathodes, are introduced in recent years. Because of its compact geometry, and simple and efficient plasma generation, RF plasma source is considered to be suitable for plasma cathode applications. In this study, numerous RF plasma cathodes have been designed and manufactured. Experimental measurements have been conducted to study the effects of geometric and operational parameters. Experimental results of this study show that the plasma generation and electron extraction characteristics of the RF plasma cathode device strongly depend on the geometric parameters such as chamber diameter, chamber length, orifice diameter, orifice length, as well as the operational parameters such as RF power and gas mass flow rate.

  18. Inductively coupled plasma and ion sources: History and state-of-the-art

    International Nuclear Information System (INIS)

    Hopwood, J.

    1994-01-01

    Over 100 years ago Hittorf first generated an electrodeless ''ring'' discharge by electromagnetic induction and began a 40 year controversy as to the true physical origin of such a discharge. Even Tesla advocated that these plasmas were merely the result of large electrostatic potential differences rather than electric fields induced by high frequency currents. Through clever experiments using crude spark gaps and leyden jars, the inductive nature of the discharge was confirmed in the late 1920's by MacKinnon, thus supporting the theories and experiments of Sir J.J. Thomson, perhaps the most staunch advocate of the induction mechanism. Today the authors routinely exploit the intense plasmas which are generated by induction. In this talk, the characteristics of inductively coupled plasma (ICP) and ion sources will be reviewed and future applications of intense plasma sources will be discussed. The inductively coupled plasma is Joule heated at moderate gas pressures, but the electromagnetic field penetration of these dense plasmas is limited by the plasma skin depth, typically a few millimeters to a few centimeters. The induction plasma is thus edge heated, a fact that constrains uniformity over large areas if helical induction coils are used. Flat, spiral coils may be used to improve uniformity by driving the plasma using a planar geometry. Issues of dimensional and frequency scaling will be discussed as they apply to large diameter sources. Ion beams extracted from ICPs are used for many applications including space propulsion, high power neutral beams, and materials processing. Broad ion beam (∼10 cm) current densities in excess of 100 mA-cm 2 at 100 keV are obtained in pulsed mode operation. Recently, however, more consumer-oriented applications of less intense ICPs are emerging

  19. Characterization of an atmospheric pressure air plasma source for polymer surface modification

    Science.gov (United States)

    Yang, Shujun; Tang, Jiansheng

    2013-10-01

    An atmospheric pressure air plasma source was generated through dielectric barrier discharge (DBD). It was used to modify polyethyleneterephthalate (PET) surfaces with very high throughput. An equivalent circuit model was used to calculate the peak average electron density. The emission spectrum from the plasma was taken and the main peaks in the spectrum were identified. The ozone density in the down plasma region was estimated by Absorption Spectroscopy. NSF and ARC-ODU

  20. Theory of coherent transition radiation generated at a plasma-vacuum interface

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Carl B.; Esarey, Eric; van Tilborg, Jeroen; Leemans, Wim P.

    2003-06-26

    Transition radiation generated by an electron beam, produced by a laser wakefield accelerator operating in the self-modulated regime, crossing the plasma-vacuum boundary is considered. The angular distributions and spectra are calculated for both the incoherent and coherent radiation. The effects of the longitudinal and transverse momentum distributions on the differential energy spectra are examined. Diffraction radiation from the finite transverse extent of the plasma is considered and shown to strongly modify the spectra and energy radiated for long wavelength radiation. This method of transition radiation generation has the capability of producing high peak power THz radiation, of order 100 (mu)J/pulse at the plasma-vacuum interface, which is several orders of magnitude beyond current state-of-the-art THz sources.

  1. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  2. The low-cost microwave plasma sources for science and industry applications

    Science.gov (United States)

    Tikhonov, V. N.; Aleshin, S. N.; Ivanov, I. A.; Tikhonov, A. V.

    2017-11-01

    Microwave plasma torches proposed in the world market are built according to a scheme that can be called classical: power supply - magnetron head - microwave isolator with water load - reflected power meter - matching device - actual plasma torch - sliding short circuit. The total cost of devices from this list with a microwave generator of 3 kW in the performance, for example, of SAIREM (France), is about 17,000 €. We have changed the classical scheme of the microwave plasmathrone and optimised design of the waveguide channel. As a result, we can supply simple and reliable sources of microwave plasma (complete with our low-budget microwave generator up to 3 kW and a simple plasmathrone of atmospheric pressure) at a price from 3,000 €.

  3. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  4. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  5. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  6. The use of cold plasma generators in medicine

    Directory of Open Access Journals (Sweden)

    Kolomiiets R.O.

    2017-04-01

    Full Text Available Cold plasma treatment of wounds is a modern area of therapeutic medicine. We describe the physical mechanisms of cold plasma, the principles of therapeutic effects and design of two common types of cold plasma generators for medical use. This work aims at disclosing the basic principles of construction of cold atmospheric plasma generators in medicine and prospects for their further improvement. The purpose of this work is to improve the existing cold atmospheric plasma generators for use in medical applications. Novelty of this work consists in the application of new principles of construction of cold atmospheric plasmas medical apparatus, namely the combination of the gas discharge chamber, electrodes complex shape forming device and plasma flow in a single package. This helps to achieve a significant reduction in the size of the device, and a discharge chamber design change increases the therapeutic effect. The design of cold atmospheric plasma generator type «pin-to-hole», which is able to control parameters using the plasma current (modulation fluctuations in the primary winding and mechanically (using optional rotary electrode. It is also possible to combine some similar generators in the set, which will increase the surface area of the plasma treatment. We consider the basic principles of generating low atmospheric plasma flow, especially the formation of the plasma jet, changing its shape and modulation stream. The features of cold plasma generator design and information about prospects for further application, and opportunities for further improvement are revealed. The recommendations for further use of cold atmospheric plasma generators in medicine are formulated.

  7. X-Pinch Plasma Generation Testing for Neutron Source Development and Nuclear Fusion

    Directory of Open Access Journals (Sweden)

    Hossam A.Gabbar

    2018-04-01

    Full Text Available Nuclear fusion is a sought-out technology in which two light elements are fused together to create a heavier element and releases energy. Two primary nuclear fusion technologies are being researched today: magnetic and inertial confinement. However, a new type of nuclear fusion technology is currently being research: multi-pinch plasma beams. At the University of Ontario Institute of Technology, there is research on multi-pinch plasma beam technology as an alternative to nuclear fusion. The objective is to intersect two plasma arcs at the center of the chamber. This is a precursor of nuclear fusion using multi-pinch. The innovation portion of the students’ work is the miniaturization of this concept using high energy electrical DC pulses. The experiment achieved the temperature of 2300 K at the intersection. In comparison to the simulation data, the temperature from the simulation is 7000 K at the intersection. Additionally, energy harvesting devices, both photovoltaics and a thermoelectric generator, were placed in the chamber to observe the viable energy extraction.

  8. Control and metrology of high harmonic generation on plasma mirrors

    International Nuclear Information System (INIS)

    Monchoce, Sylvain

    2014-01-01

    When an ultra intense femtosecond laser with high contrast is focused on a solid target, the laser field at focus is sufficient enough to completely ionize the target surface during the rising edge of the laser pulse and form a plasma. This dense plasma entirely reflects the incident beam in the specular direction: this is a so-called plasma mirror. As the interaction between the laser and the plasma mirror is highly non-linear, it thus leads to the high harmonic generation (HHG) in the reflected beam. In the temporal domain, this harmonic spectrum is associated to a train of atto-second pulses. The aim of my PhD were to experimentally control this HHG and to measure the properties of the harmonics. We first studied the optimization of the harmonic signal, and then the spatial characterization of the harmonic beam in the far-field (harmonic divergence). These characterizations are not only important to develop an intense XUV/atto-second light source, but also to get a better understanding of the laser-matter interaction at very high intensity. We have thus been able to get crucial information of the electrons and ions dynamics of the plasma, showing that the harmonics can also be used as a diagnostic of the laser-plasma interaction. We then developed a new general approach for optically-controlled spatial structuring of overdense plasmas generated at the surface of initially plain solid targets. We demonstrate it experimentally by creating sinusoidal plasma gratings of adjustable spatial periodicity and depth, and study the interaction of these transient structures with an ultra-intense laser pulse to establish their usability at relativistically high intensities. We then show how these gratings can be used as a 'spatial ruler' to determine the source size of the high-order harmonic beams produced at the surface of an overdense plasma. These results open new directions both for the metrology of laser-plasma interactions and the emerging field of ultrahigh

  9. Online tuning of impedance matching circuit for long pulse inductively coupled plasma source operation—An alternate approach

    International Nuclear Information System (INIS)

    Sudhir, Dass; Bandyopadhyay, M.; Chakraborty, A.; Kraus, W.; Gahlaut, A.; Bansal, G.

    2014-01-01

    Impedance matching circuit between radio frequency (RF) generator and the plasma load, placed between them, determines the RF power transfer from RF generator to the plasma load. The impedance of plasma load depends on the plasma parameters through skin depth and plasma conductivity or resistivity. Therefore, for long pulse operation of inductively coupled plasmas, particularly for high power (∼100 kW or more) where plasma load condition may vary due to different reasons (e.g., pressure, power, and thermal), online tuning of impedance matching circuit is necessary through feedback. In fusion grade ion source operation, such online methodology through feedback is not present but offline remote tuning by adjusting the matching circuit capacitors and tuning the driving frequency of the RF generator between the ion source operation pulses is envisaged. The present model is an approach for remote impedance tuning methodology for long pulse operation and corresponding online impedance matching algorithm based on RF coil antenna current measurement or coil antenna calorimetric measurement may be useful in this regard

  10. High duty factor plasma generator for CERN's Superconducting Proton Linac.

    Science.gov (United States)

    Lettry, J; Kronberger, M; Scrivens, R; Chaudet, E; Faircloth, D; Favre, G; Geisser, J-M; Küchler, D; Mathot, S; Midttun, O; Paoluzzi, M; Schmitzer, C; Steyaert, D

    2010-02-01

    CERN's Linac4 is a 160 MeV linear accelerator currently under construction. It will inject negatively charged hydrogen ions into CERN's PS-Booster. Its ion source is a noncesiated rf driven H(-) volume source directly inspired from the one of DESY and is aimed to deliver pulses of 80 mA of H(-) during 0.4 ms at a 2 Hz repetition rate. The Superconducting Proton Linac (SPL) project is part of the luminosity upgrade of the Large Hadron Collider. It consists of an extension of Linac4 up to 5 GeV and is foreseen to deliver protons to a future 50 GeV synchrotron (PS2). For the SPL high power option (HP-SPL), the ion source would deliver pulses of 80 mA of H(-) during 1.2 ms and operate at a 50 Hz repetition rate. This significant upgrade motivates the design of the new water cooled plasma generator presented in this paper. Its engineering is based on the results of a finite element thermal study of the Linac4 H(-) plasma generator that identified critical components and thermal barriers. A cooling system is proposed which achieves the required heat dissipation and maintains the original functionality. Materials with higher thermal conductivity are selected and, wherever possible, thermal barriers resulting from low pressure contacts are removed by brazing metals on insulators. The AlN plasma chamber cooling circuit is inspired from the approach chosen for the cesiated high duty factor rf H(-) source operating at SNS.

  11. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  12. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  13. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  14. Arc generators of low-temperature plasma

    International Nuclear Information System (INIS)

    Krolikowski, Cz.; Niewiedzial, R.; Siwiec, J.

    1979-01-01

    This paper is a review of works concerning investigation and use of low-temperature plasma in arc plasma generators made in Electric Power Institute of PP. There are discussed: analytical approach to a problem of volt-current and operational characteristics of DC arc plasma generators, determination of limits of their stable work and possibilities of their use to technological aims. (author)

  15. Pulsed x-ray generation from a plasma focus device

    International Nuclear Information System (INIS)

    Zambra, M; Bruzzone, H; Sidelnikov, Y; Kies, W; Moreno, C; Sylvester, G; Silva, P; Moreno, J; Soto, L

    2003-01-01

    Dynamical pinches coupled to electrodes like the dense Z-pinch or the dense plasma focus have been intensively studied in the last four decades for their high fusion efficiency and their application potential. Though the expectations of the eighties of the last century, scaling these pinches up to fusion reactors, did not come true, the development of fast and powerful experiments resulted in new insights in pinch physics and paved the way for developing compact dynamical pinches as pulsed neutron and X-radiation sources for many applications. There is a permanent and growing interest in the research community for understanding and determining the generation properties of X-rays, neutrons and charged particles emitted from a high-temperature high-density plasmas, especially in the plasma focus configuration. The Plasma Physics and Plasma Technology Group of the CCHEN has developed the SPEED4 fast-plasma focus device, in collaboration with the Plasma Physics Group of the Dusseldorf University, in order to perform experimental studies such as X-ray and neutron emission, and electron and ion beam characterization (author)

  16. Self-consistent modeling of radio-frequency plasma generation in stellarators

    Energy Technology Data Exchange (ETDEWEB)

    Moiseenko, V. E., E-mail: moiseenk@ipp.kharkov.ua; Stadnik, Yu. S., E-mail: stadnikys@kipt.kharkov.ua [National Academy of Sciences of Ukraine, National Science Center Kharkov Institute of Physics and Technology (Ukraine); Lysoivan, A. I., E-mail: a.lyssoivan@fz-juelich.de [Royal Military Academy, EURATOM-Belgian State Association, Laboratory for Plasma Physics (Belgium); Korovin, V. B. [National Academy of Sciences of Ukraine, National Science Center Kharkov Institute of Physics and Technology (Ukraine)

    2013-11-15

    A self-consistent model of radio-frequency (RF) plasma generation in stellarators in the ion cyclotron frequency range is described. The model includes equations for the particle and energy balance and boundary conditions for Maxwell’s equations. The equation of charged particle balance takes into account the influx of particles due to ionization and their loss via diffusion and convection. The equation of electron energy balance takes into account the RF heating power source, as well as energy losses due to the excitation and electron-impact ionization of gas atoms, energy exchange via Coulomb collisions, and plasma heat conduction. The deposited RF power is calculated by solving the boundary problem for Maxwell’s equations. When describing the dissipation of the energy of the RF field, collisional absorption and Landau damping are taken into account. At each time step, Maxwell’s equations are solved for the current profiles of the plasma density and plasma temperature. The calculations are performed for a cylindrical plasma. The plasma is assumed to be axisymmetric and homogeneous along the plasma column. The system of balance equations is solved using the Crank-Nicholson scheme. Maxwell’s equations are solved in a one-dimensional approximation by using the Fourier transformation along the azimuthal and longitudinal coordinates. Results of simulations of RF plasma generation in the Uragan-2M stellarator by using a frame antenna operating at frequencies lower than the ion cyclotron frequency are presented. The calculations show that the slow wave generated by the antenna is efficiently absorbed at the periphery of the plasma column, due to which only a small fraction of the input power reaches the confinement region. As a result, the temperature on the axis of the plasma column remains low, whereas at the periphery it is substantially higher. This leads to strong absorption of the RF field at the periphery via the Landau mechanism.

  17. Properties of spheromaks generated by a magnetized coaxial source

    International Nuclear Information System (INIS)

    Hoida, H.W.; Henins, I.; Jarboe, T.R.; Linford, R.K.; Lipson, J.; Marshall, J.; Platts, D.A.; Sherwood, A.R.; Tuszewski, M.

    1981-01-01

    In gun-generated spheromaks impurity contamination plays an important role in determining the energy loss. Metallic impurities can be reduced by an appropriate change of source parameters. The reduction of the level of metal impurities results in a spectrum showing a preponderance of oxygen and carbon lines and OIV radiation is observed to increase indicating a warmer plasma. However, the plasma lifetime is not changed. Discharge cleaning techniques appear to be necessary. It is still possible that electron heat conduction during the reconnection processs will be found to be important once the impurities are reduced

  18. Properties of spheromaks generated by a magnetized coaxial source

    Energy Technology Data Exchange (ETDEWEB)

    Hoida, H.W.; Henins, I.; Jarboe, T.R.; Linford, R.K.; Lipson, J.; Marshall, J.; Platts, D.A.; Sherwood, A.R.; Tuszewski, M.

    1981-01-01

    In gun-generated spheromaks impurity contamination plays an important role in determining the energy loss. Metallic impurities can be reduced by an appropriate change of source parameters. The reduction of the level of metal impurities results in a spectrum showing a preponderance of oxygen and carbon lines and OIV radiation is observed to increase indicating a warmer plasma. However, the plasma lifetime is not changed. Discharge cleaning techniques appear to be necessary. It is still possible that electron heat conduction during the reconnection processs will be found to be important once the impurities are reduced.

  19. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  20. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  1. Simulation Study of an Extended Density DC Glow Toroidal Plasma Source

    International Nuclear Information System (INIS)

    Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Benitez-Read, J. S.; Pacheco-Sotelo, J. O.; Pena-Eguiluz, R.; Mercado-Cabrera, A.; Valencia A, R.; Barocio, S. R.

    2006-01-01

    Conventional wisdom assigns the DC glow discharge regime to plasma currents below ∼500 mA values, beyond which the discharge falls into the anomalous glow and the turbulent arc regimes. However, we have found evidence that, during toroidal discharges, this barrier can be ostensibly extended up to 800 mA. Thus, a computer simulation has been applied to the evolution of the main electrical characteristics of such a glow discharge plasma in a toroidal vessel in order to design and construct a respective voltage/current controlled source. This should be able to generate a DC plasma in the glow regime with which currents in the range 10-3-100 A can be experimented and 109-1010 cm-3 plasma densities can be achieved to PIII optimization purposes. The plasma is modelled as a voltage-controlled current source able to be turned on whenever the breakdown voltage is reached across the gap between the anode and the vessel wall. The simulation outcome fits well our experimental measurements showing that the plasma current obeys power laws that are dependent on the power current and other control variables such as the gas pressure

  2. Grid system design on the plasma cathode electron source

    International Nuclear Information System (INIS)

    Agus Purwadi

    2014-01-01

    It has been designed the grid system on the Plasma Cathode Electron Source (PCES). Grid system with the electron emission hole of (15 x 60) cm 2 , the single aperture grid size of (0,5 x O,5) mm 2 and the grid wire diameter of 0,25 mm, will be used on the plasma generator chamber. If the sum of grid holes known and the value of electron emission current through every the grid hole known too then the total value of electron emission Current which emits from the plasma generator chamber can be determined It has been calculated the value of electron emission current I e as function of the grid radius r e =(0.28, 0.40, 0.49, 0.56, 0.63, 0.69) mm on the electron temperature of T e = 5 eV for varying of the value plasma electron densities n e = (10 15 , 10 16 , 10 17 , 10 18 ) m -3 . Also for the value of electron emission current fe as function of the grid radius r e = (0.28, 0.40, 0.49. 0.56, 0.63,0.69) mm on the electron density n e = 10 17 m -3 for varying of the value of plasma electron temperatures T e = (1, 2, 3, 4, 5) eV. electron emission current will be increase by increasing grid radius, electron temperature as well as plasma electron density. (author)

  3. 21 CFR 640.74 - Modification of Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Modification of Source Plasma. 640.74 Section 640...) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.74 Modification of Source Plasma. (a) Upon approval by the Director, Center for Biologics Evaluation and Research, Food and...

  4. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  5. V-I curves and plasma parameters in a high density DC glow discharge generated by a current-source

    International Nuclear Information System (INIS)

    Granda-Gutierrez, E E; Lopez-Callejas, R; Piedad-Beneitez, A de la; BenItez-Read, J S; Pacheco-Sotelo, J O; Pena-Eguiluz, R; A, R Valencia; Mercado-Cabrera, A; Barocio, S R

    2008-01-01

    Nitrogen DC glow discharges, conducted in a cylindrical geometry, have been characterized using a new current-source able to provide 10 -3 - 3 A for the sustainment of the discharge, instead of a conventional voltage-source. The V-I characteristic curves obtained from these discharges were found to fit the general form i(v) = A(p)v k(p) , whereby the plasma itself can be modeled as a voltage-controlled current-source. We conclude that the fitting parameters A and k, which mainly depend on the gas pressure p, are strongly related to the plasma characteristics, so much so that they can indicate the pressure interval in which the maximum plasma density is located, with values in the order of 10 16 m -3 at reduced discharge potential (300-600 V) and low working pressure (10 -1 - 10 1 Pa)

  6. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  7. Nonthermal Argon Plasma Generator and Some Potential Applications

    Directory of Open Access Journals (Sweden)

    Bunoiu M.

    2015-12-01

    Full Text Available A laboratory - made nonthermal plasma generator is presented. It has a diameter of 0.020 m and length of 0.155 m and contains two electrodes. The first electrode is a 2% Th-W alloy, 0.002 m in diameter bar, centred inside the generator’s body by means of a four channel teflon piece; the other three channels, 0.003 m in diameter, are used for Ar supply. The second electrode is a nozzle of 0.002 m - 0.008 m diameter and 0.005m length. A ~500 kV/m electric field is generated between the two electrodes by a high frequency source (13.56 MHz ±5%, equipped with a OT-1000 (Tungsram power triode. For Ar flows ranging from 0.00008 m3/s to 0.00056 m3/s, a plasma jet of length not exceeding 0.015 m and temperature below 315 K is obtained. Anthurium andraeanumis sample , blood matrix, human hair and textile fibers may be introduced in the plasma jet. For time periods of 30 s and 60 s, various effects like, cell detexturization, fast blood coagulation or textile fiber or hair cleaning and smoothing are obtained. These effects are presented and discussed in the paper.

  8. High duty factor Plasma Generator for CERN’s Superconducting Proton Linac

    CERN Document Server

    Lettry, J; Scrivens, R; Chaudet, E; Faircloth, D; Favre, G; Geisser, JM; Kuchler, D; Mathot, S; Midttun, O; Paoluzzi, M; Schmitzer, C; Steyaert, D

    2010-01-01

    CERN’s Linac4 is a 160 MeV linear accelerator currently under construction. It will inject negatively charged hydrogen ions into CERN’s PS-Booster. Its ion source is a non-cesiated RF driven H- volume source directly inspired from the one of DESY and is aimed to deliver pulses of 80 mA of H- during 0.4 ms at a 2 Hz repetition rate. The Superconducting Proton Linac (SPL) project is part of the luminosity upgrade of the LHC, it consists of an extension of Linac4 up to 5 GeV and is foreseen to deliver protons to a future 50 GeV Synchrotron (PS2). For the SPL high power option (HP-SPL), the ion source would deliver pulses of 80 mA of H- during 1.2 ms and operate at a 50 Hz repetition rate. This significant upgrade motivates the design of the new water cooled plasma generator presented in this paper. Its engineering is based on the results of a finite element thermal study of the Linac4 H- plasma generator that identified critical components and thermal barriers. A cooling system is proposed which achieves the...

  9. Physics of the Advanced Plasma Source: a review of recent experimental and modeling approaches

    International Nuclear Information System (INIS)

    Brinkmann, R P; Schröder, B; Lapke, M; Storch, R; Styrnoll, T; Awakowicz, P; Harhausen, J; Foest, R; Hannemann, M; Loffhagen, D; Ohl, A

    2016-01-01

    The Advanced Plasma Source (APS), a gridless hot cathode glow discharge capable of generating an ion beam with an energy of up to 150 eV and a flux of 10 19 s −1 , is a standard industrial tool for the process of plasma ion-assisted deposition (PIAD). This manuscript details the results of recent experimental and modeling work aimed at a physical understanding of the APS. A three-zone model is proposed which consists of (i) the ionization zone (the source itself) where the plasma is very dense, hot, and has a high ionization rate, (ii) the acceleration zone (of  ∼20 cm extension) where a strong outward-directed electric field accelerates the primary ions to a high kinetic energy, and (iii) a drift zone (the rest of the process chamber) where the emerging plasma beam is further modified by resonant charge exchange collisions that neutralize some of the energetic ions and generate, at the same time, a flux of slow ions. (paper)

  10. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  11. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  12. Modeling and experiments on differential pumping in linear plasma generators operating at high gas flows

    NARCIS (Netherlands)

    Eck, van H.J.N.; Koppers, W.R.; Rooij, van G.J.; Goedheer, W.J.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.; Kleyn, A.W.

    2009-01-01

    The direct simulation Monte Carlo (DSMC) method was used to investigate the efficiency of differential pumping in linear plasma generators operating at high gas flows. Skimmers are used to separate the neutrals from the plasma beam, which is guided from the source to the target by a strong axial

  13. Plasma ignition and steady state simulations of the Linac4 H$^{-}$ ion source

    CERN Document Server

    Mattei, S; Yasumoto, M; Hatayama, A; Lettry, J; Grudiev, A

    2014-01-01

    The RF heating of the plasma in the Linac4 H- ion source has been simulated using an Particle-in-Cell Monte Carlo Collision method (PIC-MCC). This model is applied to investigate the plasma formation starting from an initial low electron density of 1012 m-3 and its stabilization at 1018 m-3. The plasma discharge at low electron density is driven by the capacitive coupling with the electric field generated by the antenna, and as the electron density increases the capacitive electric field is shielded by the plasma and induction drives the plasma heating process. Plasma properties such as e-/ion densities and energies, sheath formation and shielding effect are presented and provide insight to the plasma properties of the hydrogen plasma.

  14. Color Developing Capacity of Plasma-treated Water as a Source of Nitrite for Meat Curing.

    Science.gov (United States)

    Jung, Samooel; Kim, Hyun Joo; Park, Sanghoo; Yong, Hae In; Choe, Jun Ho; Jeon, Hee-Joon; Choe, Wonho; Jo, Cheorun

    2015-01-01

    The interaction of plasma with liquid generates nitrogen species including nitrite (NO(-) 2). Therefore, the color developing capacity of plasma-treated water (PTW) as a nitrite source for meat curing was investigated in this study. PTW, which is generated by surface dielectric barrier discharge in air, and the increase of plasma treatment time resulted in increase of nitrite concentration in PTW. The PTW used in this study contains 46 ppm nitrite after plasma treatment for 30 min. To evaluate the effect of PTW on the cured meat color, meat batters were prepared under three different conditions (control, non-cured meat batter; PTW, meat batter cured with PTW; Sodium nitrite, meat batter cured with sodium nitrite). The meat batters were vacuum-packaged and cooked in a water-bath at 80℃ for 30 min. The typical color of cured meat developed in cooked meat batter treated with sodium nitrite or PTW. The lightness (L*) and yellowness (b*) values were similar in all conditions, whereas, the redness (a*) values of cooked meat batter with PTW and sodium nitrite (pnitrite source in the curing process of meat without addition of other nitrite sources.

  15. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  16. Electromagnetic radiation generated by arcing in low density plasma

    Science.gov (United States)

    Vayner, Boris V.; Ferguson, Dale C.; Snyder, David B.; Doreswamy, C. V.

    1996-01-01

    An unavoidable step in the process of space exploration is to use high-power, very large spacecraft launched into Earth orbit. Obviously, the spacecraft will need powerful energy sources. Previous experience has shown that electrical discharges occur on the surfaces of a high-voltage array, and these discharges (arcs) are undesirable in many respects. Moreover, any high voltage conductor will interact with the surrounding plasma, and that interaction may result in electrical discharges between the conductor and plasma (or between two conductors with different potentials, for example, during docking and extravehicular activity). One very important aspect is the generation of electromagnetic radiation by arcing. To prevent the negative influence of electromagnetic noise on the operation of spacecraft systems, it seems necessary to determine the spectra and absolute levels of the radiation, and to determine limitations on the solar array bias voltage that depend on the parameters of LEO plasma and the technical requirements of the spacecraft equipment. This report describes the results of an experimental study and computer simulation of the electromagnetic radiation generated by arcing on spacecraft surfaces. A large set of high quality data was obtained during the Solar Array Module Plasma Interaction Experiment (SAMPIE, flight STS-62) and ground test. These data include the amplitudes of current, pulse forms, duration of each arc, and spectra of plasma waves. A theoretical explanation of the observed features is presented in this report too. The elaborated model allows us to determine the parameters of the electromagnetic noise for different frequency ranges, distances from the arcing site, and distinct kinds of plasma waves.

  17. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  18. Beat-wave generation of plasmons in semiconductor plasmas

    International Nuclear Information System (INIS)

    Berezhiani, V.I.; Mahajan, S.M.

    1995-08-01

    It is shown that in semiconductor plasmas, it is possible to generate large amplitude plasma waves by the beating of two laser beams with frequency difference close to the plasma frequency. For narrow gap seimconductors (for example n-type InSb), the system can simulate the physics underlying beat wave generation in relativistic gaseous plasmas

  19. Calibrated kallikrein generation in human plasma

    DEFF Research Database (Denmark)

    Biltoft, D; Sidelmann, J J; Olsen, L F

    2016-01-01

    generation method as a template. RESULTS: A suitable kallikrein specific fluorogenic substrate was identified (KM=0.91mM, kcat=19s(-1)), and kallikrein generation could be measured in undiluted plasma when silica was added as activator. Disturbing effects, including substrate depletion and the inner......-filter effect, however, affected the signal. These problems were corrected for by external calibration with α2-macroglobulin-kallikrein complexes. Selectivity studies of the substrate, experiments with FXII and PK depleted plasmas, and plasma with high or low complement C1-esterase inhibitor activity indicated...

  20. Characterization of the pulse plasma source

    International Nuclear Information System (INIS)

    Milosavljevic, V; Karkari, S K; Ellingboe, A R

    2007-01-01

    Characterization of the pulse plasma source through the determination of the local thermodynamic equilibrium (LTE) threshold is described. The maximum electron density measured at the peak in discharge current is determined by the width of the He II Paschen alpha spectral line, and the electron temperature is determined from the ratios of the relative intensities of spectral lines emitted from successive ionized stages of atoms. The electron density and temperature maximum values are measured to be 1.3 x 10 17 cm -3 and 19 000 K, respectively. These are typical characteristics for low-pressure, pulsed plasma sources for input energy of 15.8 J at 130 Pa pressure in helium-argon mixture. The use of LTE-based analysis of the emission spectra is justified by measurement of the local plasma electron density at four positions in the discharge tube using a floating hairpin resonance probe. The hairpin resonance probe data are collected during the creation and decay phases of the pulse. From the spatio-temporal profile of the plasma density a 60 μs time-window during which LTE exists throughout the entire plasma source is determined

  1. Beat-wave generation of plasmons in semiconductor plasmas

    International Nuclear Information System (INIS)

    Berezhiani, V.I.; Mahajan, S.M.

    1995-08-01

    It is shown that in semiconductor plasmas, it is possible to generate large amplitude plasma waves by the beating of two laser beams with frequency difference close to the plasma frequency. For narrow gap semiconductor (for example n-type InSb), the system can simulate the physics underlying beat wave generation in relativistic gaseous plasmas. (author). 7 refs

  2. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  3. 21 CFR 640.64 - Collection of blood for Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Collection of blood for Source Plasma. 640.64... (CONTINUED) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.64 Collection of blood for Source Plasma. (a) Supervision. All blood for the collection of Source Plasma shall...

  4. Optical plasma torch electron bunch generation in plasma wakefield accelerators

    Directory of Open Access Journals (Sweden)

    G. Wittig

    2015-08-01

    Full Text Available A novel, flexible method of witness electron bunch generation in plasma wakefield accelerators is described. A quasistationary plasma region is ignited by a focused laser pulse prior to the arrival of the plasma wave. This localized, shapeable optical plasma torch causes a strong distortion of the plasma blowout during passage of the electron driver bunch, leading to collective alteration of plasma electron trajectories and to controlled injection. This optically steered injection is more flexible and faster when compared to hydrodynamically controlled gas density transition injection methods.

  5. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Science.gov (United States)

    Kuroda, Yusuke; Yamamoto, Akiko; Kuwabara, Hajime; Nakajima, Mitsuo; Kawamura, Tohru; Horioka, Kazuhiko

    2013-11-01

    A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  6. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Directory of Open Access Journals (Sweden)

    Kuroda Yusuke

    2013-11-01

    Full Text Available A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  7. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  8. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2015-10-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric.

  9. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    International Nuclear Information System (INIS)

    Fubiani, G; Boeuf, J P

    2015-01-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric. (paper)

  10. The inductively coupled plasma as a source for the measurement of fundamental spectroscopic constants

    International Nuclear Information System (INIS)

    Farnsworth, P.B.

    1993-01-01

    Inductively coupled plasmas (ICPs) are stable, robust sources for the generation of spectra from neutral and singly ionized atoms. They are used extensively for analytical spectrometry, but have seen limited use for the measurement of fundamental spectroscopic constants. Several properties of the ICP affect its suitability for such fundamental measurements. They include: spatial structure, spectral background, noise characteristics, electron densities and temperatures, and the state of equilibrium in the plasma. These properties are particularly sensitive to the means by which foreign atoms are introduced into the plasma. With some departures from the operating procedures normally used in analytical measurements, the ICP promise to be a useful source for the measurement of fundamental atomic constants. (orig.)

  11. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  12. D.C.-arc plasma generator for nonequilibrium plasmachemical processes

    International Nuclear Information System (INIS)

    Kvaltin, J.

    1990-06-01

    The analysis is made of the conditions for generation of nonequilibrium plasma in a plasmachemical reactor, and the design is described of a d.c. arc plasma generator based on the integral criterion. Results of measuring potentials on the plasma column of this generator are presented. (author)

  13. Resonant and hollow beam generation of plasma channels

    International Nuclear Information System (INIS)

    Alexeev, I.; Kim, K.Y.; Fan, J.; Parra, E.; Milchberg, H.M.; Margolin, L.Ya.; Pyatnitskii, L.N.

    2001-01-01

    We report two variations on plasma channel generation using the propagation of intense Bessel beams. In the first experiment, the propagation of a high intensity Bessel beam in neutral gas is observed to give rise to resonantly enhanced plasma channel generation, resulting from resonant self-trapping of the beam and enhanced laser-plasma heating. In the second experiment, a high power, hollow Bessel beam (J 5 ) is produced and the optical breakdown of a gas target and the generation of a tubular plasma channel with such a beam is realized for the first time. Hydrodynamic simulations of the laser-plasma interaction of are in good agreement with the results of both experiments

  14. The ARC-EN-CIEL French 4th Generation Light Source

    International Nuclear Information System (INIS)

    Bruni, C.; Couprie, M. E.; Chubar, O.; Loulergue, A.; Nahon, L.; Carre, B.; Garzella, D.; Labat, M.; Lambert, G.; Monot, P.; Jablonka, M.; Meot, F.; Mosnier, A.; Marques, J. R.; Ortega, J. M.; Nutarelli, D.

    2007-01-01

    ARC-EN-CIEL (Accelerator-Radiation Complex for Enhanced Coherent Intense Extended Light) proposal is based on a CW 1 GeV superconducting linear accelerator delivering high charge, subpicosecond, low emittance electron bunches with a high repetition rate (1 kHz). The FEL uses High Harmonics Generation in gases in a High Gain Harmonic Generation scheme, leading to a rather compact solution. The radiation extends down to 0.8 nm with the non-linear harmonics and reproduces the good longitudinal and transverse coherence of the harmonics generated in gas. Optional beam loops, foreseen to increase the beam current or the energy, will accommodate infrared CSR source, femtosecond undulator sources in the VUV and X-ray ranges, and a FEL oscillator in the 10 nm range. An important synergy is expected between accelerator and laser communities, in particular for electron plasma acceleration tests

  15. Characterization of a Distributed Plasma Ionization Source (DPIS) for Ion Mobility Spectrometry and Mass Spectrometry

    International Nuclear Information System (INIS)

    Waltman, Melanie J.; Dwivedi, Prabha; Hill, Herbert; Blanchard, William C.; Ewing, Robert G.

    2008-01-01

    A recently developed atmospheric pressure ionization source, a distributed plasma ionization source (DPIS), was characterized and compared to commonly used atmospheric pressure ionization sources with both mass spectrometry and ion mobility spectrometry. The source consisted of two electrodes of different sizes separated by a thin dielectric. Application of a high RF voltage across the electrodes generated plasma in air yielding both positive and negative ions depending on the polarity of the applied potential. These reactant ions subsequently ionized the analyte vapors. The reactant ions generated were similar to those created in a conventional point-to-plane corona discharge ion source. The positive reactant ions generated by the source were mass identified as being solvated protons of general formula (H2O)nH+ with (H2O)2H+ as the most abundant reactant ion. The negative reactant ions produced were mass identified primarily as CO3-, NO3-, NO2-, O3- and O2- of various relative intensities. The predominant ion and relative ion ratios varied depending upon source construction and supporting gas flow rates. A few compounds including drugs, explosives and environmental pollutants were selected to evaluate the new ionization source. The source was operated continuously for several months and although deterioration was observed visually, the source continued to produce ions at a rate similar that of the initial conditions. The results indicated that the DPIS may have a longer operating life than a conventional corona discharge.

  16. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  17. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  18. Inductive plasma source for the ion treatment of AISI-304 SS

    International Nuclear Information System (INIS)

    Piedad-Beneitez, A de la; Lopez-Callejas, R; Granda-Gutierrez, E E; Rodriguez-Mendez, B G; Perez-Martinez, J A; Flores-Fuentes, A A; Valencia-Alvarado, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E

    2008-01-01

    The design and construction of a simple inductive plasma source is described as constituted by an evacuated Pyrex glass cylinder reactor with 190 mm inner diameter and 500 mm length. This discharge vessel is coaxially surrounded by a cylindrically wound antenna, 240 mm in diameter, made of 3.2 mm wide copper wire. The antenna is supplied by a 13.56 MHz RF generator whose resulting electric field is able to create the plasma. When nitrogen is admitted to the vessel, the plasma generation takes place within the 0.1-50 Pa work pressure and 300-600 W RF power. The plasma density has been established by double Langmuir probes between 3.2 x 10 15 and 2.4 x 10 18 m -3 . This inductive plasma set up is meant to modify the surface of AISI-304 stainless steel by means of ion deposition, thanks to the sample bias provided by an external - 400 V dc supply, in order to improve the steel hardness without compromising its corrosion resistance. Once accelerated by the negative bias, the plasma ions impinge on the sample nitriding it by diffusion. The treated samples were characterized by x-ray diffraction (XRD) indicating the formation of the expanded gamma phase, by scanning electron microscopy (SEM) providing the atomic percentages of nitrogen, and by microhardness (HV) measurement.

  19. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  20. Numerical study of plasma generation process and internal antenna heat loadings in J-PARC RF negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Shibata, T., E-mail: shibat@post.j-parc.jp; Ueno, A.; Oguri, H.; Ohkoshi, K.; Ikegami, K.; Takagi, A.; Asano, H.; Naito, F. [J-PARC Center, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Nishida, K.; Mochizuki, S.; Hatayama, A. [Keio University, Hiyoshi, Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan); Mattei, S.; Lettry, J. [European Organization for Nuclear Research (CERN), 1211 Geneva 23 (Switzerland)

    2016-02-15

    A numerical model of plasma transport and electromagnetic field in the J-PARC (Japan Proton Accelerator Research Complex) radio frequency ion source has been developed to understand the relation between antenna coil heat loadings and plasma production/transport processes. From the calculation, the local plasma density increase is observed in the region close to the antenna coil. Electrons are magnetized by the magnetic field line with absolute magnetic flux density 30–120 Gauss which leads to high local ionization rate. The results suggest that modification of magnetic configuration can be made to reduce plasma heat flux onto the antenna.

  1. Iron plasma generation using a Nd:YAG laser pulse of several hundred picoseconds

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Jun, E-mail: jtamura@post.j-parc.jp [J-PARC Center, Japan Atomic Energy Agency, Ibaraki 319-1195 (Japan); Kumaki, Masafumi [Research Institute for Science and Engineering, Waseda University, Tokyo 169-8555 (Japan); Kondo, Kotaro [Research Laboratory for Nuclear Reactors, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Kanesue, Takeshi; Okamura, Masahiro [Collider-Accelerator Department, Brookhaven National Laboratory, Upton, New York 11973 (United States)

    2016-02-15

    We investigated the high intensity plasma generated by using a Nd:YAG laser to apply a laser-produced plasma to the direct plasma injection scheme. The capability of the source to generate high charge state ions strongly depends on the power density of the laser irradiation. Therefore, we focused on using a higher power laser with several hundred picoseconds of pulse width. The iron target was irradiated with the pulsed laser, and the ion current of the laser-produced iron plasma was measured using a Faraday cup and the charge state distribution was investigated using an electrostatic ion analyzer. We found that higher charge state iron ions (up to Fe{sup 21+}) were obtained using a laser pulse of several hundred picoseconds in comparison to those obtained using a laser pulse of several nanoseconds (up to Fe{sup 19+}). We also found that when the laser irradiation area was relatively large, the laser power was absorbed mainly by the contamination on the target surface.

  2. Spectral characteristics of a relativistic plasma microwave generator

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Ponomarev, A.V.; Rukhadze, A.A.; Strelkov, P.S.; Ul'yanov, D.K.; Shkvarunets, A.G.

    1996-01-01

    The radiation spectrum of a broad-band relativistic plasma microwave generator, in which a hollow relativistic electron beam is injected into a plasma waveguide consisting of a hollow plasma within a round metallic waveguide is measured experimentally. The radiation spectrum is measured using a wide-aperture calorimetric spectrometer in the frequency range 3-32 GHz. The influence of the plasma density and the beam-plasma gap on the radiation spectrum is investigated. The amplification of the noise electromagnetic radiation when a relativistic electron beam is injected into the plasma waveguide is calculated on the basis of the nonlinear theory. The theory predicts passage from a one-particle generation regime to a collective regime and narrowing of the radiation spectrum as the plasma density and the gap between the hollow beam and the plasma increases. A comparison of the measurement results with the nonlinear theory accounts for several features of the measured spectrum. However, the predicted change in the generation regimes is not observed experimentally. Qualitative arguments are advanced, which explain the observed phenomena and call for further theoretical and experimental research, are advanced

  3. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  4. Pulsed Electron Source with Grid Plasma Cathode and Longitudinal Magnetic Field for Modification of Material and Product Surfaces

    Science.gov (United States)

    Devyatkov, V. N.; Koval, N. N.

    2018-01-01

    The description and the main characteristics of the pulsed electron source "SOLO" developed on the basis of the plasma cathode with grid stabilization of the emission plasma boundary are presented. The emission plasma is generated by a low-pressure arc discharge, and that allows to form the dense low-energy electron beam with a wide range of independently adjustable parameters of beam current pulses (pulse duration of 20-250 μs, pulse repetition rate of 1-10 s-1, amplitude of beam current pulses of 20-300 A, and energy of beam electrons of 5-25 keV). The special features of generation of emission plasma by constricted low-pressure arc discharge in the grid plasma cathode partially dipped into a non-uniform magnetic field and of formation and transportation of the electron beam in a longitudinal magnetic field are considered. The application area of the electron source and technologies realized with its help are specified.

  5. Design and development of a low cost, high current density power supply for streamer free atmospheric pressure DBD plasma generation in air.

    Science.gov (United States)

    Jain, Vishal; Visani, Anand; Srinivasan, R; Agarwal, Vivek

    2018-03-01

    This paper presents a new power supply architecture for generating a uniform dielectric barrier discharge (DBD) plasma in air medium at atmospheric pressure. It is quite a challenge to generate atmospheric pressure uniform glow discharge plasma, especially in air. This is because air plasma needs very high voltage for initiation of discharge. If the high voltage is used along with high current density, it leads to the formation of streamers, which is undesirable for most applications like textile treatment, etc. Researchers have tried to generate high-density plasma using a RF source, nanosecond pulsed DC source, and medium frequency AC source. However, these solutions suffer from low current discharge and low efficiency due to the addition of an external resistor to control the discharge current. Moreover, they are relatively costly and bulky. This paper presents a new power supply configuration which is very compact and generates high average density (∼0.28 W/cm 2 ) uniform glow DBD plasma in air at atmospheric pressure. The efficiency is also higher as no external resistor is required to control the discharge current. An inherent feature of this topology is that it can drive higher current oscillations (∼50 A peak and 2-3 MHz frequency) into the plasma that damp out due to the plasma dissipation only. A newly proposed model has been used with experimental validation in this paper. Simulations and experimental validation of the proposed topology are included. Also, the application of the generated plasma for polymer film treatment is demonstrated.

  6. Femtosecond laser-plasma interaction with prepulse-generated liquid metal microjets

    International Nuclear Information System (INIS)

    Uryupina, D. S.; Ivanov, K. A.; Savel'ev, A. B.; Volkov, R. V.; Brantov, A. V.; Bychenkov, V. Yu.; Povarnitsyn, M. E.; Tikhonchuk, V. T.

    2012-01-01

    Ultrashort laser pulse interaction with a microstructured surface of a melted metal is a promising source of hard x-ray radiation. Microstructuring is achieved by a weak prepulse that produces narrow high-density microjets. As an x-ray source, the interaction of the main laser pulse with such jets is shown to be nearly two orders of magnitude more efficient than the interaction with ordinary metal targets. This paper presents the results of optical and x-ray studies of laser-plasma interaction physics under such conditions supported by numerical simulations of microjet formation and fast-electron generation.

  7. Femtosecond laser-plasma interaction with prepulse-generated liquid metal microjets

    Energy Technology Data Exchange (ETDEWEB)

    Uryupina, D. S.; Ivanov, K. A.; Savel' ev, A. B.; Volkov, R. V. [Faculty of Physics and International Laser Center of M.V. Lomonosov Moscow State University, 119991 Moscow, Leninskie Gory (Russian Federation); Brantov, A. V.; Bychenkov, V. Yu. [P. N. Lebedev Physical Institute, Russian Academy of Sciences, 119991 Moscow (Russian Federation); Povarnitsyn, M. E. [Joint Institute for High Temperatures, Russian Academy of Sciences, 125412 Moscow (Russian Federation); Tikhonchuk, V. T. [CELIA, University of Bordeaux - CNRS - CEA, 33405 Talence (France)

    2012-01-15

    Ultrashort laser pulse interaction with a microstructured surface of a melted metal is a promising source of hard x-ray radiation. Microstructuring is achieved by a weak prepulse that produces narrow high-density microjets. As an x-ray source, the interaction of the main laser pulse with such jets is shown to be nearly two orders of magnitude more efficient than the interaction with ordinary metal targets. This paper presents the results of optical and x-ray studies of laser-plasma interaction physics under such conditions supported by numerical simulations of microjet formation and fast-electron generation.

  8. Generation of atto-second pulses on relativistic mirror plasma

    International Nuclear Information System (INIS)

    Vincenti, H.

    2012-12-01

    When an ultra intense femtosecond laser (I > 10 16 W.cm -2 ) with high contrast is focused on a solid target, the laser field at focus is high enough to completely ionize the target surface during the rising edge of the laser pulse and form a plasma. This plasma is so dense (the electron density is of the order of hundred times the critical density) that it completely reflects the incident laser beam in the specular direction: this is the so-called 'plasma mirror'. When laser intensity becomes very high, the non-linear response of the plasma mirror to the laser field periodically deforms the incident electric field leading to high harmonic generation in the reflected beam. In the temporal domain this harmonic spectrum is associated to a train of atto-second pulses. The goals of my work were to get a better comprehension of the properties of harmonic beams produced on plasma mirrors and design new methods to control theses properties, notably in order to produce isolated atto-second pulses instead of trains. Initially, we imagined and modeled the first realistic technique to generate isolated atto-second on plasma mirrors. This brand new approach is based on a totally new physical effect: 'the atto-second lighthouse effect'. Its principle consists in sending the atto-second pulses of the train in different directions and selects one of these pulses by putting a slit in the far field. Despite its simplicity, this technique is very general and applies to any high harmonic generation mechanism. Moreover, the atto-second lighthouse effect has many other applications (e.g in metrology). In particular, it paves the way to atto-second pump-probe experiments. Then, we studied the spatial properties of these harmonics, whose control and characterization are crucial if one wants to use this source in future application experiments. For instance, we need to control very precisely the harmonic beam divergence in order to achieve the atto-second lighthouse effect and get

  9. Performance of a plasma opening switch in positive polarity on Gamble I using flashboard plasma sources

    International Nuclear Information System (INIS)

    Renk, T.J.

    1995-01-01

    The successful development of the Plasma Opening Switch (POS) for inductive storage applications has been largely confined to negative polarity operation. Some models of POS behavior suggest that this is because in a positive polarity coaxial configuration, the weaker magnetic field at the cathode position retards the switch opening process. This article describes experiments in which both conductor radii in the POS region were significantly reduced. Anode- and cathode-side current monitors indicate that voltages greater than open-circuit are generated at the POS position, but there is a significant amount of electron flow out of the POS, depending upon load impedance. Flow impedance analysis indicates that a relatively small gap appears in the POS plasma after switch opening. Switch performance is also compared between flashboard and carbon gun plasma sources, with the latter operated both in positive and negative polarity

  10. Hollow laser plasma self-confined microjet generation

    Science.gov (United States)

    Sizyuk, Valeryi; Hassanein, Ahmed; CenterMaterials under Extreme Environment Team

    2017-10-01

    Hollow laser beam produced plasma (LPP) devices are being used for the generation of the self-confined cumulative microjet. Most important place by this LPP device construction is achieving of an annular distribution of the laser beam intensity by spot. An integrated model is being developed to detailed simulation of the plasma generation and evolution inside the laser beam channel. The model describes in two temperature approximation hydrodynamic processes in plasma, laser absorption processes, heat conduction, and radiation energy transport. The total variation diminishing scheme in the Lax-Friedrich formulation for the description of plasma hydrodynamic is used. Laser absorption and radiation transport models on the base of Monte Carlo method are being developed. Heat conduction part on the implicit scheme with sparse matrixes using is realized. The developed models are being integrated into HEIGHTS-LPP computer simulation package. The integrated modeling of the hollow beam laser plasma generation showed the self-confinement and acceleration of the plasma microjet inside the laser channel. It was found dependence of the microjet parameters including radiation emission on the hole and beam radiuses ratio. This work is supported by the National Science Foundation, PIRE project.

  11. Novel methods of ozone generation by micro-plasma concept

    Energy Technology Data Exchange (ETDEWEB)

    Fateev, A.; Chiper, A.; Chen, W.; Stamate, E.

    2008-02-15

    The project objective was to study the possibilities for new and cheaper methods of generating ozone by means of different types of micro-plasma generators: DBD (Dielectric Barrier Discharge), MHCD (Micro-Hollow Cathode Discharge) and CPED (Capillary Plasma Electrode Discharge). This project supplements another current project where plasma-based DeNOx is being studied and optimised. The results show potentials for reducing ozone generation costs by means of micro-plasmas but that further development is needed. (ln)

  12. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  13. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  14. Pulsed neutron generators based on plasma focus devices of low energy

    International Nuclear Information System (INIS)

    Silva, Patricio; Moreno, Jose; Soto, Leopoldo

    2003-01-01

    The plasma focus is a pulsed neutron source especially suited for applications because it reduces the danger of contamination of conventional isotopic radioactive sources. As first stage of a program to design a repetitive pulsed neutron generator for industrial applications we constructed two very small plasma focus operating at an energy level of the order of a) tens of joules (PF-50J, 160nF capacitor bank, 20-35 kV, 32-100J, ∼150ns first quarter of period) and b) hundred of joules (PF-400J, 880nF, 20-35kV, 176-539J, ∼300ns first quarter of period). In this article we present results related to design and construction of these small plasma foci (PF-50J and PF-400J). Neutron yield vs. deuterium. pressure has been obtained, a maximum emission of the order of 7x10 4 and 10 6 neutrons per shot has been measured in the PF-50J and PF-400J respectively (author)

  15. Construction of a high beta plasma source

    International Nuclear Information System (INIS)

    Naraghi, M.; Torabi-Fard, A.

    1976-02-01

    A high beta plasma source has been designed and constructed. This source will serve as a means of developing and exercising different diagnostic techniques as required for ALVAND I, linear theta pinch experiment. Also, it will serve to acquaint the technicians with some of the techniques and safety rules of high voltage and capacitor discharge experiments. The operating parameters of the theta pinch and Z-pinch preionization is presented and the program of diagnostic measurements on the high beta plasma source is discussed

  16. The low-current low-temperature plasma generators

    International Nuclear Information System (INIS)

    Dautov, G.Yu.

    2000-01-01

    In this article, the results of low-current gas-discharge plasma generator investigations carried out by a group of scientists from the Kazan' Aviation Institute are presented. When considered necessary, the results are compared with the data obtained by other authors. The basic configurations and theoretical calculation peculiarities of plasma generators are described. The electrical, thermal and energy characteristics of discharges in gas flows, as well as summarised empirical formulae and experimental data necessary for calculations and design of plasma devices are presented. (author)

  17. Investigation and applications of a plasma generator

    International Nuclear Information System (INIS)

    Frere, Isabelle

    1992-01-01

    This work describes the experimental study of a plasma generator: a cylindrical or parallelepipedic rectangle cathode. A permanent magnet creates an axial magnetic field of a few hundred Gauss. A cold and abnormal glow discharge plasma is obtained. The experimental research on the correlation between the discharge parameters (electrode geometry, gas pressure, discharge voltage and current, magnetic field) of the discharge is presented. Another part of the text mentions some generator applications to surface treatment: evaporation, sputtering, surface modification of polymers by exposure to plasma. (author) [fr

  18. Generation and Sustainment of Plasma Rotation by ICRF Heating

    Science.gov (United States)

    Perkins, F. W.

    2000-10-01

    When tokamak plasmas are heated by the fundamental minority ion-cyclotron process, they are observed to rotate toroidally, even though this heating process introduces negligable angular momentum. This work proposes and evaluates a physics mechanism which resolves this apparent conflict. The argument has two elements. First, it is assumed that angular momentum transport is governed by a diffusion equation with a v_tor = 0 boundary condition at the plasma surface and a torque-density source. When the source consists of separated regions of positive and negative torque density, a finite central rotation velocity results, even though the volume integrated torque density - the angular momentum input - vanishes. Secondly, ions energized by the ICRF process can generate separated regions of positive and negative torque density. Heating increases their banana widths which leads to radial energetic-particle transport that must be balanced by neutralizing radial currents and a j_rB_pR torque density in the bulk plasma. Additional, comparable torque density results from collisional transfer of mechanical angular momentum from energetic particles to the bulk plasma and particle loss through banana particles impacting the wall. Monte-Carlo calculations utilizing the ORBIT code evaluate all sources of torque density and rigorously assure that no net angular momentum is introduced. Two models of ICRF heating, diffusive and instantaneous, give similar results. When the resonance location is on the LFS, the calculated rotation has the magnitude, profile, and co-current sense of Alcator C-Mod observations. For HFS resonance locations, the model predicts counter-current rotation. Scans of rotational profiles vs. resonance location, initial energy, particle loss, pitch, and qm will be presented as will the location of the velocity shear layer its scaling to a reactor.

  19. Fusion neutron detector calibration using a table-top laser generated plasma neutron source

    International Nuclear Information System (INIS)

    Hartke, R.; Symes, D.R.; Buersgens, F.; Ruggles, L.E.; Porter, J.L.; Ditmire, T.

    2005-01-01

    Using a high intensity, femtosecond laser driven neutron source, a high-sensitivity neutron detector was calibrated. This detector is designed for observing fusion neutrons at the Z accelerator in Sandia National Laboratories. Nuclear fusion from laser driven deuterium cluster explosions was used to generate a clean source of nearly monoenergetic 2.45 MeV neutrons at a well-defined time. This source can run at 10 Hz and was used to build up a clean pulse-height spectrum on scintillating neutron detectors giving a very accurate calibration for neutron yields at 2.45 MeV

  20. Particle flux at the outlet of an Ecr plasma source; Flujos de particulas a la salida de una fuente de plasma ECR

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.; Gonzalez D, J. [ININ, Departamento de Fisica, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  1. Shaping the electron beams with submicrosecond pulse duration in sources and electron accelerators with plasma emitters

    CERN Document Server

    Gushenets, V I

    2001-01-01

    One studies the techniques in use to shape submicrosecond electron beams and the physical processes associated with extraction of electrons from plasma in plasma emitters. Plasma emitter base sources and accelerators enable to generate pulse beams with currents varying from tens of amperes up to 10 sup 3 A, with current densities up to several amperes per a square centimeter, with pulse duration constituting hundreds of nanoseconds and with high frequencies of repetition

  2. High kinetic energy plasma jet generation and its injection into the Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Voronin, A.V.; Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Abramova, K.B.; Sklyarova, E.M.; Tolstyakov, S.Yu.

    2005-01-01

    Progress in the theoretical and experimental development of the plasma jet source and injection of hydrogen plasma and neutral gas jets into the Globus-M spherical tokamak is discussed. An experimental test bed is described for investigation of intense plasma jets that are generated by a double-stage plasma gun consisting of an intense source for neutral gas production and a conventional pulsed coaxial accelerator. A procedure for optimizing the accelerator parameters so as to achieve the maximum possible flow velocity with a limited discharge current and a reasonable length of the coaxial electrodes is presented. The calculations are compared with experiment. Plasma jet parameters, among them pressure distribution across the jet, flow velocity, plasma density, etc, were measured. Plasma jets with densities of up to 10 22 m -3 , total numbers of accelerated particles (1-5) x 10 19 , and flow velocities of 50-100 km s -1 were successfully injected into the plasma column of the Globus-M tokamak. Interferometric and Thomson scattering measurements confirmed deep jet penetration and a fast density rise ( 19 to 1 x 10 19 ) did not result in plasma degradation

  3. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  4. Specular Reflectivity and Hot-Electron Generation in High-Contrast Relativistic Laser-Plasma Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Kemp, Gregory Elijah [The Ohio State Univ., Columbus, OH (United States)

    2013-01-01

    Ultra-intense laser (> 1018 W/cm2) interactions with matter are capable of producing relativistic electrons which have a variety of applications in state-of-the-art scientific and medical research conducted at universities and national laboratories across the world. Control of various aspects of these hot-electron distributions is highly desired to optimize a particular outcome. Hot-electron generation in low-contrast interactions, where significant amounts of under-dense pre-plasma are present, can be plagued by highly non-linear relativistic laser-plasma instabilities and quasi-static magnetic field generation, often resulting in less than desirable and predictable electron source characteristics. High-contrast interactions offer more controlled interactions but often at the cost of overall lower coupling and increased sensitivity to initial target conditions. An experiment studying the differences in hot-electron generation between high and low-contrast pulse interactions with solid density targets was performed on the Titan laser platform at the Jupiter Laser Facility at Lawrence Livermore National Laboratory in Livermore, CA. To date, these hot-electrons generated in the laboratory are not directly observable at the source of the interaction. Instead, indirect studies are performed using state-of-the-art simulations, constrained by the various experimental measurements. These measurements, more-often-than-not, rely on secondary processes generated by the transport of these electrons through the solid density materials which can susceptible to a variety instabilities and target material/geometry effects. Although often neglected in these types of studies, the specularly reflected light can provide invaluable insight as it is directly influenced by the interaction. In this thesis, I address the use of (personally obtained) experimental specular reflectivity measurements to indirectly study hot-electron generation in the context of high-contrast, relativistic

  5. [Experimental investigation of laser plasma soft X-ray source with gas target].

    Science.gov (United States)

    Ni, Qi-liang; Gong, Yan; Lin, Jing-quan; Chen, Bo; Cao, Jian-lin

    2003-02-01

    This paper describes a debris-free laser plasma soft X-ray source with a gas target, which has high operating frequency and can produce strong soft X-ray radiation. The valve of this light source is drived by a piezoelectrical ceramic whose operating frequency is up to 400 Hz. In comparison with laser plasma soft X-ray sources using metal target, the light source is debris-free. And it has higher operating frequency than gas target soft X-ray sources whose nozzle is controlled by a solenoid valve. A channel electron multiplier (CEM) operating in analog mode is used to detect the soft X-ray generated by the laser plasma source, and the CEM's output is fed to to a charge-sensitive preamplifier for further amplification purpose. Output charges from the CEM are proportional to the amplitude of the preamplifier's output voltage. Spectra of CO2, Xe and Kr at 8-14 nm wavelength which can be used for soft X-ray projection lithography are measured. The spectrum for CO2 consists of separate spectral lines originate mainly from the transitions in Li-like and Be-like ions. The Xe spectrum originating mainly from 4d-5f, 4d-4f, 4d-6p and 4d-5p transitions in multiply charged xenon ions. The spectrum for Kr consists of separate spectral lines and continuous broad spectra originating mainly from the transitions in Cu-, Ni-, Co- and Fe-like ions.

  6. Atmospheric plasma generation for LCD panel cleaning

    Science.gov (United States)

    Kim, Gyu-Sik; Won, Chung-Yuen; Choi, Ju-Yeop; Yim, C. H.

    2007-12-01

    UV lamp systems have been used for cleaning of display panels of TFT LCD or Plasma Display Panel (PDP). However, the needs for high efficient cleaning and low cost made high voltage plasma cleaning techniques to be developed and to be improved. Dielectric-barrier discharges (DBDs), also referred to as barrier discharges or silent discharges have for a long time been exclusively related to ozone generation. In this paper, a 6kW high voltage plasma power supply system was developed for LCD cleaning. The -phase input voltage is rectified and then inverter system is used to make a high frequency pulse train, which is rectified after passing through a high-power transformer. Finally, bi-directional high voltage pulse switching circuits are used to generate the high voltage plasma. Some experimental results showed the usefulness of atmospheric plasma for LCD panel cleaning.

  7. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  8. Cascade generation in Al laser induced plasma

    Science.gov (United States)

    Nagli, Lev; Gaft, Michael; Raichlin, Yosef; Gornushkin, Igor

    2018-05-01

    We found cascade IR generation in Al laser induced plasma. This generation includes doublet transitions 3s 25s 2S1/2 → 3s24p 2P1/2,3/2 → 3s24s 2S1/2; corresponding to strong lines at 2110 and 2117 nm, and much weaker lines at 1312-1315 nm. The 3s25s2S 1/2 starting IR generation level is directly pumped from the 3s23p 2P3/2 ground level. The starting level for UV generation at 396.2 nm (transitions 3s24s 2S1/2 → 4p 2P3/2) is populated due to the fast collisional processes in the plasma plume. These differences led to different time and special dependences on the lasing in the IR and UV spectral range within the aluminum laser induced plasma.

  9. Atmospheric plasma generates oxygen atoms as oxidizing species in aqueous solutions

    International Nuclear Information System (INIS)

    Hefny, Mohamed Mokhtar; Pattyn, Cedric; Benedikt, Jan; Lukes, Petr

    2016-01-01

    A remote microscale atmospheric pressure plasma jet ( µ APPJ) with He, He/H 2 O, He/O 2 , and He/O 2 /H 2 O gas mixtures was used to study the transport of reactive species from the gas phase into the liquid and the following aqueous phase chemistry. The effects induced by the µ APPJ in water were quantitatively studied using phenol as a chemical probe and by measuring H 2 O 2 concentration and pH values. These results were combined with the analysis of the absolute densities of the reactive species and the modeling of convective/diffusion transport and recombination reactions in the effluent of the plasma jet. Additionally, modified plasma jets were used to show that the role of emitted photons in aqueous chemistry is negligible for these plasma sources. The fastest phenol degradation was measured for the He/O 2 plasma, followed by He/H 2 O, He/O 2 /H 2 O, and He plasmas. The modeled quantitative flux of O atoms into the liquid in the He/O 2 plasma case was highly comparable with the phenol degradation rate and showed a very high transfer efficiency of reactive species from the plasma into the liquid, where more than half of the O atoms leaving the jet nozzle entered the liquid. The results indicate that the high oxidative effect of He/O 2 plasma was primarily due to solvated O atoms, whereas OH radicals dominated the oxidative effects induced in water by plasmas with other gas mixtures. These findings help to understand, in a quantitative way, the complex interaction of cold atmospheric plasmas with aqueous solutions and will allow a better understanding of the interaction of these plasmas with water or buffered solutions containing biological macromolecules, microorganisms, or even eukaryotic cells. Additionally, the µ APPJ He/O 2 plasma source seems to be an ideal tool for the generation of O atoms in aqueous solutions for any future studies of their reactivity. (paper)

  10. Electrode assemblies, plasma apparatuses and systems including electrode assemblies, and methods for generating plasma

    Science.gov (United States)

    Kong, Peter C; Grandy, Jon D; Detering, Brent A; Zuck, Larry D

    2013-09-17

    Electrode assemblies for plasma reactors include a structure or device for constraining an arc endpoint to a selected area or region on an electrode. In some embodiments, the structure or device may comprise one or more insulating members covering a portion of an electrode. In additional embodiments, the structure or device may provide a magnetic field configured to control a location of an arc endpoint on the electrode. Plasma generating modules, apparatus, and systems include such electrode assemblies. Methods for generating a plasma include covering at least a portion of a surface of an electrode with an electrically insulating member to constrain a location of an arc endpoint on the electrode. Additional methods for generating a plasma include generating a magnetic field to constrain a location of an arc endpoint on an electrode.

  11. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  12. Plasma generation using the hollow cathod

    International Nuclear Information System (INIS)

    Moon, K.J.

    1983-01-01

    A hollow cathode of tungsten was adapted to an University of California, Berkely, LBL bucket ion source to investigate ion density fluctuations at the extractior grid. Fluctuations in plasma ion density are observed to range between 100kHz to 2 MHz. The observed fluctuation frequencies of plasma ion density are found to be inversely proportional to the square root of ion masses. It is guessed that the plasma fluctuation are also correlated with the hollow cathode length. (Author)

  13. The diagnostic neutral beam injector with arc-discharge plasma source on the TCV Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Karpushov, Alexander N. [Ecole Polytechnique Federale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confederation Suisse, CH-1015 Lausanne (Switzerland)], E-mail: alexander.karpushov@epfl.ch; Andrebe, Yanis; Duval, Basil P.; Bortolon, Alessandro [Ecole Polytechnique Federale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confederation Suisse, CH-1015 Lausanne (Switzerland)

    2009-06-15

    The diagnostic neutral beam injector (DNBI) together with a charge exchange recombination spectroscopy (CXRS) system has been used on the TCV Tokamak as a diagnostic tool for local measurements of plasma ion temperature, velocity and carbon impurity density based on analysis of the beam induced impurity radiation emission since 2000. To improve the performance of the CXRS diagnostic, several upgrades of both the optical system and the neutral beam were performed. An increase of the plasma source size together with beam optimization in 2003 resulted in a twofold increase the beam current. The RF plasma generator was replaced by an arc-discharge plasma source together with a new ion optical system (IOS) in 2006 and subsequent beam optimization is presented herein. This was designed to increase the line brightness of the beam in the CXRS observation region without increasing of the injected power (to avoid plasma perturbation by the beam). The beam characteristics are measured by a multi-chord scanning of Doppler-shifted H{sub {alpha}} emission, thermal measurements on a movable calorimeter and visible optical measurements inside the Tokamak vessel.

  14. RF generator interlock by plasma grid bias current - An alternate to Hα interlock

    Science.gov (United States)

    Bandyopadhyay, M.; Gahlaut, A.; Yadav, R. K.; Pandya, K.; Tyagi, H.; Vupugalla, M.; Bhuyan, M.; Bhagora, J.; Chakraborty, A.

    2017-08-01

    ROBIN is inductively coupled plasma (ICP) based negative hydrogen ion source, operated with a 100kW, 1MHz Tetrode based RF generator (RFG). Inductive plasma ignition by the RFG in ROBIN is associated with electron seeding by a hot filament and a gas puff. RFG is triggered by the control system to deliver power just at the peak pressure of the gas puff. Once plasma is ignited due to proper impedance matching, a bright light, dominated by Hα (˜656nm wavelength) radiation is available inside RF driver which is used as a feedback signal to the RFG to continue its operation. If impedance matching is not correct, plasma is not produced due to lack of power coupling and bright light is not available. During such condition, reflected RF power may damage the RFG. Therefore, to protect the RFG, it needs to be switched off automatically within 200ms by the control system in such cases. This plasma light based RFG interlock is adopted from BATMAN ion source. However, in case of vacuum immersed RF ion source in reactor grade NBI system, such plasma light based interlock may not be feasible due to lack of adequate optical fiber interfaces. In reactor grade NBI system, neutron and gamma radiations have impact on materials which may lead to frequent maintenance and machine down time. The present demonstration of RFG interlock by Bias Current (BC) in ROBIN testbed gives an alternate option in this regard. In ROBIN, a bias plate (BP) is placed in the plasma chamber near the plasma grid (PG). BP is electrically connected to the plasma chamber wall of the ion source and PG is isolated from the wall. A high current ˜85 A direct current (DC) power supply of voltage in the range of 0 - 33V is connected between the PG and the BP in such a way that PG can be biased positively with respect to the BP or plasma chamber. This arrangement is actually made to absorb electrons and correspondingly reduce co-extracted electron current during beam extraction. However, in case of normal plasma

  15. A model for steady-state large-volume plasma generation

    International Nuclear Information System (INIS)

    Uhm, H.S.; Miller, J.D.; Schneider, R.F.

    1991-01-01

    In this paper, a simple, new scheme to generate a uniform, steady-state, large-volume plasma is presented. The weakly magnetized plasma is created by direct ionization of the background gas by low-energy electrons generated from thermionic filaments. An annular arrangement of the filaments ensures a uniform plasma density in the radial direction as predicted by theory. Experiments have been performed to characterize the plasma generated in such a configuration. In order to explain the experimental observation, we develop a bulk plasma theory based on plasma transport via cross-field diffusion. As assumed in the theoretical model, the experimental measurements indicate a uniform plasma density along the axis. Both the theory and experiment indicate that the plasma density is a function of the square of the external magnetic field. The theory also predicts the plasma density to be proportional to the neutral density to the two-thirds power in agreement with the experimental data. We also observe the experimental data to agree remarkably well with theoretical prediction for a broad range of system parameters

  16. A high-voltage pulse generator for corona plasma generation

    NARCIS (Netherlands)

    Yan, K.; Heesch, van E.J.M.; Pemen, A.J.M.; Huijbrechts, P.A.H.J.; Gompel, van F.M.; Leuken, van H.E.M.; Matyas, Z.

    2002-01-01

    This paper discusses a high-voltage pulse generator for producing corona plasma. The generator consists of three resonant charging circuits, a transmission line transformer, and a triggered spark-gap switch. Voltage pulses in the order of 30-100 kV with a rise time of 10-20 ns, a pulse duration of

  17. EXPERIMENTAL CHARACTERIZATION OF A MAGNETOHYDRODYNAMIC POWER GENERATOR UNDER DC ARC PLASMA

    Directory of Open Access Journals (Sweden)

    Ayokunle Oluwaseun Ayeleso

    2018-02-01

    Full Text Available The generation of electric power through the conventional systems (thermal and hydroelectric is no longer sufficient to meet the increasing industrial and commercial usage. Therefore, an alternative energy conversion system is currently being sought. The aim of the presented study is to develop a direct energy conversion system (Magnetohydrodynamics, MHD generator to generate electric power using plasma. Additionally, the generator electric response is investigated based on the Faraday’s principle of electromagnetism and fluid dynamics. For this purpose, a rectangular MHD generator prototype with segmented electrodes was constructed and subjected to continuous plasma from a DC arc source at test facilities available in the Western Cape region (South Africa. Subsequently, the terminal voltages at the middle-electrodes were measured one after another across 1, 100 and 470 Ω load resistors. In all experiments, the absolute time-averages of the measured terminal voltage across each load resistor were similar, which indicates a generation of power. The maximum power of the order 0.203mW was obtained when 1 Ω resistor was connected to the middle-electrodes. Conclusively, these results validate the measurement approach of the MHD generator with segmented electrodes and could be used to design a large MHD unit that can be incorporated to the existing conventional thermal plant to improve their cyclic thermal efficiency.

  18. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  19. Generation conditions of CW Diode Laser Sustained Plasma

    Science.gov (United States)

    Nishimoto, Koji; Matsui, Makoto; Ono, Takahiro

    2016-09-01

    Laser sustained plasma was generated using 1 kW class continuous wave diode laser. The laser beam was focused on the seed plasma generated by arc discharge in 1 MPa xenon lamp. The diode laser has advantages of high energy conversion efficiency of 80%, ease of maintenance, compact size and availability of conventional quartz based optics. Therefore, it has a prospect of further development compared with conventional CO2 laser. In this study, variation of the plasma shape caused by laser power is observed and also temperature distribution in the direction of plasma radius is measured by optical emission spectroscopy.

  20. High-efficiency target-ion sources for RIB generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1993-01-01

    A brief review is given of high-efficiency ion sources which have been developed or are under development at ISOL facilities which show particular promise for use at existing, future, or radioactive ion beam (RIB) facilities now under construction. Emphasis will be placed on those sources which have demonstrated high ionization efficiency, species versatility, and operational reliability and which have been carefully designed for safe handling in the high level radioactivity radiation fields incumbent at such facilities. Brief discussions will also be made of the fundamental processes which affect the realizable beam intensities in target-ion sources. Among the sources which will be reviewed will be selected examples of state-of-the-art electron-beam plasma-type ion sources, thermal-ionization, surface-ionization, ECR, and selectively chosen ion source concepts which show promise for radioactive ion beam generation. A few advanced, chemically selective target-ion sources will be described, such as sources based on the use of laser-resonance ionization, which, in principle, offer a more satisfactory solution to isobaric contamination problems than conventional electromagnetic techniques. Particular attention will be given to the sources which have been selected for initial or future use at the Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory

  1. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering

    Energy Technology Data Exchange (ETDEWEB)

    Kerdtongmee, P.; Srinoum, D.; Nisoa, M. [Plasma Technology for Agricultural Applications Research Laboratory, School of Science, Walailak University, Nakhon Si Thammarat 80161 (Thailand); ThEP Center, CHE, 328 Si Ayutthaya Rd., Bangkok 10400 (Thailand)

    2011-10-15

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 {Omega} impedance matching. A plasma density up to 1.1 x 10{sup 12} cm{sup -3} in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  2. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering.

    Science.gov (United States)

    Kerdtongmee, P; Srinoum, D; Nisoa, M

    2011-10-01

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 Ω impedance matching. A plasma density up to 1.1 × 10(12) cm(-3) in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  3. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  4. Characterization of light ion beams generated by a plasma focus device

    International Nuclear Information System (INIS)

    Koo, Bon Cheul

    1999-02-01

    Plasma focus device has been studied as neutron and X-ray sources generated from the high pressure fusion reaction during Z-pinch. Recently, the scope of the device is focused on efficient neutron generation, X-ray lithography, preliminary fusion experiment, and ion/electron beam generation devices. A Hexagonal Beam Generator with six parallel capacitors has been developed and generated ion beams from 30kJ(C=6 μ F, V= 100kV) maximum energy. To find the optimum condition of ion beam generation, the correlation among charging voltage(20∼30kV), operation pressure of chamber(0.1∼5 torr), and length of electrode has been studied. To measure ion beam, a Faraday Cup and 3 Rogowski coils were installed. Energy of ion beam was obtained by adopting time-of -flight method between Rogowski coils

  5. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  6. Soft x-ray source by laser produced Xe plasma

    International Nuclear Information System (INIS)

    Amano, Sho; Masuda, Kazuya; Miyamoto, Shuji; Mochizuki, Takayasu

    2010-01-01

    The laser plasma soft X-ray source in the wavelength rage of 5-17 nm was developed, which consisted of the rotating drum system supplying cryogenic Xe target and the high repetition rate pulse Nd:YAG slab laser. We found the maximum conversion efficiency of 30% and it demonstrated the soft X-ray generation with the high repetition rate pulse of 320 pps and the high average power of 20 W. The soft X-ray cylindrical mirror was developed and successfully focused the soft X-ray with an energy intensity of 1.3 mJ/cm 2 . We also succeeded in the plasma debris mitigation with Ar gas. This will allow a long lifetime of the mirror and a focusing power intensity of 400 mW/cm 2 with 320 pps. The high power soft X-ray is useful for various applications. (author)

  7. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  8. Pre-Excitation Studies for Rubidium-Plasma Generation

    CERN Document Server

    Aladi, M; Barna, I.F.; Czitrovszky, Aladar; Djotyan, Gagik; Dombi, Peter; Dzsotjan, David; FöLdes, Istvan; Hamar, Gergo; Ignacz, Peter; Kedves, Miklos; Kerekes, Attila; Levai, Peter; Marton, Istvan; Nagy, Attila; Oszetzky, Daniel; Pocsai, Mihaly; Racz, Peter; Raczkevi, Bela; Szigeti, Janos; Sörlei, Zsuzsa; Szipöcs, Robert; Varga, Dezso; Varga-Umbrich, Karoly; Varro, Sandor; Vamos, Lenard; Vesztergombi, György

    2014-01-01

    The key element in the Proton-Driven-Plasma-Wake-Field-Accelerator (AWAKE) project is the generation of highly uniform plasma from Rubidium vapor. The standard way to achieve full ionization is to use high power laser which can assure the over-barrier-ionization (OBI) along the 10 meters long active region. The Wigner-team in Budapest is investigating an alternative way of uniform plasma generation. The proposed Resonance Enhanced Multi Photon Ionization (REMPI) scheme probably can be realized by much less laser power. In the following the resonant pre-excitations of the Rb atoms are investigated, theoretically and the status report about the preparatory work on the experiment are presented.

  9. Characterization of an electrothermal plasma source for fusion transient simulations

    Science.gov (United States)

    Gebhart, T. E.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2018-01-01

    The realization of fusion energy requires materials that can withstand high heat and particle fluxes at the plasma material interface. In this work, an electrothermal (ET) plasma source has been designed as a transient heat flux source for a linear plasma material interaction device. An ET plasma source operates in the ablative arc regime driven by a DC capacitive discharge. The current channel width is defined by the 4 mm bore of a boron nitride liner. At large plasma currents, the arc impacts the liner wall, leading to high particle and heat fluxes to the liner material, which subsequently ablates and ionizes. This results in a high density plasma with a large unidirectional bulk flow out of the source exit. The pulse length for the ET source has been optimized using a pulse forming network to have durations of 1 and 2 ms. The peak currents and maximum source energies seen in this system are 1.9 kA and 1.2 kJ for the 2 ms pulse and 3.2 kA and 2.1 kJ for the 1 ms pulse, respectively. This work is a proof of the principal project to show that an ET source produces electron densities and heat fluxes comparable to those anticipated in transient events in large future magnetic confinement fusion devices. Heat flux, plasma temperature, and plasma density were determined for each shot using infrared imaging and optical spectroscopy techniques. This paper will discuss the assumptions, methods, and results of the experiments.

  10. A large volume uniform plasma generator for the experiments of electromagnetic wave propagation in plasma

    International Nuclear Information System (INIS)

    Yang Min; Li Xiaoping; Xie Kai; Liu Donglin; Liu Yanming

    2013-01-01

    A large volume uniform plasma generator is proposed for the experiments of electromagnetic (EM) wave propagation in plasma, to reproduce a “black out” phenomenon with long duration in an environment of the ordinary laboratory. The plasma generator achieves a controllable approximate uniform plasma in volume of 260 mm× 260 mm× 180 mm without the magnetic confinement. The plasma is produced by the glow discharge, and the special discharge structure is built to bring a steady approximate uniform plasma environment in the electromagnetic wave propagation path without any other barriers. In addition, the electron density and luminosity distributions of plasma under different discharge conditions were diagnosed and experimentally investigated. Both the electron density and the plasma uniformity are directly proportional to the input power and in roughly reverse proportion to the gas pressure in the chamber. Furthermore, the experiments of electromagnetic wave propagation in plasma are conducted in this plasma generator. Blackout phenomena at GPS signal are observed under this system and the measured attenuation curve is of reasonable agreement with the theoretical one, which suggests the effectiveness of the proposed method.

  11. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  12. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  13. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  14. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  15. Water plasma generation under atmospheric pressure for HFC destruction

    International Nuclear Information System (INIS)

    Watanabe, Takayuki; Tsuru, Taira

    2008-01-01

    The purpose of this paper is to investigate the decomposition process of hydrofluoroethylene (HFC-134a) by water plasmas. The water plasma was generated by DC arc discharge with a cathode of hafnium embedded into a copper rod and a nozzle-type copper anode. The advantage of the water plasma torch is the generation of 100%-water plasma by DC discharge. The distinctive steam generation leads to the portable light-weight plasma generation system that does not require the gas supply unit, as well as the high energy efficiency owing to the nonnecessity of the additional water-cooling. HFC-134a was injected into the water plasma jet to decompose it in the reaction tube. Neutralization vessel was combined to the reaction tube to absorb F 2 and HF generated from the HFC-134a decomposition. The decomposition was performed with changing the feed rate of HFC-134a up to 185 mmol/min. The decomposition efficiency of 99.9% can be obtained up to 0.43 mmol/kJ of the ratio of HFC-134a feed rate to the arc power, hence the maximum feed rate was estimated to be 160 g/h at 1 kW of the arc power

  16. Modelling of new generation plasma optical devices

    Directory of Open Access Journals (Sweden)

    Litovko Irina V.

    2016-06-01

    Full Text Available The paper presents new generation plasma optical devices based on the electrostatic plasma lens configuration that opens a novel attractive possibility for effective high-tech practical applications. Original approaches to use of plasma accelerators with closed electron drift and open walls for the creation of a cost-effective low-maintenance plasma lens with positive space charge and possible application for low-cost, low-energy rocket engine are described. The preliminary experimental, theoretical and simulation results are presented. It is noted that the presented plasma devices are attractive for many different applications in the state-of-the-art vacuum-plasma processing.

  17. Generation of Low-Energy High-Current Electron Beams in Plasma-Anode Electron Guns

    Science.gov (United States)

    Ozur, G. E.; Proskurovsky, D. I.

    2018-01-01

    This paper is a review of studies on the generation of low-energy high-current electron beams in electron guns with a plasma anode and an explosive-emission cathode. The problems related to the initiation of explosive electron emission under plasma and the formation and transport of high-current electron beams in plasma-filled systems are discussed consecutively. Considerable attention is given to the nonstationary effects that occur in the space charge layers of plasma. Emphasis is also placed on the problem of providing a uniform energy density distribution over the beam cross section, which is of critical importance in using electron beams of this type for surface treatment of materials. Examples of facilities based on low-energy high-current electron beam sources are presented and their applications in materials science and practice are discussed.

  18. Moderate pressure plasma source of nonthermal electrons

    Science.gov (United States)

    Gershman, S.; Raitses, Y.

    2018-06-01

    Plasma sources of electrons offer control of gas and surface chemistry without the need for complex vacuum systems. The plasma electron source presented here is based on a cold cathode glow discharge (GD) operating in a dc steady state mode in a moderate pressure range of 2–10 torr. Ion-induced secondary electron emission is the source of electrons accelerated to high energies in the cathode sheath potential. The source geometry is a key to the availability and the extraction of the nonthermal portion of the electron population. The source consists of a flat and a cylindrical electrode, 1 mm apart. Our estimates show that the length of the cathode sheath in the plasma source is commensurate (~0.5–1 mm) with the inter-electrode distance so the GD operates in an obstructed regime without a positive column. Estimations of the electron energy relaxation confirm the non-local nature of this GD, hence the nonthermal portion of the electron population is available for extraction outside of the source. The use of a cylindrical anode presents a simple and promising method of extracting the high energy portion of the electron population. Langmuir probe measurements and optical emission spectroscopy confirm the presence of electrons with energies ~15 eV outside of the source. These electrons become available for surface modification and radical production outside of the source. The extraction of the electrons of specific energies by varying the anode geometry opens exciting opportunities for future exploration.

  19. X-ray absorption spectroscopy of aluminum z-pinch plasma with tungsten backlighter planar wire array source

    Energy Technology Data Exchange (ETDEWEB)

    Osborne, G. C.; Kantsyrev, V. L.; Safronova, A. S.; Esaulov, A. A.; Weller, M. E.; Shrestha, I.; Shlyaptseva, V. V. [Physics Department, University of Nevada, Reno, Reno, Nevada 89557 (United States); Ouart, N. D. [Naval Research Laboratory, Washington, D.C. 20375 (United States)

    2012-10-15

    Absorption features from K-shell aluminum z-pinch plasmas have recently been studied on Zebra, the 1.7 MA pulse power generator at the Nevada Terawatt Facility. In particular, tungsten plasma has been used as a semi-backlighter source in the generation of aluminum K-shell absorption spectra by placing a single Al wire at or near the end of a single planar W array. All spectroscopic experimental results were recorded using a time-integrated, spatially resolved convex potassium hydrogen phthalate (KAP) crystal spectrometer. Other diagnostics used to study these plasmas included x-ray detectors, optical imaging, laser shadowgraphy, and time-gated and time-integrated x-ray pinhole imagers. Through comparisons with previous publications, Al K-shell absorption lines are shown to be from much lower electron temperature ({approx}10-40 eV) plasmas than emission spectra ({approx}350-500 eV).

  20. Development of neutral beam source using electron beam excited plasma

    International Nuclear Information System (INIS)

    Hara, Yasuhiro; Hamagaki, Manabu; Mise, Takaya; Hara, Tamio

    2011-01-01

    A low-energy neutral beam (NB) source, which consists of an electron-beam-excited plasma (EBEP) source and two carbon electrodes, has been developed for damageless etching of ultra-large-scale integrated (ULSI) devices. It has been confirmed that the Ar ion beam energy was controlled by the acceleration voltage and the beam profile had good uniformity over the diameter of 80 mm. Dry etching of a Si wafer at the floating potential has been carried out by Ar NB. Si sputtering yield by an Ar NB clearly depends on the acceleration voltage. This result shows that the NB has been generated through the charge exchange reaction from the ion beam in the process chamber. (author)

  1. Cylindrical neutron generator

    Science.gov (United States)

    Leung, Ka-Ngo [Hercules, CA

    2008-04-22

    A cylindrical neutron generator is formed with a coaxial RF-driven plasma ion source and target. A deuterium (or deuterium and tritium) plasma is produced by RF excitation in a cylindrical plasma ion generator using an RF antenna. A cylindrical neutron generating target is coaxial with the ion generator, separated by plasma and extraction electrodes which contain many slots. The plasma generator emanates ions radially over 360.degree. and the cylindrical target is thus irradiated by ions over its entire circumference. The plasma generator and target may be as long as desired. The plasma generator may be in the center and the neutron target on the outside, or the plasma generator may be on the outside and the target on the inside. In a nested configuration, several concentric targets and plasma generating regions are nested to increase the neutron flux.

  2. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication

    International Nuclear Information System (INIS)

    Wang Langping; Huang Lei; Xie Zhiwen; Wang Xiaofeng; Tang Baoyin

    2008-01-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder

  3. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    Science.gov (United States)

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  4. Surface treatment by the ion flow from electron beam generated plasma in the forevacuum pressure range

    Directory of Open Access Journals (Sweden)

    Klimov Aleksandr

    2018-01-01

    Full Text Available The paper presents research results of peculiarities of gas ion flows usage and their generation from large plasma formation (>50 sq.cm obtained by electron beam ionization of gas in the forevacuum pressure range. An upgraded source was used for electron beam generation, which allowed obtaining ribbon electron beam with no transmitting magnetic field. Absence of magnetic field in the area of ion flow formation enables to obtain directed ion flows without distorting their trajectories. In this case, independent control of current and ion energy is possible. The influence of electron beam parameters on the parameters of beam plasma and ion flow – current energy and density – was determined. The results of alumina ceramics treatment with a beam plasma ions flow are given.

  5. Wakefield generation in magnetized plasmas

    International Nuclear Information System (INIS)

    Holkundkar, Amol; Brodin, Gert; Marklund, Mattias

    2011-01-01

    We consider wakefield generation in plasmas by electromagnetic pulses propagating perpendicular to a strong magnetic field, in the regime where the electron cyclotron frequency is equal to or larger than the plasma frequency. Particle-in-cell simulations reveal that for moderate magnetic field strengths previous results are reproduced, and the wakefield wave number spectrum has a clear peak at the inverse skin depth. However, when the cyclotron frequency is significantly larger than the plasma frequency, the wakefield spectrum becomes broadband, and simultaneously the loss rate of the driving pulse is much enhanced. A set of equations for the scalar and vector potentials reproducing these results are derived, using only the assumption of a weakly nonlinear interaction.

  6. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  7. High-order harmonics generation from overdense plasmas

    International Nuclear Information System (INIS)

    Quere, F.; Thaury, C.; Monot, P.; Martin, Ph.; Geindre, J.P.; Audebert, P.; Marjoribanks, R.

    2006-01-01

    Complete test of publication follows. When an intense laser beam reflects on an overdense plasma generated on a solid target, high-order harmonics of the incident laser frequency are observed in the reflected beam. This process provides a way to produce XUV femtosecond and attosecond pulses in the μJ range from ultrafast ultraintense lasers. Studying the mechanisms responsible for this harmonic emission is also of strong fundamental interest: just as HHG in gases has been instrumental in providing a comprehensive understanding of basic intense laser-atom interactions, HHG from solid-density plasmas is likely to become a unique tool to investigate many key features of laser-plasma interactions at high intensities. We will present both experimental and theoretical evidence that two mechanisms contribute to this harmonic emission: - Coherent Wake Emission: in this process, harmonics are emitted by plasma oscillations in te overdense plasma, triggered in the wake of jets of Brunel electrons generated by the laser field. - The relativistic oscillating mirror: in this process, the intense laser field drives a relativistic oscillation of the plasma surface, which in turn gives rise to a periodic phase modulation of the reflected beam, and hence to the generation of harmonics of the incident frequency. Left graph: experimental harmonic spectrum from a polypropylene target, obtained with 60 fs laser pulses at 10 19 W/cm 2 , with a very high temporal contrast (10 10 ). The plasma frequency of this target corresponds to harmonics 15-16, thus excluding the CWE mechanism for the generation of harmonics of higher orders. Images on the right: harmonic spectra from orders 13 et 18, for different distances z between the target and the best focus. At the highest intensity (z=0), harmonics emitted by the ROM mechanism are observed above the 15th order. These harmonics have a much smaller spectral width then those due to CWE (below the 15th order). These ROM harmonics vanish as soon

  8. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  9. Power supply controlled for plasma torch generation

    International Nuclear Information System (INIS)

    Diaz Z, S.

    1996-01-01

    The high density of energy furnished by thermal plasma is profited in a wide range of applications, such as those related with welding fusion, spray coating and at the present in waste destruction. The waste destruction by plasma is a very attractive process because the remaining products are formed by inert glassy grains and non-toxic gases. The main characteristics of thermal plasmas are presented in this work. Techniques based on power electronics are utilized to achieve a good performance in thermal plasma generation. This work shown the design and construction of three phase control system for electric supply of thermal plasma torch, with 250 kw of capacity, as a part of the project named 'Destruction of hazard wastes by thermal plasma' actually working in the Instituto Nacional de Investigaciones Nucleares (ININ). The characteristics of thermal plasma and its generation are treated in the first chapter. The A C controllers by thyristors applied in three phase arrays are described in the chapter II, talking into account the power transformer, rectifiers bank and aliasing coil. The chapter III is dedicated in the design of the trigger module which controls the plasma current by varying the trigger angle of the SCR's; the protection and isolating unit are also presented in this chapter. The results and conclusions are discussed in chapter IV. (Author)

  10. Detailed study of the plasma-activated catalytic generation of ammonia in N2-H2 plasmas

    Science.gov (United States)

    van Helden, J. H.; Wagemans, W.; Yagci, G.; Zijlmans, R. A. B.; Schram, D. C.; Engeln, R.; Lombardi, G.; Stancu, G. D.; Röpcke, J.

    2007-02-01

    We investigated the efficiency and formation mechanism of ammonia generation in recombining plasmas generated from mixtures of N2 and H2 under various plasma conditions. In contrast to the Haber-Bosch process, in which the molecules are dissociated on a catalytic surface, under these plasma conditions the precursor molecules, N2 and H2, are already dissociated in the gas phase. Surfaces are thus exposed to large fluxes of atomic N and H radicals. The ammonia production turns out to be strongly dependent on the fluxes of atomic N and H radicals to the surface. By optimizing the atomic N and H fluxes to the surface using an atomic nitrogen and hydrogen source ammonia can be formed efficiently, i.e., more than 10% of the total background pressure is measured to be ammonia. The results obtained show a strong similarity with results reported in literature, which were explained by the production of ammonia at the surface by stepwise addition reactions between adsorbed nitrogen and hydrogen containing radicals at the surface and incoming N and H containing radicals. Furthermore, our results indicate that the ammonia production is independent of wall material. The high fluxes of N and H radicals in our experiments result in a passivated surface, and the actual chemistry, leading to the formation of ammonia, takes place in an additional layer on top of this passivated surface.

  11. Plasma effects in attosecond pulse generation from ultra-relativistic laser-plasma interactions

    International Nuclear Information System (INIS)

    Boyd, T.J.M.

    2010-01-01

    Complete text of publication follows. Particle-in-cell simulations were performed to examine the influence of plasma effects on high harmonic spectra from the interaction of ultra-intense p-polarized laser pulses with overdense plasma targets. Furthermore, a theoretical model is proposed to explain the radiation mechanism that leads to attosecond pulse generation in the reflected field. It is shown that plasma harmonic emission affects the spectral characteristics, causing deviations in the harmonic power decay as compared with the so-called universal 8/3-decay. These deviations may occur, in a varying degree, as a consequence of the extent to which the plasma line and its harmonics affect the emission. It is also found a strong correlation of the emitted attosecond pulses with electron density structures within the plasma, responsible to generate intense localised electrostatic fields. A theoretical model based on the excitation of Langmuir waves by the re-entrant Brunel electron beams in the plasma and their electromagnetic interaction with the laser field is proposed to explain the flatter power spectral emission - described by a weaker 5/3 index and observed in numerical simulations - than that of the universal decay.

  12. Plasma flow driven by fusion-generated alpha particles

    International Nuclear Information System (INIS)

    Ikuta, Kazunari.

    1978-05-01

    The confinement of fusion-generated alpha particles will affect the transports of the background plasma particles by the momentum transfer from the energetic alphas. The ions tend to migrate towards the center of plasma (i.e. fuel injection) and electrons towards the plasma periphery. This means the existence of a mechanism which enable to pump out the ashes in the fuel plasma because of the momentum conservation of whole plasma particles. (author)

  13. Development of intense pulsed heavy ion beam diode using gas puff plasma gun as ion source

    International Nuclear Information System (INIS)

    Ito, H.; Higashiyama, M.; Takata, S.; Kitamura, I.; Masugata, K.

    2006-01-01

    A magnetically insulated ion diode with an active ion source of a gas puff plasma gun has been developed in order to generate a high-intensity pulsed heavy ion beam for the implantation process of semiconductors and the surface modification of materials. The nitrogen plasma produced by the plasma gun is injected into the acceleration gap of the diode with the external magnetic field system. The ion diode is operated at diode voltage approx. =200 kV, diode current approx. =2 kA and pulse duration approx. =150 ns. A new acceleration gap configuration for focusing ion beam has been designed in order to enhance the ion current density. The experimental results show that the ion current density is enhanced by a factor of 2 and the ion beam has the ion current density of 27 A/cm 2 . In addition, the coaxial type Marx generator with voltage 200 kV and current 15 kA has been developed and installed in the focus type ion diode. The ion beam of ion current density approx. =54 A/cm 2 is obtained. To produce metallic ion beams, an ion source by aluminum wire discharge has been developed and the aluminum plasma of ion current density ∼70 A/cm 2 is measured. (author)

  14. Repetitive plasma opening switch for powerful high-voltage pulse generators

    International Nuclear Information System (INIS)

    Dolgachev, G.I.; Zakatov, L.P.; Nitishinskii, M.S.; Ushakov, A.G.

    1998-01-01

    Results are presented of experimental studies of plasma opening switches that serve to sharpen the pulses of inductive microsecond high-voltage pulse generators. It is demonstrated that repetitive plasma opening switches can be used to create super-powerful generators operating in a quasi-continuous regime. An erosion switching mechanism and the problem of magnetic insulation in repetitive switches are considered. Achieving super-high peak power in plasma switches makes it possible to develop new types of high-power generators of electron beams and X radiation. Possible implementations and the efficiency of these generators are discussed

  15. Conceptual design of a permanent ring magnet based helicon plasma source module intended to be used in a large size fusion grade ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Arun; Sudhir, Dass; Bandyopadhyay, M., E-mail: mainak@iter-india.org; Chakraborty, A.

    2016-02-15

    A conceptual design of a permanent magnet based single driver helicon plasma source module along with its design approach is described in this paper. The module unit is intended to be used in a large size ion source. The conceptual design of the helicon source module has been carried out using a computer code, HELIC. The magnetic field topology for the ring magnet is simulated with another code, BFieldM and the magnetic field values obtained from the calculation are further used as input in HELIC calculation for the conceptual design. The module is conceptualized based on a cylindrical glass vessel to produce plasma of diameter ∼50 mm, height ∼50 mm. The inner diameter of the permanent ring magnets is also of the same dimension with thickness ∼10 mm each, placed slightly above the backplate to maintain the required magnetic field. The simulated results show that for hydrogen gas, expected plasma density can be achieved as high as ∼10{sup 12}–10{sup 13} cm{sup −3} in the proposed helicon source configuration using 1 kW 13.56 MHz RF generator. An experimental setup to characterize a Helicon source module unit, consisting of a cylindrical glass (plasma) chamber along with the vacuum system, RF power supplies, probes and data acquisition system is being installed.

  16. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  17. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  18. Neutral particle transport modeling with a reflective source in the plasma edge

    International Nuclear Information System (INIS)

    Valenti, M.E.

    1992-01-01

    A reflective source term is incorporated into the Boltzmann neutral particle transport equation to account for boundary reflection. This reflective neutral model is integrated over a uniform axis and subsequently discretized. The discrete two-dimensional equations are solved iteratively with a computer code. The results of the reflective neutral model computer code are benchmarked with the neutral particle transport code ONEDANT. The benchmark process demonstrates the validity of the reflective neutral model. The reflective neutral model is coupled to the Braams plasma particle and energy transport code. The coupled system generates self-consistent plasma edge transport solutions. These solutions, which utilize the transport equation are similar to solutions which utilize simple plasma edge neutral models when high recycle divertors are modeled. In the high recycle mode, the high electron density at the divertor plate reduces the mean free path of plate neutrals. Hence, the similarity in results. It is concluded that simple neutral models are sufficient for the analysis of high recycle power reactor edge plasmas. Low recycle edge plasmas were not examined

  19. Source formulation for electron-impact ionization for fluid plasma simulations

    DEFF Research Database (Denmark)

    Müller, S.H.; Holland, C.; Tynan, G.R.

    2009-01-01

    The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma phy...... electron temperature regimes in a wide variety of basic plasma physics experiments, including the trends across different gases.......The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma...... physics is completely described by three scalar functions of the incident particle energy. These are the total cross section and the newly introduced forward momentum and energy functions, which are properties of the differential cross sections only. For electron-impact ionization, the binary...

  20. Spherical neutron generator

    Science.gov (United States)

    Leung, Ka-Ngo

    2006-11-21

    A spherical neutron generator is formed with a small spherical target and a spherical shell RF-driven plasma ion source surrounding the target. A deuterium (or deuterium and tritium) ion plasma is produced by RF excitation in the plasma ion source using an RF antenna. The plasma generation region is a spherical shell between an outer chamber and an inner extraction electrode. A spherical neutron generating target is at the center of the chamber and is biased negatively with respect to the extraction electrode which contains many holes. Ions passing through the holes in the extraction electrode are focused onto the target which produces neutrons by D-D or D-T reactions.

  1. Electrical description of a magnetic pole enhanced inductively coupled plasma source: Refinement of the transformer model by reverse electromagnetic modeling

    International Nuclear Information System (INIS)

    Meziani, T.; Colpo, P.; Rossi, F.

    2006-01-01

    The magnetic pole enhanced inductively coupled source (MaPE-ICP) is an innovative low-pressure plasma source that allows for high plasma density and high plasma uniformity, as well as large-area plasma generation. This article presents an electrical characterization of this source, and the experimental measurements are compared to the results obtained after modeling the source by the equivalent circuit of the transformer. In particular, the method applied consists in performing a reverse electromagnetic modeling of the source by providing the measured plasma parameters such as plasma density and electron temperature as an input, and computing the total impedance seen at the primary of the transformer. The impedance results given by the model are compared to the experimental results. This approach allows for a more comprehensive refinement of the electrical model in order to obtain a better fitting of the results. The electrical characteristics of the system, and in particular the total impedance, were measured at the inductive coil antenna (primary of the transformer). The source was modeled electrically by a finite element method, treating the plasma as a conductive load and taking into account the complex plasma conductivity, the value of which was calculated from the electron density and electron temperature measurements carried out previously. The electrical characterization of the inductive excitation source itself versus frequency showed that the source cannot be treated as purely inductive and that the effect of parasitic capacitances must be taken into account in the model. Finally, considerations on the effect of the magnetic core addition on the capacitive component of the coupling are made

  2. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  3. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  4. Penning plasma based simultaneous light emission source of visible and VUV lights

    Energy Technology Data Exchange (ETDEWEB)

    Vyas, G. L., E-mail: glvyas27@gmail.com [Manipal University Jaipur (India); Prakash, R.; Pal, U. N. [CSIR-Central Electronics and Engineering Research Institute, Microwave Tubes Division (India); Manchanda, R. [Institute for Plasma Research (India); Halder, N. [Manipal University Jaipur (India)

    2016-06-15

    In this paper, a laboratory-based penning plasma discharge source is reported which has been developed in two anode configurations and is able to produce visible and VUV lights simultaneously. The developed source has simultaneous diagnostics facility using Langmuir probe and optical emission spectroscopy. The two anode configurations, namely, double ring and rectangular configurations, have been studied and compared for optimum use of the geometry for efficient light emissions and recording. The plasma is produced using helium gas and admixture of three noble gases including helium, neon, and argon. The source is capable to produce eight spectral lines for pure helium in the VUV range from 20 to 60 nm and total 24 spectral lines covering the wavelength range 20–106 nm for the admixture of gases. The large range of VUV lines is generated from gaseous admixture rather from the sputtered materials. The recorded spectrum shows that the plasma light radiations in both visible and VUV range are larger in double ring configuration than that of the rectangular configurations at the same discharge operating conditions. To clearly understand the difference, the imaging of the discharge using ICCD camera and particle-in-cell simulation using VORPAL have also been carried out. The effect of ion diffusion, metastable collision with the anode wall and the nonlinear effects are correlated to explain the results.

  5. Gamma source for active interrogation

    Science.gov (United States)

    Leung, Ka-Ngo [Hercules, CA; Lou, Tak Pui [Berkeley, CA; Barletta, William A [Oakland, CA

    2009-09-29

    A cylindrical gamma generator includes a coaxial RF-driven plasma ion source and target. A hydrogen plasma is produced by RF excitation in a cylindrical plasma ion generator using an RF antenna. A cylindrical gamma generating target is coaxial with the ion generator, separated by plasma and extraction electrodes which has many openings. The plasma generator emanates ions radially over 360.degree. and the cylindrical target is thus irradiated by ions over its entire circumference. The plasma generator and target may be as long as desired.

  6. The ionization length in plasmas with finite temperature ion sources

    Science.gov (United States)

    Jelić, N.; Kos, L.; Tskhakaya, D. D.; Duhovnik, J.

    2009-12-01

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as "cold ion-source" plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H&T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by "cold" ion temperature. This scenario is also known as the "singular" ion-source discharge. The H&T analytic result covers cases of ion sources proportional to exp(βΦ) with Φ the normalized plasma potential and β =0,1,2 values, which correspond to particular physical scenarios. Many years following H&T's work, Bissell and Johnson (B&J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called "warm" ion-source temperature, i.e., "regular" ion source, under B&J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B&J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H&T's results obtained for a single point only with ion source temperature Tn=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].

  7. Comparison of plasma generated nitrogen fertilizer to conventional fertilizers ammonium nitrate and sodium nitrate for pre-emergent and seedling growth

    Science.gov (United States)

    Andhavarapu, A.; King, W.; Lindsay, A.; Byrns, B.; Knappe, D.; Fonteno, W.; Shannon, S.

    2014-10-01

    Plasma source generated nitrogen fertilizer is compared to conventional nitrogen fertilizers in water for plant growth. Root, shoot sizes, and weights are used to examine differences between plant treatment groups. With a simple coaxial structure creating a large-volume atmospheric glow discharge, a 162 MHz generator drives the air plasma. The VHF plasma source emits a steady state glow; the high drive frequency is believed to inhibit the glow-to-arc transition for non-thermal discharge generation. To create the plasma activated water (PAW) solutions used for plant treatment, the discharge is held over distilled water until a 100 ppm nitrate aqueous concentration is achieved. The discharge is used to incorporate nitrogen species into aqueous solution, which is used to fertilize radishes, marigolds, and tomatoes. In a four week experiment, these plants are watered with four different solutions: tap water, dissolved ammonium nitrate DI water, dissolved sodium nitrate DI water, and PAW. Ammonium nitrate solution has the same amount of total nitrogen as PAW; sodium nitrate solution has the same amount of nitrate as PAW. T-tests are used to determine statistical significance in plant group growth differences. PAW fertilization chemical mechanisms are presented.

  8. Electron beam manipulation, injection and acceleration in plasma wakefield accelerators by optically generated plasma density spikes

    Energy Technology Data Exchange (ETDEWEB)

    Wittig, Georg; Karger, Oliver S.; Knetsch, Alexander [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Xi, Yunfeng; Deng, Aihua; Rosenzweig, James B. [Particle Beam Physics Laboratory, UCLA, Los Angeles, CA 90095 (United States); Bruhwiler, David L. [RadiaSoft LLC, Boulder, CO 80304 (United States); RadiaBeam Technologies LLC (United States); Smith, Jonathan [Tech-X UK Ltd, Daresbury, Cheshire WA4 4FS (United Kingdom); Sheng, Zheng-Ming; Jaroszynski, Dino A.; Manahan, Grace G. [Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Hidding, Bernhard [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom)

    2016-09-01

    We discuss considerations regarding a novel and robust scheme for optically triggered electron bunch generation in plasma wakefield accelerators [1]. In this technique, a transversely propagating focused laser pulse ignites a quasi-stationary plasma column before the arrival of the plasma wake. This localized plasma density enhancement or optical “plasma torch” distorts the blowout during the arrival of the electron drive bunch and modifies the electron trajectories, resulting in controlled injection. By changing the gas density, and the laser pulse parameters such as beam waist and intensity, and by moving the focal point of the laser pulse, the shape of the plasma torch, and therefore the generated trailing beam, can be tuned easily. The proposed method is much more flexible and faster in generating gas density transitions when compared to hydrodynamics-based methods, and it accommodates experimentalists needs as it is a purely optical process and straightforward to implement.

  9. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    International Nuclear Information System (INIS)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S.; Kim, Yoon-Jae; Park, Man-Jin; Moon, Dae Won

    2012-01-01

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m 2 SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  10. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  11. Microwave plasmas generated in bubbles immersed in liquids for hydrocarbons reforming

    International Nuclear Information System (INIS)

    Levko, Dmitry; Sharma, Ashish; Raja, Laxminarayan L

    2016-01-01

    We present a computational modeling study of microwave plasma generated in cluster of atmospheric-pressure argon bubbles immersed in a liquid. We demonstrate that the use of microwaves allows the generation of a dense chemically active non-equilibrium plasma along the gas–liquid interface. Also, microwaves allow generation of overdense plasma in all the bubbles considered in the cluster which is possible because the collisional skin depth of the wave exceeds the bubble dimension. These features of microwave plasma generation in bubbles immersed in liquids are highly desirable for the large-scale liquid hydrocarbon reforming technologies. (letter)

  12. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  13. Finite element thermal study of the Linac4 plasma generator

    International Nuclear Information System (INIS)

    Faircloth, D.; Kronberger, M.; Kuechler, D.; Lettry, J.; Scrivens, R.

    2010-01-01

    The temperature distribution and heat flow at equilibrium of the plasma generator of the rf-powered noncesiated Linac4 H - ion source have been studied with a finite element model. It is shown that the equilibrium temperatures obtained in the Linac4 nominal operation mode (100 kW rf power, 2 Hz repetition rate, and 0.4 ms pulse duration) are within material specifications except for the magnet cage, where a redesign may be necessary. To assess the upgrade of the Linac4 source for operation in the high-power operation mode of the Superconducting Proton Linac (SPL), an extrapolation of the heat load toward 100 kW rf power, 50 Hz repetition rate, and 0.4 ms pulse duration has been performed. The results indicate that a significant improvement of the source cooling is required to allow for operation in the high-power mode of SPL.

  14. Finite element thermal study of the Linac4 plasma generator

    Energy Technology Data Exchange (ETDEWEB)

    Faircloth, D. [STFC, Rutherford Appleton Laboratory, Chilton, Oxon OX11 0QX (United Kingdom); Kronberger, M.; Kuechler, D.; Lettry, J.; Scrivens, R. [BE-ABP, Hadron Sources and Linacs, CERN, CH-1211 Geneva (Switzerland)

    2010-02-15

    The temperature distribution and heat flow at equilibrium of the plasma generator of the rf-powered noncesiated Linac4 H{sup -} ion source have been studied with a finite element model. It is shown that the equilibrium temperatures obtained in the Linac4 nominal operation mode (100 kW rf power, 2 Hz repetition rate, and 0.4 ms pulse duration) are within material specifications except for the magnet cage, where a redesign may be necessary. To assess the upgrade of the Linac4 source for operation in the high-power operation mode of the Superconducting Proton Linac (SPL), an extrapolation of the heat load toward 100 kW rf power, 50 Hz repetition rate, and 0.4 ms pulse duration has been performed. The results indicate that a significant improvement of the source cooling is required to allow for operation in the high-power mode of SPL.

  15. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  16. Advanced plasma flow simulations of cathodic-arc and ferroelectric plasma sources for neutralized drift compression experiments

    Directory of Open Access Journals (Sweden)

    Adam B. Sefkow

    2008-07-01

    Full Text Available Large-space-scale and long-time-scale plasma flow simulations are executed in order to study the spatial and temporal evolution of plasma parameters for two types of plasma sources used in the neutralized drift compression experiment (NDCX. The results help assess the charge neutralization conditions for ion beam compression experiments and can be employed in more sophisticated simulations, which previously neglected the dynamical evolution of the plasma. Three-dimensional simulations of a filtered cathodic-arc plasma source show the coupling efficiency of the plasma flow from the source to the drift region depends on geometrical factors. The nonuniform magnetic topology complicates the well-known general analytical considerations for evaluating guiding-center drifts, and particle-in-cell simulations provide a self-consistent evaluation of the physics in an otherwise challenging scenario. Plasma flow profiles of a ferroelectric plasma source demonstrate that the densities required for longitudinal compression experiments involving ion beams are provided over the drift length, and are in good agreement with measurements. Simulations involving azimuthally asymmetric plasma creation conditions show that symmetric profiles are nevertheless achieved at the time of peak on-axis plasma density. Also, the ferroelectric plasma expands upstream on the thermal expansion time scale, and therefore avoids the possibility of penetration into the acceleration gap and transport sections, where partial neutralization would increase the beam emittance. Future experiments on NDCX will investigate the transverse focusing of an axially compressing intense charge bunch to a sub-mm spot size with coincident focal planes using a strong final-focus solenoid. In order to fill a multi-tesla solenoid with the necessary high-density plasma for beam charge neutralization, the simulations predict that supersonically injected plasma from the low-field region will penetrate and

  17. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  18. High-Current Plasma Electron Sources

    International Nuclear Information System (INIS)

    Gushenets, J.Z.; Krokhmal, V.A.; Krasik, Ya. E.; Felsteiner, J.; Gushenets, V.

    2002-01-01

    In this report we present the design, electrical schemes and preliminary results of a test of 4 different electron plasma cathodes operating under Kg h-voltage pulses in a vacuum diode. The first plasma cathode consists of 6 azimuthally symmetrically distributed arc guns and a hollow anode having an output window covered by a metal grid. Plasma formation is initiated by a surface discharge over a ceramic washer placed between a W-made cathode and an intermediate electrode. Further plasma expansion leads to a redistribution of the discharge between the W-cathode and the hollow anode. An accelerating pulse applied between the output anode grid and the collector extracts electrons from this plasma. The operation of another plasma cathode design is based on Penning discharge for preliminary plasma formation. The main glow discharge occurs between an intermediate electrode of the Penning gun and the hollow anode. To keep the background pressure in the accelerating gap at P S 2.5x10 4 Torr either differential pumping or a pulsed gas puff valve were used. The operation of the latter electron plasma source is based on a hollow cathode discharge. To achieve a sharp pressure gradient between the cathode cavity and the accelerating gap a pulsed gas puff valve was used. A specially designed ferroelectric plasma cathode initiated plasma formation inside the hollow cathode. This type of the hollow cathode discharge ignition allowed to achieve a discharge current of 1.2 kA at a background pressure of 2x10 4 Torr. All these cathodes were developed and initially tested inside a planar diode with a background pressure S 2x10 4 Torr under the same conditions: accelerating voltage 180 - 300 kV, pulse duration 200 - 400 ns, electron beam current - 1 - 1.5 kA, and cross-sectional area of the extracted electron beam 113 cm 2

  19. Transport of high fluxes of hydrogen plasma in a linear plasma generator

    NARCIS (Netherlands)

    Vijvers, W.A.J.; Al, R.S.; Lopes Cardozo, N.J.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Meiden, van der H.J.; Peppel, van de R.J.E.; Schram, D.C.; Shumack, A.E.; Westerhout, J.; Rooij, van G.J.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    A study was made to quantify the losses during the convective hydrogen plasma transport in the linear plasma generator Pilot-PSI due to volume recombination. A transport efficiency of 35% was achieved at neutral background pressures below ~7 Pa in a magnetic field of 1.2 T. This efficiency decreased

  20. Dynamics of ion beam charge neutralization by ferroelectric plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Stepanov, Anton D.; Gilson, Erik P.; Grisham, Larry R.; Kaganovich, Igor D.; Davidson, Ronald C. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey 08543 (United States)

    2016-04-15

    Ferroelectric Plasma Sources (FEPSs) can generate plasma that provides effective space-charge neutralization of intense high-perveance ion beams, as has been demonstrated on the Neutralized Drift Compression Experiment NDCX-I and NDCX-II. This article presents experimental results on charge neutralization of a high-perveance 38 keV Ar{sup +} beam by a plasma produced in a FEPS discharge. By comparing the measured beam radius with the envelope model for space-charge expansion, it is shown that a charge neutralization fraction of 98% is attainable with sufficiently dense FEPS plasma. The transverse electrostatic potential of the ion beam is reduced from 15 V before neutralization to 0.3 V, implying that the energy of the neutralizing electrons is below 0.3 eV. Measurements of the time-evolution of beam radius show that near-complete charge neutralization is established ∼5 μs after the driving pulse is applied to the FEPS and can last for 35 μs. It is argued that the duration of neutralization is much longer than a reasonable lifetime of the plasma produced in the sub-μs surface discharge. Measurements of current flow in the driving circuit of the FEPS show the existence of electron emission into vacuum, which lasts for tens of μs after the high voltage pulse is applied. It is argued that the beam is neutralized by the plasma produced by this process and not by a surface discharge plasma that is produced at the instant the high-voltage pulse is applied.

  1. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  2. High order harmonic generation from plasma mirror

    International Nuclear Information System (INIS)

    Thaury, C.

    2008-09-01

    When an intense laser beam is focused on a solid target, its surface is rapidly ionized and forms a dense plasma that reflects the incident field. For laser intensities above few 10 15 W/cm 2 , high order harmonics of the laser frequency, associated in the time domain to a train of atto-second pulses (1 as = 10 18 s), can be generated upon this reflection. Because such a plasma mirror can be used with arbitrarily high laser intensities, this process should eventually lead to the production of very intense pulses in the X-ray domain. In this thesis, we demonstrate that for laser intensities about 10 19 W/cm 2 , two mechanisms can contribute to the generation of high order harmonics: the coherent wake emission and the relativistic emission. These two mechanisms are studied both theoretically and experimentally. In particular, we show that, thanks to very different properties, the harmonics generated by these two processes can be unambiguously distinguished experimentally. We then investigate the phase properties of the harmonic, in the spectral and in the spatial domain. Finally, we illustrate how to exploit the coherence of the generation mechanisms to get information on the dynamics of the plasma electrons. (author)

  3. State-space modeling of the radio frequency inductively-coupled plasma generator

    International Nuclear Information System (INIS)

    Dewangan, Rakesh Kumar; Punjabi, Sangeeta B; Mangalvedekar, H A; Lande, B K; Joshi, N K; Barve, D N

    2010-01-01

    Computational fluid dynamics models of RF-ICP are useful in understanding the basic transport phenomenon in an ICP torch under a wide variety of operating conditions. However, these models lack the ability to evaluate the effects of the plasma condition on the RF generator. In this paper, simulation of an induction plasma generator has been done using state space modelling by considering inductively coupled plasma as a part of RF network .The time dependent response of the RF-ICP generator circuit to given input excitation has been computed by extracting the circuit's state-space variables and their constraint matrices. MATLAB 7.1 software has been used to solve the state equations. The values of RF coil current, frequency and plasma power has been measured experimentally also at different plate bias voltage. The simulated model is able to predict RF coil current, frequency, plasma power, overall efficiency of the generator. The simulated and measured values are in agreement with each other. This model can prove useful as a design tool for the Induction plasma generator.

  4. Proton emission from laser-generated plasmas at different intensities

    Czech Academy of Sciences Publication Activity Database

    Torrisi, L.; Cutroneo, M.; Cavallaro, S.; Giuffrida, L.; Margarone, Daniele

    2012-01-01

    Roč. 57, č. 2 (2012), s. 237-240 ISSN 0029-5922. [International Conference on Research and Applications of Plasmas (PLASMA). Warsaw, 12.09.2011-16.09.2011] Institutional support: RVO:68378271 Keywords : laser-generated plasma * hydrogenated targets * proton acceleration Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.507, year: 2012

  5. Deactivation of Escherichia coli in a post-discharge chamber coupled to an atmospheric pressure multi-electrode DBD plasma source

    International Nuclear Information System (INIS)

    Pérez-Ruiz, V H; López-Callejas, R; De la Piedad Beneitez, A; Peña-Eguiluz, R; Mercado-Cabrera, A; Muñoz-Castro, A E; Barocio, S R; Valencia-Alvarado, R; Rodríguez-Méndez, B G

    2012-01-01

    Experimental results from applying a room pressure RF multi-electrode DBD plasma source to the inhibition of the population growth of Gram negative Escherichia coli (E. coli) within a post-discharge reactor are reported. The sample to be treated is deposited in the post-discharge chamber at about 50 mm from the plasma source outlet. Thus, the active species generated by the source are conveyed toward the chamber by the working gas flow. The plasma characterization included the measurement of the axial temperature at different distances from the reactor outlet by means of a K-type thermocouple. The resulting 294 K to 322 K temperature interval corresponded to distances between 10 mm to 1 mm respectively. As the material under treatment is placed further away, any thermal damage of the sample by the plasma is prevented. The measurement and optimization of the ozone O 3 concentration has also been carried out, provided that this is an active specie with particularly high germicide power. The effectiveness treatment of the E. coli bacteria growth inhibition by the proposed plasma source reached 99% when a 10 3 CFU/mL concentration on an agar plate had been exposed during ten minutes.

  6. Characteristics of an elongated plasma column produced by magnetically coupled hollow cathode plasma source

    Science.gov (United States)

    Bhuva, M. P.; Karkari, S. K.; Kumar, Sunil

    2018-03-01

    An elongated plasma column in the presence of an axial magnetic field has been formed using a cylindrical hollow cathode (HC) and a constricted anode (CA). The plasma characteristics of the central line have been found to vary with the magnetic field strength and the axial distance from the source. It is believed that the primary electrons constituting the discharge current are steered by the axial magnetic field to undertake ionizing collisions along the plasma column. The current carrying electrons from the HC reach the anode by cross-field diffusion towards the central line. The above observation has been substantiated using a phenomenological model which links the observed characteristics of the source with the plasma column. The experimental results are found to be in qualitative agreement with the model.

  7. Applied plasma physics

    International Nuclear Information System (INIS)

    Anon.

    1979-01-01

    Applied Plasma Physics is a major sub-organizational unit of the Magnetic Fusion Energy (MFE) Program. It includes Fusion Plasma Theory and Experimental Plasma Research. The Fusion Plasma Theory group has the responsibility for developing theoretical-computational models in the general areas of plasma properties, equilibrium, stability, transport, and atomic physics. This group has responsibility for giving guidance to the mirror experimental program. There is a formal division of the group into theory and computational; however, in this report the efforts of the two areas are not separated since many projects have contributions from members of both. Under the Experimental Plasma Research Program we are developing a neutral-beam source, the intense, pulsed ion-neutral source (IPINS), for the generation of a reversed-field configuration on 2XIIB. We are also studying the feasibility of using certain neutron-detection techniques as plasma diagnostics in the next generation of thermonuclear experiments

  8. Characterization of an Atmospheric-Pressure Argon Plasma Generated by 915 MHz Microwaves Using Optical Emission Spectroscopy

    Directory of Open Access Journals (Sweden)

    Robert Miotk

    2017-01-01

    Full Text Available The paper presents the investigations of an atmospheric-pressure argon plasma generated at 915 MHz microwaves using the optical emission spectroscopy (OES. The 915 MHz microwave plasma was inducted and sustained in a waveguide-supplied coaxial-line-based nozzleless microwave plasma source. The aim of presented investigations was to estimate parameters of the generated plasma, that is, excitation temperature of electrons Texc, temperature of plasma gas Tg, and concentration of electrons ne. Assuming that excited levels of argon atoms are in local thermodynamic equilibrium, Boltzmann method allowed in determining the Texc temperature in the range of 8100–11000 K. The temperature of plasma gas Tg was estimated by comparing the simulated spectra of the OH radical to the measured one in LIFBASE program. The obtained Tg temperature ranged in 1200–2800 K. Using a method based on Stark broadening of the Hβ line, the concentration of electrons ne was determined in the range from 1.4 × 1015 to 1.7 × 1015 cm−3, depending on the power absorbed by the microwave plasma.

  9. LLNL large-area inductively coupled plasma (ICP) source: Experiments

    International Nuclear Information System (INIS)

    Richardson, R.A.; Egan, P.O.; Benjamin, R.D.

    1995-05-01

    We describe initial experiments with a large (76-cm diameter) plasma source chamber to explore the problems associated with large-area inductively coupled plasma (ICP) sources to produce high density plasmas useful for processing 400-mm semiconductor wafers. Our experiments typically use a 640-nun diameter planar ICP coil driven at 13.56 MHz. Plasma and system data are taken in Ar and N 2 over the pressure range 3-50 mtorr. RF inductive power was run up to 2000W, but typically data were taken over the range 100-1000W. Diagnostics include optical emission spectroscopy, Langmuir probes, and B probes as well as electrical circuit measurements. The B and E-M measurements are compared with models based on commercial E-M codes. Initial indications are that uniform plasmas suitable for 400-mm processing are attainable

  10. Nonequilibrium atmospheric pressure plasma jet using a combination of 50 kHz/2 MHz dual-frequency power sources

    International Nuclear Information System (INIS)

    Zhou, Yong-Jie; Yuan, Qiang-Hua; Li, Fei; Wang, Xiao-Min; Yin, Gui-Qin; Dong, Chen-Zhong

    2013-01-01

    An atmospheric pressure plasma jet is generated by dual sinusoidal wave (50 kHz and 2 MHz). The dual-frequency plasma jet exhibits the advantages of both low frequency and radio frequency plasmas, namely, the long plasma plume and the high electron density. The radio frequency ignition voltage can be reduced significantly by using dual-frequency excitation compared to the conventional radio frequency without the aid of the low frequency excitation source. A larger operating range of α mode discharge can be obtained using dual-frequency excitation which is important to obtain homogeneous and low-temperature plasma. A larger controllable range of the gas temperature of atmospheric pressure plasma could also be obtained using dual-frequency excitation

  11. Space-time structure of neutron and X-ray sources in a plasma focus

    International Nuclear Information System (INIS)

    Bostick, W.H.; Nardi, V.; Prior, W.

    1977-01-01

    Systematic measurements with paraffin collimators of the neutron emission intensity have been completed on a plasma focus with a 15-20 kV capacitor bank (hollow centre electrode; discharge period T approximately 8 μs; D 2 filling at 4-8 torr). The space resolution was 1 cm or better. These data indicate that at least 70% of the total neutron yield originates within hot-plasma regions where electron beams and high-energy D beams (approximately > 0.1-1 MeV) are produced. The neutron source is composed of several (approximately > 1-10) space-localized sources of different intensity, each with a duration approximately less than 5 ns (FWHM). Localized neutron sources and hard (approximately > 100 keV) X-ray sources have the same time multiplicity and are usually distributed in two groups over a time interval 40-400 ns long. By the mode of operation used by the authors one group of localized sources (Burst II) is observed 200-400 ns after the other group (Burst I) and its space distribution is broader than for Burst I. The maximum intensity of a localized source of neutrons in Burst I is much higher than the maximum intensity in Burst II. Secondary reactions T(D,n) 4 He (from the tritium produced only by primary reactions in the same discharge; no tritium was used in filling the discharge chamber) are observed in a time coincidence with the strongest D-D neutron pulse of Burst I. The neutron signal from a localized source with high intensity has a relatively long tail of small amplitude (area tail approximately less than 0.2 X area peak). This tail can be generated by the D-D reactions of the unconfined part of an ion beam in the cold plasma. Complete elimination of scattered neutrons on the detector was achieved in these measurements. (author)

  12. Impurity radiation from a beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1995-01-01

    Impurity radiation, in a worst case evaluation for a beam-plasma neutron source (BPNS), does not limit performance. Impurities originate from four sources: (a) sputtering from walls by charge exchange or alpha particle bombardment, (b) sputtering from limiters, (c) plasma desorption of gas from walls and (d) injection with neutral beams. Sources (c) and (d) are negligible; adsorbed gas on the walls of the confinement chamber and the neutral beam sources is removed by the steady state discharge. Source (b) is negligible for impinging ion energies below the sputtering threshold (T i ≤ 0.025 keV on tungsten) and for power densities to the limiter within the capabilities of water cooling (30-40 MW/m 2 ); both conditions can be satisfied in the BPNS. Source (a) radiates 0.025 MW/m 2 to the neutron irradiation samples, compared with 5 to 10 MW/m 2 of neutrons; and radiates a total of 0.08 MW from the plasma column, compared with 60 MW of injected power. The particle bombardment that yields source (a) deposits an average of 2.7 MW/m 2 on the samples, within the capabilities of helium gas cooling (10 MW/m 2 ). An additional worst case for source (d) is evaluated for present day 2 to 5 s pulsed neutral beams with 0.1% impurity density and is benchmarked against 2XIIB. The total radiation would increase a factor of 1.5 to ≤ 0.12 MW, supporting the conclusion that impurities will not have a significant impact on a BPN. (author). 61 refs, 7 figs, 2 tabs

  13. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  14. Concept of a tunable source of coherent THz radiation driven by a plasma modulated electron beam

    Science.gov (United States)

    Zhang, H.; Konoplev, I. V.; Doucas, G.; Smith, J.

    2018-04-01

    We have carried out numerical studies which consider the modulation of a picosecond long relativistic electron beam in a plasma channel and the generation of a micro-bunched train. The subsequent propagation of the micro-bunched beam in the vacuum area was also investigated. The same numerical model was then used to simulate the radiation arising from the interaction of the micro-bunched beam with a metallic grating. The dependence of the radiation spectrum on the parameters of the micro-bunched beam has been studied and the tunability of the radiation by the variation of the micro-bunch spacing has been demonstrated. The micro-bunch spacing can be changed easily by altering the plasma density without changing the beam energy or current. Using the results of these studies, we develop a conceptual design of a tunable source of coherent terahertz (THz) radiation driven by a plasma modulated beam. Such a source would be a potential and useful alternative to conventional vacuum THz tubes and THz free-electron laser sources.

  15. Simulating Sources of Superstorm Plasmas

    Science.gov (United States)

    Fok, Mei-Ching

    2008-01-01

    We evaluated the contributions to magnetospheric pressure (ring current) of the solar wind, polar wind, auroral wind, and plasmaspheric wind, with the surprising result that the main phase pressure is dominated by plasmaspheric protons. We used global simulation fields from the LFM single fluid ideal MHD model. We embedded the Comprehensive Ring Current Model within it, driven by the LFM transpolar potential, and supplied with plasmas at its boundary including solar wind protons, polar wind protons, auroral wind O+, and plasmaspheric protons. We included auroral outflows and acceleration driven by the LFM ionospheric boundary condition, including parallel ion acceleration driven by upward currents. Our plasmasphere model runs within the CRCM and is driven by it. Ionospheric sources were treated using our Global Ion Kinetics code based on full equations of motion. This treatment neglects inertial loading and pressure exerted by the ionospheric plasmas, and will be superceded by multifluid simulations that include those effects. However, these simulations provide new insights into the respective role of ionospheric sources in storm-time magnetospheric dynamics.

  16. Plasma waveguides: Addition of end funnels and generation in clustered gases

    International Nuclear Information System (INIS)

    Kim, K.Y.; Alexeev, I.; Fan, J.; Parra, E.; Milchberg, H.M.

    2002-01-01

    We present results from some recent experiments: the generation of a plasma funnel for improved pump pulse input coupling to plasma waveguides, and the development of a single shot transient phase diagnostic with 15 fs temporal resolution. The phase diagnostic is used in two experiments. We first demonstrate that short pulse heated clustered gases can act as an optical guiding medium and are highly absorbing. We show that this leads to a method for plasma waveguide generation at densities substantially lower than current typical values. Second, we measure transient phase shifts generated by intense pump pulses injected into plasma waveguides

  17. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  18. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Yoon-Jae [Samsung Electronics Co. Ltd., Gyeonggi 445-701 (Korea, Republic of); Park, Man-Jin [Research Institute of Nano Manufacturing System, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Moon, Dae Won [Nanobio Fusion Research Center, Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of)

    2012-02-15

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m{sup 2} SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  19. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    International Nuclear Information System (INIS)

    Toader, D.; Craciun, G.; Manaila, E.; Oproiu, C.; Marghitu, S.

    2009-01-01

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES L V) with a plasma electron source (PES L V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP L V source.

  20. Generation of plasma rotation by ICRH in tokamaks

    International Nuclear Information System (INIS)

    Chang, C.; Phillips, C.K.; White, R.B.; Zweben, S.; Bonoli, P.T.; Rice, J.; Greenwald, M.; Grassie, J.S. de

    2001-01-01

    A physical mechanism to generate plasma rotation by ICRH is presented in a tokamak geometry. By breaking the omnigenity of resonant ion orbits, ICRH can induce a non-ambipolar minor-radial flow of resonant ions. This induces a return current j p r in the plasma, which then drives plasma rotation through the j p r xB force. It is estimated that the fast-wave power in the present-day tokamak experiments can be strong enough to give a significant modification to plasma rotation. (author)

  1. Generation mechanism and properties of plasma double layers

    International Nuclear Information System (INIS)

    Sanduloviciu, M.; Lozneanu, E.

    1985-01-01

    The generation mechanism of plasma double layers is studied surveying the results of some experiments. The main mechanism is the same in the cases of collisional and collisionless plasmas. Inelastic quantum collision processes taking place between plasma electrons, accelerated in a local field up to near the same oriented velocity and the neutral particles of the background gases create the necessary conditions for double layer formation. (D.Gy.)

  2. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  3. The effect of the novel internal-type linear inductive antenna for large area magnetized inductive plasma source

    Science.gov (United States)

    Lee, S. H.; Shulika, Olga.; Kim, K. N.; Yeom, G. Y.; Lee, J. K.

    2004-09-01

    As the technology of plasma processing progresses, there is a continuing demand for higher plasma density, uniformity over large areas and greater control over plasma parameters to optimize the processes of etching, deposition and surface treatment. Traditionally, the external planar ICP sources with low pressure high density plasma have limited scale-up capabilities due to its high impedance accompanied by the large antenna size. Also due to the cost and thickness of their dielectric material in order to generate uniform plasma. In this study the novel internal-type linear inductive antenna system (1,020mm¡¿830mm¡¿437mm) with permanent magnet arrays are investigated to improve both the plasma density and the uniformity of LAPS (Large Area Plasma Source) for FPD processing. Generally plasma discharges are enhanced because the inductance of the novel antenna (termed as the double comb antenna) is lower than that of the serpentine-type antenna and also the magnetic confinement of electron increases the power absorption efficiency. The uniformity is improved by reducing the standing wave effect. The total length of antenna is comparable to the driving rf wavelength to cause the plasma nonuniformity. To describe the discharge phenomenon we have developed a magnetized two-dimensional fluid simulation. This work was supported by National Research Laboratory (NRL) Program of the Korea Ministry of Science and Technology. [References] 1. J.K.Lee, Lin Meng, Y.K.Shin, H,J,Lee and T.H.Chung, ¡°Modeling and Simulation of a Large-Area Plasma Source¡±, Jpn. J. Appl. Phys. Vol.36(1997) pp. 5714-5723 2. S.E.Park, B.U.Cho, Y.J.Lee*, and G.Y.Yeom*, and J.K.Lee, ¡°The Characteristics of Large Area Processing Plasmas¡±, IEEE Trans. Plasma Sci., Vol.31 ,No.4(2003) pp. 628-637

  4. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  5. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  6. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  7. A Guillemin type E pulse forming network as the driver for a pulsed, high density plasma source.

    Science.gov (United States)

    Rathod, Priyavandna J; Anitha, V P; Sholapurwala, Z H; Saxena, Y C

    2014-06-01

    A Guillemin type E pulse forming network (PFN) has been designed, developed, and tested for its application in generating high density (~1 × 10(18) m(-3)) plasmas. In the present study, plasma thus generated is utilized to investigate the interaction of high power microwaves (HPMs) with plasma in an experimental architecture known as SYMPLE (System for Microwave PLasma Experiment). Plasma discharges of ~100 μs (max) duration are to be produced, by delivering energy of 5 kJ stored in a PFN to the plasma source, a washer gun. The output of the PFN, in terms of its rise time, flat top and amplitude, needs to be tailored, depending on the experimental requirements. An ignitron (NL8900) trigger generator (ITG) is developed in-house to control the PFN discharge through the gun. This ITG is also to be used in a circuit that synchronizes the HPM and plasma shots, to ensure that HPM-plasma interaction takes place during a temporal regime where appropriate parametric conditions are satisfied. Hence it is necessary to retain the jitter within ±2.5 μs. Further, requirement on plasma quiescence (~10%) necessitates maintaining the ripple within 5%. The developmental work of the PFN, keeping in view the above criteria and the test results, is presented in this paper. The parameters of the PFN have been analytically approximated and verified with PSPICE simulation. The test results presented include rise time ~5-8 μs, flat top variable in the range 20-100 μs, ripple within ~1.5%, and jitter within ±2.5 μs, producing quiescent (plasma discharge meeting the experimental requirements.

  8. On the electric and magnetic field generation in expanding plasmas

    International Nuclear Information System (INIS)

    Gielen, H.J.G.

    1989-01-01

    This thesis deals with the generation of electric and magnetic fields in expanding plasmas. The theoretical model used to calculate the different field quantities in such plasmas is discussed in part 1 and is in fact an analysis of Ohm's law. A general method is given that decomposes each of the forces terms in Ohm's law in a component that induces a charge separation in the plasma and in a component that can drive current. This decomposition is unambiguous and depends upon the boundary conditions for the electric potential. It is shown that in calculating the electromagnetic field quantities in a plasma that is located in the vicinity of a boundary that imposes constraints on the electric potential, Ohm's law should be analyzed instead of the so-called induction equation. Three applications of the model are presented. A description is given of the unipolar arc discharge where both plasma and sheath effects have been taken into account. Secondly a description is presented of the plasma effects of a cathode spot. The third application of the model deals with the generation of magnetic fields in laser-produced plasmas. The second part of this thesis describes the experiments on a magnetized argon plasma expanding from a cascaded arc. With the use of spectroscopic techniques the electron density, ion temperature and the rotation velocity profiles of the ion gas have been determined. The magnetic field generated by the plasma has been measured with the use of the Zeeman effect. Depending on the channel diameter of the nozzle of the cascaded arc, self-generated magnetic fields with axial components of the order of 1% of the externally applied mangetic field have been observed. From the measured ion rotation it has been concluded that this magnetic field is mainly generated by azimuthal electron currents. The corresponding azimuthal current density is of the order of 15% of the axial current density. The observed ion rotation is caused by electron-ion friction. (author

  9. Evaluation of Novel Integrated Dielectric Barrier Discharge Plasma as Ozone Generator

    Directory of Open Access Journals (Sweden)

    Muhammad Nur

    2017-04-01

    Full Text Available This paper presents a characterization of an integrated ozone generator constructed by seven of reactors of Dielectric Barrier Discharge Plasma (DBDP. DBDP a has spiral-cylindrical configuration. Silence plasma produced ozone inside the DBDP reactor was generated by AC-HV with voltage up to 25 kV and maximum frequency of 23 kHz. As a source of ozone, dry air was pumped into the generator and controlled by valves system and a flowmeter. We found ozone concentration increased with the applied voltage, but in contrary, the concentration decreased with the flow rate of dry air. It was also found that a maximum concentration was 20 mg/L and ozone capacity of 48 g/h with an input power of 1.4 kW. Moreover, in this generator, IP efficiency of 8.13 g/kWh was obtained at input power 0.45 kW and air flow rate of 9 L/min. Therefore, be the higher ozone capacity can be produced with higher input power; however, it provided lower IP efficiency. The effect of dry air flow rate and applied voltage on ozone concentrations have been studied. At last, spiral wire copper was very corrosive done to the interaction with ozone, and it is necessary to do a research for finding the best metals as an active electrode inside of the quartz dielectric. Copyright © 2017 BCREC GROUP. All rights reserved Received: 18th July 2016; Revised: 25th September 2016; Accepted: 5th October 2016 How to Cite: Nur, M., Susan, A.I., Muhlisin, Z., Arianto, F., Kinandana, A.W., Nurhasanah, I., Sumariyah, S., Wibawa, P.J., Gunawan, G., Usman, A. (2017. Evaluation of Novel Integrated Dielectric Barrier Discharge Plasma as Ozone Generator. Bulletin of Chemical Reaction Engineering & Catalysis, 12 (1: 24-31 (doi:10.9767/bcrec.12.1.605.24-31 Permalink/DOI: http://dx.doi.org/10.9767/bcrec.12.1.605.24-31

  10. Experimental facility for determining plasma characteristics in ion sources

    International Nuclear Information System (INIS)

    Abroyan, M.A.; Kagan, Yu.M.; Kolokolov, N.B.; Lavrov, B.P.

    A facility for optical and electrical measurements of the plasma parameters in the arc plasma ion sources is described. The potentialities of the system are demonstrated on the basis of the electron concentration, the electron energy distribution function, and the radial population distribution of the excited states of hydrogen atoms in the arc plasma of the duoplasmatron. (U.S.)

  11. Development of very large helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro; Tanikawa, Takao

    2004-01-01

    We have developed a very large volume, high-density helicon plasma source, 75 cm in diameter and 486 cm in axial length; full width at half maximum of the plasma density is up to ∼42 cm with good plasma uniformity along the z axis. By the use of a spiral antenna located just outside the end of the vacuum chamber through a quartz-glass window, plasma can be initiated with a very low value of radio frequency (rf) power ( 12 cm -3 is successfully produced with less than several hundred Watt; achieving excellent discharge efficiency. It is possible to control the radial density profile in this device by changing the magnetic field configurations near the antenna and/or the antenna radiation-field patterns

  12. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    Energy Technology Data Exchange (ETDEWEB)

    Toader, D; Craciun, G; Manaila, E; Oproiu, C [National Institute of Research for Laser, Plasma and Radiation Physics Bucuresti (Romania); Marghitu, S [ICPE Electrostatica S.A - Bucuresti (Romania)

    2009-11-15

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES{sub L}V) with a plasma electron source (PES{sub L}V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP{sub L}V source.

  13. Operational characteristics of the high flux plasma generator magnum-PSI

    NARCIS (Netherlands)

    Van Eck, H.J.N.; Abrams, T.; Van Den Berg, M.A.; Brons, S.D.N.; Van Eden, G.G.; Jaworski, M.A.; Kaita, R.; Van Der Meiden, H.J.; Morgan, T.W.; van de Pol, Marc J.; Scholten, J.; Smeets, P.H.M.; De Temmerman, G.; De Vries, P.C.; Zeijlmans Van Emmichoven, P.A.

    2014-01-01

    In Magnum-PSI (MAgnetized plasma Generator and NUMerical modeling for Plasma Surface Interactions), the high density, low temperature plasma of a wall stabilized dc cascaded arc is confined to a magnetized plasma beam by a quasi-steady state axial magnetic field up to 1.3 T. It aims at conditions

  14. Opacity measurements in shock-generated argon plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erskine, D.

    1993-07-01

    Dense plasmas having uniform and constant density and temperature are generated by passage of a planar shock wave through gas. The opacity of the plasma is accurately measured versus wavelength by recording the risetime of emitted light. This technique is applicable to a wide variety of species and plasma conditions. Initial experiments in argon have produced plasmas with 2 eV temperatures, 0.004--0.04 g/cm{sup 3} densities, and coupling parameters {Gamma} {approximately}0.3--0.7. Measurements in visible light are compared with calculations using the HOPE code. An interesting peak in the capacity at 400 nm is observed for the first time and is identified with the 4s-5p transition in excited neutral argon atoms.

  15. Development of a 1-m plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-05-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ˜0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ˜10 -6 Torr with plasma densities of 10 11 cm -3. Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (˜1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ˜10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed.

  16. Development of a 1-m plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-01-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ∼0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ∼10 -6 Torr with plasma densities of 10 11 cm -3 . Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (∼1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ∼10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed

  17. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  18. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  19. A 14-MeV beam-plasma neutron source for materials testing

    International Nuclear Information System (INIS)

    Futch, A.H.; Coensgen, F.H.; Damm, C.C.; Molvik, A.W.

    1989-01-01

    The design and performance of 14-MeV beam-plasma neutron sources for accelerated testing of fusion reactor materials are described. Continuous production of 14-MeV neutron fluxes in the range of 5 to 10 MW/m 2 at the plasma surface are produced by D-T reactions in a two-component plasma. In the present designs, 14-MeV neutrons result from collisions of energetic deuterium ions created by transverse injection of 150-keV deuterium atoms on a fully ionized tritium target plasma. The beam energy, which deposited at the center of the tritium column, is transferred to the warm plasma by electron drag, which flows axially to the end regions. Neutral gas at high pressure absorbs the energy in the tritium plasma and transfers the heat to the walls of the vacuum vessel. The plasma parameters of the neutron source, in dimensionless units, have been achieved in the 2XIIB high-β plasma. The larger magnetic field of the present design permits scaling to the higher energy and density of the neutron source design. In the extrapolation, care has been taken to preserve the scaling and plasma attributes that contributed to equilibrium, magnetohydrodynamic (MHD) stability, and microstability in 2XIIB. The performance and scaling characteristics are described for several designs chosen to enhance the thermal isolation of the two-component plasmas. 11 refs., 3 figs., 3 tabs

  20. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    Science.gov (United States)

    Robert, E.; Sarron, V.; Riès, D.; Dozias, S.; Vandamme, M.; Pouvesle, J.-M.

    2012-06-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 107-108 cm s-1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications.

  1. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    International Nuclear Information System (INIS)

    Robert, E; Sarron, V; Riès, D; Dozias, S; Vandamme, M; Pouvesle, J-M

    2012-01-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 10 7 –10 8 cm s −1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications. (paper)

  2. Engineering design of plasma generation devices using Elmer finite element simulation methods

    Directory of Open Access Journals (Sweden)

    Daniel Bondarenko

    2017-02-01

    Full Text Available Plasma generation devices are important technology for many engineering disciplines. The process for acquiring experience for designing plasma devices requires practice, time, and the right tools. The practice and time depend on the individual and the access to the right tools can be a limiting factor to achieve experience and to get an idea on the possible risks. The use of Elmer finite element method (FEM software for verifying plasma engineering design is presented as an accessible tool that can help modeling multi-physics and verifying plasma generation devices. Furthermore, Elmer FEM will be suitable for experienced engineer and can be used for determining the risks in a design or a process that use plasma. A physical experiment was conducted to demonstrate new features of plasma generation technology where results are compared with plasma simulation using Elmer FEM.

  3. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  4. Generator of the low-temperature heterogeneous plasma flow

    Science.gov (United States)

    Yusupov, D. I.; Gadzhiev, M. Kh; Tyuftyaev, A. S.; Chinnov, V. F.; Sargsyan, M. A.

    2018-01-01

    A generator of low-temperature dc plasma with an expanding channel of an output electrode for gas-thermal spraying was designed and constructed. The delivery of the sprayed powder into the cathode and anode arc-binding zones or into the plasma jet below the anode binding was realized. The electrophysical characteristics of both the plasma torch and the heterogeneous plasma flow with Al2O3 powder are studied. It is shown that the current-voltage characteristic (CVC) of a plasma torch depends on the gas flow rate. If the flow rate varies from 1 to 3 g/s, the falling CVC becomes gradually increasing. The speed and temperature of the sprayed powder are determined.

  5. The influence of plasma motion on disruption generated runaway electrons

    International Nuclear Information System (INIS)

    Russo, A.J.

    1991-01-01

    One of the possible consequences of disruptions is the generation of runaway electrons which can impact plasma facing components and cause damage due to high local energy deposition. This problem becomes more serious as the machine size and plasma current increases. Since large size and high currents are characteristics of proposed future machines, control of runaway generation is an important design consideration. A lumped circuit model for disruption runaway electron generation indicates that control circuitry on strongly influence runaway behavior. A comparison of disruption data from several shots on JET and D3-D with model results, demonstrate the effects of plasma motion on runaway number density and energy. 6 refs., 12 figs

  6. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  7. A new concept Tandem thermal dissociator/electron impact ion source for RIB generation

    International Nuclear Information System (INIS)

    Alton, G.D.; Williams, C.

    1995-01-01

    An innovative thermal dissociation/electron impact ionization positive ion source is presently under design at the Oak Ridge National Laboratory for potential use for generating RIBs at the Holifield Radioactive Ion Beam Facility (HRIBF). Because of the low probability of simultaneously dissociating and efficiently ionizing the individual atomic constituents with conventional, hot-cathode, electron-impact ion sources, the ion beams extracted from these sources often appear as a mixture of several molecular sideband beams. In this way, the intensity of the species of interest is diluted. We have conceived an Ion source that combines the excellent molecular dissociation properties of a thermal dissociator and the high efficiency characteristics of an electron impact ionization source. If the concept proves to be a viable option, the source will be used as a complement to the electron beam plasma ion sources already in use at the HRIBF. The design features and principles of operation of the source are described in this article

  8. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  9. Generation of type III solar radio bursts: the role of induced scattering of plasma waves by ions

    International Nuclear Information System (INIS)

    Levin, B.N.; Lerner, A.M.; Rapoport, V.O.

    1984-01-01

    The plasma waves in type III solar radio-burst sources might have a spectrum which can explain why, in the quasilinear burst generation model, nonlinear scattering of the waves by ions is so weak. The agent exciting a burst would travel through the corona at velocities limited to a definite range

  10. Plasma phenomenology in astrophysical systems: Radio-sources and jets

    International Nuclear Information System (INIS)

    Montani, Giovanni; Petitta, Jacopo

    2014-01-01

    We review the plasma phenomenology in the astrophysical sources which show appreciable radio emissions, namely Radio-Jets from Pulsars, Microquasars, Quasars, and Radio-Active Galaxies. A description of their basic features is presented, then we discuss in some details the links between their morphology and the mechanisms that lead to the different radio-emissions, investigating especially the role played by the plasma configurations surrounding compact objects (Neutron Stars, Black Holes). For the sake of completeness, we briefly mention observational techniques and detectors, whose structure set them apart from other astrophysical instruments. The fundamental ideas concerning angular momentum transport across plasma accretion disks—together with the disk-source-jet coupling problem—are discussed, by stressing their successes and their shortcomings. An alternative scenario is then inferred, based on a parallelism between astrophysical and laboratory plasma configurations, where small-scale structures can be found. We will focus our attention on the morphology of the radio-jets, on their coupling with the accretion disks and on the possible triggering phenomena, viewed as profiles of plasma instabilities

  11. Application of atmospheric plasma sources in growth and differentiation of plant and mammalian stem cells

    Science.gov (United States)

    Puac, Nevena

    2014-10-01

    The expansion of the plasma medicine and its demand for in-vivo treatments resulted in fast development of various plasma devices that operate at atmospheric pressure. These sources have to fulfill all demands for application on biological samples. One of the sources that meet all the requirements needed for treatment of biological material is plasma needle. Previously, we have used this device for sterilization of planctonic samples of bacteria, MRSA biofilm, for improved differentiation of human periodontal stem cells into osteogenic line and for treatment of plant meristematic cells. It is well known that plasma generates reactive oxygen species (ROS) and reactive nitrogen species (RNS) that strongly affect metabolism of living cells. One of the open issues is to correlate external plasma products (electrons, ions, RNS, ROS, photons, strong fields etc.) with the immediate internal response which triggers or induces effects in the living cell. For that purpose we have studied the kinetics of enzymes which are typical indicators of the identity of reactive species from the plasma created environment that can trigger signal transduction in the cell and ensue cell activity. In collaboration with Suzana Zivkovicm, Institute for Biological Research ``Sinisa Stankovic,'' University of Belgrade; Nenad Selakovic, Institute of Physics, University of Belgrade; Milica Milutinovic, Jelena Boljevic, Institute for Biological Research ``Sinisa Stankovic,'' University of Belgrade; and Gordana Malovic, Zoran Lj. Petrovic, Institute of Physics, University of Belgrade. Grants III41011, ON171037 and ON173024, MESTD, Serbia.

  12. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  13. Source-Independent Quantum Random Number Generation

    Science.gov (United States)

    Cao, Zhu; Zhou, Hongyi; Yuan, Xiao; Ma, Xiongfeng

    2016-01-01

    Quantum random number generators can provide genuine randomness by appealing to the fundamental principles of quantum mechanics. In general, a physical generator contains two parts—a randomness source and its readout. The source is essential to the quality of the resulting random numbers; hence, it needs to be carefully calibrated and modeled to achieve information-theoretical provable randomness. However, in practice, the source is a complicated physical system, such as a light source or an atomic ensemble, and any deviations in the real-life implementation from the theoretical model may affect the randomness of the output. To close this gap, we propose a source-independent scheme for quantum random number generation in which output randomness can be certified, even when the source is uncharacterized and untrusted. In our randomness analysis, we make no assumptions about the dimension of the source. For instance, multiphoton emissions are allowed in optical implementations. Our analysis takes into account the finite-key effect with the composable security definition. In the limit of large data size, the length of the input random seed is exponentially small compared to that of the output random bit. In addition, by modifying a quantum key distribution system, we experimentally demonstrate our scheme and achieve a randomness generation rate of over 5 ×103 bit /s .

  14. Physical properties of compact toroids generated by a coaxial source

    Energy Technology Data Exchange (ETDEWEB)

    Henins, I.; Hoida, H.W.; Jarboe, T.R.; Linford, R.K.; Marshall, J.; McKenna, K.F.; Platts, D.A.; Sherwood, A.R.

    1980-01-01

    In the CTX experiments we have been studying CTs generated with a magnetized coaxial plasma gun. CTs have been generated in prolate and oblate cylindrically symmetric metallic flux conservers. The plasma and magnetic field properties are studied through the use of magnetic probes, Thomson scattering, interferometry, and spectroscopy.

  15. Operation of Ferroelectric Plasma Sources in a Gas Discharge Mode

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2004-01-01

    Ferroelectric plasma sources in vacuum are known as sources of ablative plasma, formed due to surface discharge. In this paper, observations of a gas discharge mode of operation of the ferroelectric plasma sources (FPS) are reported. The gas discharge appears at pressures between approximately 20 and approximately 80 Torr. At pressures of 1-20 Torr, there is a transition from vacuum surface discharge to the gas discharge, when both modes coexist and the surface discharges sustain the gas discharge. At pressures between 20 and 80 Torr, the surface discharges are suppressed, and FPS operate in pure gas discharge mode, with the formation of almost uniform plasma along the entire surface of the ceramics between strips. The density of the expanding plasma is estimated to be about 1013 cm-3 at a distance of 5.5 mm from the surface. The power consumption of the discharge is comparatively low, making it useful for various applications. This paper also presents direct measurements of the yield of secondary electron emission from ferroelectric ceramics, which, at low energies of primary electrons, is high and dependent on the polarization of the ferroelectric material

  16. Technological plasma source equipped with combined system of vacuum-arc discharge initiation

    International Nuclear Information System (INIS)

    Sysoev, Yu.O.

    2013-01-01

    The construction and the operation principle of erosion plasma source with a three-stage system of vacuum-arc discharge excitation is described. As first two step was used the modified contactless start system with plasma injector, which was widely used in standard plasma sources of the ''Bulat'' systems. The operation principle of the third stage was based on the transition of glow discharge to arc discharge. Coordinated operation of three stages during various stages of coating deposition provided significant increasing of service life and reliability of the system of vacuum-arc discharge initiation and extended the functionality of the plasma source

  17. Ultraviolet out-of-band radiation studies in laser tin plasma sources

    Science.gov (United States)

    Parchamy, Homaira; Szilagyi, John; Masnavi, Majid; Richardson, Martin

    2017-11-01

    Out-of-band long wavelength emission measurements from high power, high-repetition-rate extreme-ultra-violet lithography (EUVL) laser plasma sources are imperative to estimating heat deposition in EUV mirrors, and the impact of short wavelength light transported through the imaging system to the wafer surface. This paper reports a series of experiments conducted to measure the absolute spectral irradiances of laser-plasmas produced from planar tin targets over the wavelength region of 124 to 164 nm by 1.06 μm wavelength, 10 ns full-width-at-half-maximum Gaussian laser pulses. The use of spherical targets is relevant to the EUVL source scenario. Although plasmas produced from planar surfaces evolve differently, there is a close similarity to the evolution of current from 10.6 μm CO2 laser EUVL sources, which use a pre-pulse from a lower energy solid-state laser to melt and reform an initial spherical droplet into a thin planar disc target. The maximum of radiation conversion efficiency in the 124-164 nm wavelength band (1%/2πsr) occurs at the laser intensity of 1010 W cm-2. A developed collisional-radiative model reveals the strong experimental spectra that originate mainly from the 4d105p2-4d105s5p, 4d105p-4d105s resonance lines, and 4d95p-4d95s unresolved transition arrays from Sn III, Sn IV, and Sn V ions, respectively. The calculated conversion efficiencies using a 2D radiation-hydrodynamics model are in agreement with the measurements. The model predicts the out-of-band (100-400 nm) radiation conversion efficiencies generated by both 1.06 and 10.6 μm pulses. The 10.6 μm laser pulse produces a higher conversion efficiency (12%/2πsr) at the lower laser intensity of 109 W cm-2.

  18. The Next Generation of Heavy Ion Sources (447th Brookhaven Lecture)

    International Nuclear Information System (INIS)

    Okamura, Masahiro

    2009-01-01

    Imagine if, by staying in your lane when driving on the expressway, you could help fight cancer or provide a new, clean energy source. You would clench the steering wheel with both hands and stay in your lane, right? Unlike driving on the expressway where you intentionally avoid hitting other cars, scientists sometimes work to steer particle beams into head-on collisions with other oncoming particle beams. However, the particles must be kept 'in their lanes' for cleaner, more frequent collisions. Some scientists propose starting the whole process by using lasers to heat a fixed target as a way to get particles with higher charge, which are more steerable. These scientists believe the new methods could be used to develop particle beams for killing cancer cells or creating usable energy from fusion. Join Masahiro Okamura of Brookhaven's Collider-Accelerator Department for the 447th Brookhaven Lecture, titled 'The Next Generation of Heavy Ion Sources.' Okamura will explain how lasers can be used to create plasma, neutral mixtures of positive ions and negative electrons, from different materials, and how using this plasma leads to beams with higher charge states and currents. He will also discuss how this efficient, simpler method of producing particle beams might be used for cancer therapy, to develop new energy sources, or in synchrotrons.

  19. Generation of zonal flows in rotating fluids and magnetized plasmas

    DEFF Research Database (Denmark)

    Juul Rasmussen, J.; Garcia, O.E.; Naulin, V.

    2006-01-01

    The spontaneous generation of large-scale flows by the rectification of small-scale turbulent fluctuations is of great importance both in geophysical flows and in magnetically confined plasmas. These flows regulate the turbulence and may set up effective transport barriers. In the present....... The analogy to large-scale flow generation in drift-wave turbulence dynamics in magnetized plasma is briefly discussed....

  20. Hologaphy of a CO2 laser generated plasma

    International Nuclear Information System (INIS)

    Elkerbout, A.C.H.; Van Dijk, J.W.; Donaldson, T.P.

    1976-01-01

    An expermental technique for generating holographic interferograms is discussed and illustrated with results obtained on a plasma generated by a 75 J CO 2 laser pulse incident at intensities of approximately 9 x 10 12 W/cm 2 on a plane carbon target. (author)

  1. Brilliant radiation sources by laser-plasma accelerators and optical undulators

    Energy Technology Data Exchange (ETDEWEB)

    Debus, Alexander

    2012-09-06

    This thesis investigates the use of high-power lasers for synchrotron radiation sources with high brilliance, from the EUV to the hard X-ray spectral range. Hereby lasers accelerate electrons by laser-wakefield acceleration (LWFA), act as optical undulators, or both. Experimental evidence shows for the first time that LWFA electron bunches are shorter than the driving laser and have a length scale comparable to the plasma wavelength. Furthermore, a first proof of principle experiment demonstrates that LWFA electrons can be exploited to generate undulator radiation. Building upon these experimental findings, as well as extensive numerical simulations of Thomson scattering, the theoretical foundations of a novel interaction geometry for laser-matter interaction are developed. This new method is very general and when tailored towards relativistically moving targets not being limited by the focusability (Rayleigh length) of the laser, while it does not require a waveguide. In a theoretical investigation of Thomson scattering, the optical analogue of undulator radiation, the limits of Thomson sources in scaling towards higher peak brilliances are highlighted. This leads to a novel method for generating brilliant, highly tunable X-ray sources, which is highly energy efficient by circumventing the laser Rayleigh limit through a novel traveling-wave Thomson scattering (TWTS) geometry. This new method suggests increases in X-ray photon yields of 2-3 orders of magnitudes using existing lasers and a way towards efficient, optical undulators to drive a free-electron laser. The results presented here extend far beyond the scope of this work. The possibility to use lasers as particle accelerators, as well as optical undulators, leads to very compact and energy efficient synchrotron sources. The resulting monoenergetic radiation of high brilliance in a range from extreme ultraviolet (EUV) to hard X-ray radiation is of fundamental importance for basic research, medical

  2. Brilliant radiation sources by laser-plasma accelerators and optical undulators

    International Nuclear Information System (INIS)

    Debus, Alexander

    2012-01-01

    This thesis investigates the use of high-power lasers for synchrotron radiation sources with high brilliance, from the EUV to the hard X-ray spectral range. Hereby lasers accelerate electrons by laser-wakefield acceleration (LWFA), act as optical undulators, or both. Experimental evidence shows for the first time that LWFA electron bunches are shorter than the driving laser and have a length scale comparable to the plasma wavelength. Furthermore, a first proof of principle experiment demonstrates that LWFA electrons can be exploited to generate undulator radiation. Building upon these experimental findings, as well as extensive numerical simulations of Thomson scattering, the theoretical foundations of a novel interaction geometry for laser-matter interaction are developed. This new method is very general and when tailored towards relativistically moving targets not being limited by the focusability (Rayleigh length) of the laser, while it does not require a waveguide. In a theoretical investigation of Thomson scattering, the optical analogue of undulator radiation, the limits of Thomson sources in scaling towards higher peak brilliances are highlighted. This leads to a novel method for generating brilliant, highly tunable X-ray sources, which is highly energy efficient by circumventing the laser Rayleigh limit through a novel traveling-wave Thomson scattering (TWTS) geometry. This new method suggests increases in X-ray photon yields of 2-3 orders of magnitudes using existing lasers and a way towards efficient, optical undulators to drive a free-electron laser. The results presented here extend far beyond the scope of this work. The possibility to use lasers as particle accelerators, as well as optical undulators, leads to very compact and energy efficient synchrotron sources. The resulting monoenergetic radiation of high brilliance in a range from extreme ultraviolet (EUV) to hard X-ray radiation is of fundamental importance for basic research, medical

  3. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  4. Determination of Plasma Screening Effects for Thermonuclear Reactions in Laser-generated Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Yuanbin; Pálffy, Adriana, E-mail: yuanbin.wu@mpi-hd.mpg.de, E-mail: Palffy@mpi-hd.mpg.de [Max-Planck-Institut für Kernphysik, Saupfercheckweg 1, D-69117 Heidelberg (Germany)

    2017-03-20

    Due to screening effects, nuclear reactions in astrophysical plasmas may behave differently than in the laboratory. The possibility to determine the magnitude of these screening effects in colliding laser-generated plasmas is investigated theoretically, having as a starting point a proposed experimental setup with two laser beams at the Extreme Light Infrastructure facility. A laser pulse interacting with a solid target produces a plasma through the Target Normal Sheath Acceleration scheme, and this rapidly streaming plasma (ion flow) impacts a secondary plasma created by the interaction of a second laser pulse on a gas jet target. We model this scenario here and calculate the reaction events for the astrophysically relevant reaction {sup 13}C({sup 4}He, n ){sup 16}O. We find that it should be experimentally possible to determine the plasma screening enhancement factor for fusion reactions by detecting the difference in reaction events between two scenarios of ion flow interacting with the plasma target and a simple gas target. This provides a way to evaluate nuclear reaction cross-sections in stellar environments and can significantly advance the field of nuclear astrophysics.

  5. Experimental benchmark of the NINJA code for application to the Linac4 H- ion source plasma

    Science.gov (United States)

    Briefi, S.; Mattei, S.; Rauner, D.; Lettry, J.; Tran, M. Q.; Fantz, U.

    2017-10-01

    For a dedicated performance optimization of negative hydrogen ion sources applied at particle accelerators, a detailed assessment of the plasma processes is required. Due to the compact design of these sources, diagnostic access is typically limited to optical emission spectroscopy yielding only line-of-sight integrated results. In order to allow for a spatially resolved investigation, the electromagnetic particle-in-cell Monte Carlo collision code NINJA has been developed for the Linac4 ion source at CERN. This code considers the RF field generated by the ICP coil as well as the external static magnetic fields and calculates self-consistently the resulting discharge properties. NINJA is benchmarked at the diagnostically well accessible lab experiment CHARLIE (Concept studies for Helicon Assisted RF Low pressure Ion sourcEs) at varying RF power and gas pressure. A good general agreement is observed between experiment and simulation although the simulated electron density trends for varying pressure and power as well as the absolute electron temperature values deviate slightly from the measured ones. This can be explained by the assumption of strong inductive coupling in NINJA, whereas the CHARLIE discharges show the characteristics of loosely coupled plasmas. For the Linac4 plasma, this assumption is valid. Accordingly, both the absolute values of the accessible plasma parameters and their trends for varying RF power agree well in measurement and simulation. At varying RF power, the H- current extracted from the Linac4 source peaks at 40 kW. For volume operation, this is perfectly reflected by assessing the processes in front of the extraction aperture based on the simulation results where the highest H- density is obtained for the same power level. In surface operation, the production of negative hydrogen ions at the converter surface can only be considered by specialized beam formation codes, which require plasma parameters as input. It has been demonstrated that

  6. AC/DC/pulsed-power modulator for corona-plasma generation

    NARCIS (Netherlands)

    Ariaans, T.H.P.; Pemen, A.J.M.; Winands, G.J.J.; Heesch, van E.J.M.; Liu, Z.

    2009-01-01

    Gas-cleaning techniques using nonthermal plasma are slowly introduced into industry nowadays. In this paper, we present a novel power modulator for the efficient generation of large-volume corona plasma. No expensive high-voltage components are required. Switching is done at an intermediate voltage

  7. Source-Independent Quantum Random Number Generation

    Directory of Open Access Journals (Sweden)

    Zhu Cao

    2016-02-01

    Full Text Available Quantum random number generators can provide genuine randomness by appealing to the fundamental principles of quantum mechanics. In general, a physical generator contains two parts—a randomness source and its readout. The source is essential to the quality of the resulting random numbers; hence, it needs to be carefully calibrated and modeled to achieve information-theoretical provable randomness. However, in practice, the source is a complicated physical system, such as a light source or an atomic ensemble, and any deviations in the real-life implementation from the theoretical model may affect the randomness of the output. To close this gap, we propose a source-independent scheme for quantum random number generation in which output randomness can be certified, even when the source is uncharacterized and untrusted. In our randomness analysis, we make no assumptions about the dimension of the source. For instance, multiphoton emissions are allowed in optical implementations. Our analysis takes into account the finite-key effect with the composable security definition. In the limit of large data size, the length of the input random seed is exponentially small compared to that of the output random bit. In addition, by modifying a quantum key distribution system, we experimentally demonstrate our scheme and achieve a randomness generation rate of over 5×10^{3}  bit/s.

  8. Double-layer ion acceleration triggered by ion magnetization in expanding radiofrequency plasma sources

    International Nuclear Information System (INIS)

    Takahashi, Kazunori; Charles, Christine; Boswell, Rod W.; Fujiwara, Tamiya

    2010-01-01

    Ion energy distribution functions downstream of the source exit in magnetically expanding low-pressure plasmas are experimentally investigated for four source tube diameters ranging from about 5 to 15 cm. The magnetic-field threshold corresponding to a transition from a simple expanding plasma to a double layer-containing plasma is observed to increase with a decrease in the source tube diameter. The results demonstrate that for the four geometries, the double layer and the accelerated ion beam form when the ion Larmour radius in the source becomes smaller than the source tube radius, i.e., when the ions become magnetized in the source tube.

  9. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  10. Plasma relativistic microwave electronics

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Rukhadze, A.A.; Strelkov, P.S.; Shkvarunets, A.G.

    2001-01-01

    One formulated the principles of plasma relativistic microwave electronics based on the induced Cherenkov radiation of electromagnetic waves at interaction of a relativistic electron beam with plasma. One developed the theory of plasma relativistic generators and accelerators of microwave radiation, designed and studied the prototypes of such devices. One studied theoretically the mechanisms of radiation, calculated the efficiencies and the frequency spectra of plasma relativistic microwave generators and accelerators. The theory findings are proved by the experiment: intensity of the designed sources of microwave radiation is equal to 500 μW, the frequency of microwave radiation is increased by 7 times (from 4 up to 28 GHz), the width of radiation frequency band may vary from several up to 100%. The designed sources of microwave radiation are no else compared in the electronics [ru

  11. High order harmonic generation from plasma mirrors

    International Nuclear Information System (INIS)

    George, H.

    2010-01-01

    When an intense laser beam is focused on a solid target, the target's surface is rapidly ionized and forms dense plasma that reflects the incident field. For laser intensities above few 10 to the power of 15 Wcm -2 , high order harmonics of the laser frequency, associated in the time domain to a train of atto-second pulses (1 as 10 -18 s), can be generated upon this reflection. In this thesis, we developed numerical tools to reveal original aspects of harmonic generation mechanisms in three different interaction regime: the coherent wake emission, the relativistic emission and the resonant absorption. In particular, we established the role of these mechanisms when the target is a very thin foil (thickness of the order of 100 nm). Then we study experimentally the spectral, spatial and coherence properties of the emitted light. We illustrate how to exploit these measurements to get information on the plasma mirror dynamics on the femtosecond and atto-second time scales. Last, we propose a technique for the single-shot complete characterization of the temporal structure of the harmonic light emission from the laser-plasma mirror interaction. (author)

  12. Field distribution of a source and energy absorption in an inhomogeneous magneto-active plasma

    International Nuclear Information System (INIS)

    Galushko, N.P.; Erokhin, N.S.; Moiseev, S.S.

    1975-01-01

    In the present paper the distribution of source fields in in a magnetoactive plasma is studied from the standpoint of the possibility of an effective SHF heating of an inhomogeneous plasma in both high (ωapproximatelyωsub(pe) and low (ωapproximatelyωsub(pi) frequency ranges, where ωsub(pe) and ωsub(pi) are the electron and ion plasma frequencies. The localization of the HF energy absorption regions in cold and hot plasma and the effect of plasma inhomogeneity and source dimensions on the absorption efficiency are investigated. The linear wave transformation in an inhomogeneous hot plasma is taken into consideration. Attention is paid to the difference between the region localization for collisional and non-collisional absorption. It has been shown that the HF energy dissipation in plasma particle collisions is localized in the region of thin jets going from the source; the radiation field has a sharp peak in this region. At the same time, non-collisional HF energy dissipation is spread over the plasma volume as a result of Cherenkov and cyclotron wave attenuation. The essential contribution to the source field from resonances due to standing wave excitation in an inhomogeneous plasma shell near the source is pointed out

  13. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  14. High speed and high functional inverter power supplies for plasma generation and control, and their performance

    International Nuclear Information System (INIS)

    Uesugi, Yoshihiko; Razzak, Mohammad A.; Kondo, Kenji; Kikuchi, Yusuke; Takamura, Shuichi; Imai, Takahiro; Toyoda, Mitsuhiro

    2003-01-01

    The Rapid development of high power and high speed semiconductor switching devices has led to their various applications in related plasma fields. Especially, a high speed inverter power supply can be used as an RF power source instead of conventional linear amplifiers and a power supply to control the magnetic field in a fusion plasma device. In this paper, RF thermal plasma production and plasma heating experiments are described emphasis placed on using a static induction transistor inverter at a frequency range between 200 kHz and 2.5 MHz as an RF power supply. Efficient thermal plasma production is achieved experimentally by using a flexible and easily operated high power semiconductor inverter power supply. Insulated gate bipolar transistor (IGBT) inverter power supplies driven by a high speed digital signal processor are applied as tokamak joule coil and vertical coil power supplies to control plasma current waveform and plasma equilibrium. Output characteristics, such as the arbitrary bipolar waveform generation of a pulse width modulation (PWM) inverter using digital signal processor (DSP) can be successfully applied to tokamak power supplies for flexible plasma current operation and fast position control of a small tokamak. (author)

  15. Plasma instability control toward high fluence, high energy x-ray continuum source

    Science.gov (United States)

    Poole, Patrick; Kirkwood, Robert; Wilks, Scott; Blue, Brent

    2017-10-01

    X-ray source development at Omega and NIF seeks to produce powerful radiation with high conversion efficiency for material effects studies in extreme fluence environments. While current K-shell emission sources can achieve tens of kJ on NIF up to 22 keV, the conversion efficiency drops rapidly for higher Z K-alpha energies. Pulsed power devices are efficient generators of MeV bremsstrahlung x-rays but are unable to produce lower energy photons in isolation, and so a capability gap exists for high fluence x-rays in the 30 - 100 keV range. A continuum source under development utilizes instabilities like Stimulated Raman Scattering (SRS) to generate plasma waves that accelerate electrons into high-Z converter walls. Optimizing instabilities using existing knowledge on their elimination will allow sufficiently hot and high yield electron distributions to create a superior bremsstrahlung x-ray source. An Omega experiment has been performed to investigate the optimization of SRS and high energy x-rays using Au hohlraums with parylene inner lining and foam fills, producing 10× greater x-ray yield at 50 keV than conventional direct drive experiments on the facility. Experiment and simulation details on this campaign will be presented. This work was performed under the auspices of the US DoE by LLNL under Contract No. DE-AC52-07NA27344.

  16. Characteristics of cold atmospheric plasma source based on low-current pulsed discharge with coaxial electrodes

    Science.gov (United States)

    Bureyev, O. A.; Surkov, Yu S.; Spirina, A. V.

    2017-05-01

    This work investigates the characteristics of the gas discharge system used to create an atmospheric pressure plasma flow. The plasma jet design with a cylindrical graphite cathode and an anode rod located on the axis of the system allows to realize regularly reproducible spark breakdowns mode with a frequency ∼ 5 kHz and a duration ∼ 40 μs. The device generates a cold atmospheric plasma flame with 1 cm in diameter in the flow of various plasma forming gases including nitrogen and air at about 100 mA average discharge current. In the described construction the cathode spots of individual spark channels randomly move along the inner surface of the graphite electrode creating the secondary plasma stream time-average distributed throughout the whole exit aperture area after the decay of numerous filamentary discharge channels. The results of the spectral diagnostics of plasma in the discharge gap and in the stream coming out of the source are presented. Despite the low temperature of atoms and molecules in plasma stream the cathode spots operation with temperature of ∼ 4000 °C at a graphite electrode inside a discharge system enables to saturate the plasma by CN-radicals and atomic carbon in the case of using nitrogen as the working gas.

  17. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  18. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  19. Laboratory Plasma Source as an MHD Model for Astrophysical Jets

    Science.gov (United States)

    Mayo, Robert M.

    1997-01-01

    The significance of the work described herein lies in the demonstration of Magnetized Coaxial Plasma Gun (MCG) devices like CPS-1 to produce energetic laboratory magneto-flows with embedded magnetic fields that can be used as a simulation tool to study flow interaction dynamic of jet flows, to demonstrate the magnetic acceleration and collimation of flows with primarily toroidal fields, and study cross field transport in turbulent accreting flows. Since plasma produced in MCG devices have magnetic topology and MHD flow regime similarity to stellar and extragalactic jets, we expect that careful investigation of these flows in the laboratory will reveal fundamental physical mechanisms influencing astrophysical flows. Discussion in the next section (sec.2) focuses on recent results describing collimation, leading flow surface interaction layers, and turbulent accretion. The primary objectives for a new three year effort would involve the development and deployment of novel electrostatic, magnetic, and visible plasma diagnostic techniques to measure plasma and flow parameters of the CPS-1 device in the flow chamber downstream of the plasma source to study, (1) mass ejection, morphology, and collimation and stability of energetic outflows, (2) the effects of external magnetization on collimation and stability, (3) the interaction of such flows with background neutral gas, the generation of visible emission in such interaction, and effect of neutral clouds on jet flow dynamics, and (4) the cross magnetic field transport of turbulent accreting flows. The applicability of existing laboratory plasma facilities to the study of stellar and extragalactic plasma should be exploited to elucidate underlying physical mechanisms that cannot be ascertained though astrophysical observation, and provide baseline to a wide variety of proposed models, MHD and otherwise. The work proposed herin represents a continued effort on a novel approach in relating laboratory experiments to

  20. Operational characteristics of the high flux plasma generator Magnum-PSI

    Energy Technology Data Exchange (ETDEWEB)

    Eck, H.J.N. van, E-mail: h.j.n.vaneck@differ.nl [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Abrams, T. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Berg, M.A. van den; Brons, S.; Eden, G.G. van [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Jaworski, M.A.; Kaita, R. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Meiden, H.J. van der; Morgan, T.W.; Pol, M.J. van de; Scholten, J.; Smeets, P.H.M.; De Temmerman, G.; Vries, P.C. de; Zeijlmans van Emmichoven, P.A. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-10-15

    Highlights: •We have described the design and capabilities of the plasma experiment Magnum-PSI. •The plasma conditions are well suited for PSI studies in support of ITER. •Quasi steady state heat fluxes over 10 MW m{sup −2} have been achieved. •Transient heat and particle loads can be generated to simulate ELM instabilities. •Lithium coating can be applied to the surfaces of samples under vacuum. -- Abstract: In Magnum-PSI (MAgnetized plasma Generator and NUMerical modeling for Plasma Surface Interactions), the high density, low temperature plasma of a wall stabilized dc cascaded arc is confined to a magnetized plasma beam by a quasi-steady state axial magnetic field up to 1.3 T. It aims at conditions that enable fundamental studies of plasma–surface interactions in the regime relevant for fusion reactors such as ITER: 10{sup 23}–10{sup 25} m{sup −2} s{sup −1} hydrogen plasma flux densities at 1–5 eV. To study the effects of transient heat loads on a plasma-facing surface, a high power pulsed magnetized arc discharge has been developed. Additionally, the target surface can be transiently heated with a pulsed laser system during plasma exposure. In this contribution, the current status, capabilities and performance of Magnum-PSI are presented.

  1. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  2. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  3. Plasma blob generation due to cooperative elliptic instability.

    Science.gov (United States)

    Manz, P; Xu, M; Müller, S H; Fedorczak, N; Thakur, S C; Yu, J H; Tynan, G R

    2011-11-04

    Using fast-camera measurements the generation mechanism of plasma blobs is investigated in the linear device CSDX. During the ejection of plasma blobs the plasma is dominated by an m=1 mode, which is a counterrotating vortex pair. These flows are known to be subject to the cooperative elliptic instability, which is characterized by a cooperative disturbance of the vortex cores and results in a three-dimensional breakdown of two-dimensional flows. The first experimental evidence of a cooperative elliptic instability preceding the blob-ejection is provided in terms of the qualitative evolution of the vortex geometries and internal wave patterns.

  4. Extreme hydrogen plasma densities achieved in a linear plasma generator

    NARCIS (Netherlands)

    Rooij, van G.J.; Veremiyenko, V.P.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Smeets, P.H.M.; Versloot, T.W.; Whyte, D.G.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.

    2007-01-01

    A magnetized hydrogen plasma beam was generated with a cascaded arc, expanding in a vacuum vessel at an axial magnetic field of up to 1.6 T. Its characteristics were measured at a distance of 4 cm from the nozzle: up to a 2 cm beam diameter, 7.5×1020 m-3 electron density, ~2 eV electron and ion

  5. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, E. E., E-mail: emilp@unr.edu; Safronova, A. S.; Kantsyrev, V. L.; Shlyaptseva, V. V. [University of Nevada, Reno, Nevada 89557 (United States); Rawat, R. S.; Tan, K. S. [National Institute of Education, Nanyang Technological University, Singapore 637616 (Singapore); Beiersdorfer, P.; Brown, G. V. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Hell, N. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Dr. Remeis-Sternwarte and ECAP, Universität Erlangen-Nürnberg, 96049 Bamberg (Germany)

    2016-11-15

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  6. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources.

    Science.gov (United States)

    Petkov, E E; Safronova, A S; Kantsyrev, V L; Shlyaptseva, V V; Rawat, R S; Tan, K S; Beiersdorfer, P; Hell, N; Brown, G V

    2016-11-01

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  7. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A relativistic electron beam generator or accelerator produces a high-voltage electron beam which is modulated to initiate electron bunching within the beam which is then applied to a high-density target plasma which typically comprises DT, DD, or similar thermonuclear gas at a density of 10 17 to 10 20 electrons per cubic centimeter. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy into a small localized region of the high-density plasma target. The high-temperature plasma can be used to heat a high Z material to generate radiation. Alternatively, a tunable radiation source is produced by using a moderate Z gas or a mixture of high Z and low Z gas as the target plasma. (author)

  8. Direct electron acceleration in plasma waveguides for compact high-repetition-rate x-ray sources

    International Nuclear Information System (INIS)

    Lin, M-W; Jovanovic, I

    2014-01-01

    Numerous applications in fundamental and applied research, security, and industry require robust, compact sources of x-rays, with a particular recent interest in monochromatic, spatially coherent, and ultrafast x-ray pulses in well-collimated beams. Such x-ray sources usually require production of high-quality electron beams from compact accelerators. Guiding a radially polarized laser pulse in a plasma waveguide has been proposed for realizing direct laser acceleration (DLA), where the electrons are accelerated by the axial electric field of a co-propagating laser pulse (Serafim et al 2000 IEEE Trans. Plasma Sci. 28 1190). A moderate laser peak power is required for DLA when compared to laser wakefield acceleration, thus offering the prospect for high repetition rate operation. By using a density-modulated plasma waveguide for DLA, the acceleration distance can be extended with pulse guiding, while the density-modulation with proper axial structure can realize the quasi-phase matching between the laser pulses and electrons for a net gain accumulation (York et al 2008 Phys. Rev. Lett. 100 195001; York et al 2008 J. Opt. Soc. Am. B 25 B137; Palastro et al 2008 Phys. Rev. E 77 036405). We describe the development and application of a test particle model and particle-in-cell model for DLA. Experimental setups designed for fabrication of optically tailored plasma waveguides via the ignitor-heater scheme, and for generation and characterization of radially polarized short pulses used to drive DLA, are presented. (paper)

  9. Industrial application of electron sources with plasma emitters

    CERN Document Server

    Belyuk, S I; Rempe, N G

    2001-01-01

    Paper contains a description, operation, design and parameters of electron sources with plasma emitters. One presents examples of application of these sources as part of automated electron-beam welding lines. Paper describes application of such sources for electron-beam deposition of composite powders. Electron-beam deposition is used to rebuild worn out part and to increase strength of new parts of machines and tools. Paper presents some examples of rebuilding part and the advantages gained in this case

  10. γ -Ray Generation from Plasma Wakefield Resonant Wiggler

    Science.gov (United States)

    Lei, Bifeng; Wang, Jingwei; Kharin, Vasily; Zepf, Matt; Rykovanov, Sergey

    2018-03-01

    A flexible gamma-ray radiation source based on the resonant laser-plasma wakefield wiggler is proposed. The wiggler is achieved by inducing centroid oscillations of a short laser pulse in a plasma channel. Electrons (self-)injected in such a wakefield experience both oscillations due to the transverse electric fields and energy gain due to the longitudinal electric field. The oscillations are significantly enhanced when the laser pulse centroid oscillations are in resonance with the electron betatron oscillations, extending the radiation spectrum to the gamma-ray range. The polarization of the radiation can be easily controlled by adjusting the injection of the laser pulse into the plasma channel.

  11. PREFACE: Acceleration and radiation generation in space and laboratory plasmas

    Science.gov (United States)

    Bingham, R.; Katsouleas, T.; Dawson, J. M.; Stenflo, L.

    1994-01-01

    and radiation generation in plasmas. The next section includes state-of-the-art papers on laboratory accelerators driven by lasers (Nakajima et al., Shukla, Johnson et al.), microwaves (Nishida et al., Bogomolov et al.) and by particle beams (Ogata et al.). Also in this section are theoretical papers presenting new work on synchrotron like oscillations in plasma waves (Fedele) and two types of laboratory radiation sources, FEL's (Marshall et al.) and ionization fronts (Lai et al.), and Frantzeskakis et al. described the Hamiltonian analysis of a slow-wave autonomous cyclotron buncher. Section 3 contains papers on astrophysical plasmas, with the general presentations of Colgate and Krishnan. Kazanas and Krishnan address active galactic nuclei (AGNs). Thielheim discusses general acceleration mech anisms in rotating magnetized systems. Asseo discussed Langmuir solitons in pulsars and Blackman et al. treat magnetic reconnection relativistically. Su et al. analyze the possibility of plasma wave excitation and particle acceleration by neu trinos from supernovae. Dogiel et al. on cosmic ray scattering by MHD fluctuations. The papers in Section 4 treat fusion plasmas (Dendy et al. and Lashmore-Davies et al.). Section 5, space plasmas, includes papers on acceleration processes in the magnetosphere (Anagnostopoulos and Marshall et al.) and the sun (Barletta et al.). It is evident from the Workshop and the papers collected here that this is indeed a rich field of investigations and that both the natural and laboratory plasma communities can benefit from the cross-fertilization of ideas between them. We wish to thank the authors and attendees for their contributions to the success of this workshop, Dr Philip Debenham and Dr David Sutter of the U.S. D.o.E. and Dr Charles Roberson of the U.S. O.N.R. for their financial support (Grants DE-FGO3-93ER40776 and N00014-93-1-0814), and the ECC Twinning Grant SC1*-CT92-0773. We appreciate the considerable local support from Mr Glegles and

  12. Efficient 'water window' soft x-ray high-Z plasma source

    International Nuclear Information System (INIS)

    Higashiguchi, T; Otsuka, T; Jiang, W; Endo, A; Li, B; Dunne, P; O'Sullivan, G

    2013-01-01

    Unresolved transition array (UTA) is scalable to shorter wavelengths, and we demonstrate a table-top broadband emission 'water window' soft x-ray source based on laser-produced plasmas. Resonance emission from multiply charged ions merges to produce intense UTAs in the 2 to 4 nm region, extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on a bismuth (Bi) plasma UTA source, coupled to multilayer mirror optics

  13. Laser plasma simulations of the generation processes of Alfven and collisionless shock waves in space plasma

    International Nuclear Information System (INIS)

    Prokopov, P A; Zakharov, Yu P; Tishchenko, V N; Shaikhislamov, I F; Boyarintsev, E L; Melekhov, A V; Ponomarenko, A G; Posukh, V G; Terekhin, V A

    2016-01-01

    Generation of Alfven waves propagating along external magnetic field B 0 and Collisionless Shock Waves propagating across B 0 are studied in experiments with laser- produced plasma and magnetized background plasma. The collisionless interaction of interpenetrating plasma flows takes place through a so-called Magnetic Laminar Mechanism (MLM) or Larmor Coupling. At the edge of diamagnetic cavity LP-ions produce induction electric field E φ which accelerates BP-ions while LP-ions rotate in opposite direction. The ions movement generates sheared azimuthal magnetic field B φ which could launches torsional Alfven wave. In previous experiments at KI-1 large scale facility a generation of strong perturbations propagating across B 0 with magnetosonic speed has been studied at a moderate value of interaction parameter δ∼0.3. In the present work we report on experiments at conditions of 5∼R2 and large Alfven-Mach number M A ∼10 in which strong transverse perturbations traveling at a scale of ∼1 m in background plasma at a density of ∼3*10 13 cm -3 is observed. At the same conditions but smaller M A ∼ 2 a generation, the structure and dynamic of Alfven wave with wavelength ∼0.5 m propagating along fields B 0 ∼100÷500 G for a distance of ∼2.5 m is studied. (paper)

  14. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  15. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  16. Study of the stacked plasma generator of Maecker type

    International Nuclear Information System (INIS)

    Shirai, Hiroyuki; Tabei, Katsuine; Machida, Ichiro; Ishihara, Kimio.

    1981-01-01

    An experimental investigation of a stacked plasma generator of Maecker type has been performed at low pressures (25 - 760 Torr) and low electric currents (10 - 60 A) for argon gas. Radial distributions of electron density and electron temperature were obtained by measuring the intensities of spectral lines and continuum from cylindrically confined plasmas. Based on such data of the macroscopic plasma parameters, the SAHA equilibrium relation, and the collisional and radiative theory of BATES et al., the spatial extent of equilibrium region and nonequilibrium effects of electronic excitation of the atom have been examined. In the plasmas generated in the apparatus, electron temperature ranged from 7,500 to 11,000 0 K and electron density 7 x 10 14 to 3 x 10 16 cm -3 . It was found that thermochemical equilibrium conditions existed only in the vicinity of the tube axis even at relatively high pressures and high currents, and the higher excited levels than the 5p level of argon atom were always in SAHA equilibrium with free electrons. (author)

  17. Electricity generation costs by source, and costs and benefits by substitutions of generation source

    International Nuclear Information System (INIS)

    Akimoto, Keigo; Oda, Junichiro; Sano, Fuminori

    2015-01-01

    After Fukushima-daiichi nuclear power accident, the Japanese government assessed the electricity generation costs by source in 2011. However, the conditions have been changing, and this study newly assessed the generation costs by source using new data. The generation costs for coal, oil, gas, nuclear, PV and wind power for 2013 and 2030 were estimated. According to the analysis, coal power is the cheapest when climate change damage costs are not considered, and nuclear power is the cheapest when the climate damage costs are considered. However, under the competitive electricity market in which power companies tend to invest in power plants with short-term payback investment preference, power companies will recognize higher costs of nuclear power particularly under highly uncertain nuclear regulation policies and energy policies. The policies to reduce the uncertainties are very important. (author)

  18. Cold plasma brush generated at atmospheric pressure

    International Nuclear Information System (INIS)

    Duan Yixiang; Huang, C.; Yu, Q. S.

    2007-01-01

    A cold plasma brush is generated at atmospheric pressure with low power consumption in the level of several watts (as low as 4 W) up to tens of watts (up to 45 W). The plasma can be ignited and sustained in both continuous and pulsed modes with different plasma gases such as argon or helium, but argon was selected as a primary gas for use in this work. The brush-shaped plasma is formed and extended outside of the discharge chamber with typical dimension of 10-15 mm in width and less than 1.0 mm in thickness, which are adjustable by changing the discharge chamber design and operating conditions. The brush-shaped plasma provides some unique features and distinct nonequilibrium plasma characteristics. Temperature measurements using a thermocouple thermometer showed that the gas phase temperatures of the plasma brush are close to room temperature (as low as 42 deg. C) when running with a relatively high gas flow rate of about 3500 ml/min. For an argon plasma brush, the operating voltage from less than 500 V to about 2500 V was tested, with an argon gas flow rate varied from less than 1000 to 3500 ml/min. The cold plasma brush can most efficiently use the discharge power as well as the plasma gas for material and surface treatment. The very low power consumption of such an atmospheric argon plasma brush provides many unique advantages in practical applications including battery-powered operation and use in large-scale applications. Several polymer film samples were tested for surface treatment with the newly developed device, and successful changes of the wettability property from hydrophobic to hydrophilic were achieved within a few seconds

  19. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  20. Understanding social acceptance of electricity generation sources

    International Nuclear Information System (INIS)

    Bronfman, Nicolás C.; Jiménez, Raquel B.; Arévalo, Pilar C.; Cifuentes, Luis A.

    2012-01-01

    Social acceptability is a determinant factor in the failure or success of the government's decisions about which electricity generation sources will satisfy the growing demand for energy. The main goal of this study was to validate a causal trust-acceptability model for electricity generation sources. In the model, social acceptance of an energy source is directly caused by perceived risk and benefit and also by social trust in regulatory agencies (both directly and indirectly, through perceived risk and benefit). Results from a web-based survey of Chilean university students demonstrated that data for energy sources that are controversial in Chilean society (fossil fuels, hydro, and nuclear power) fit the hypothesized model, whereas data for non conventional renewable energy sources (solar, wind, geothermal and tidal) did not. Perceived benefit had the greatest total effect on acceptability, thus emerging as a key predictive factor of social acceptability of controversial electricity generation sources. Further implications for regulatory agencies are discussed. - Highlights: ► We tested a causal trust-acceptability model for electricity generation sources in Chile. ► Data for controversial energy sources in the Chilean society (fossil fuels, hydro and nuclear power) fit the hypothesized model. ► Data for non conventional renewable energy sources did not fit the data. ► Perceived benefit showed the greatest total effect on acceptability.

  1. Wave and transport studies utilizing dense plasma filaments generated with a lanthanum hexaboride cathode

    International Nuclear Information System (INIS)

    Van Compernolle, B.; Gekelman, W.; Pribyl, P.; Cooper, C. M.

    2011-01-01

    A portable lanthanum hexaboride (LaB 6 ) cathode has been developed for use in the LArge Plasma Device (LAPD) at UCLA. The LaB 6 cathode can be used as a tool for many different studies in experimental plasma physics. To date, the cathode has been used as a source of a plasma with a hot dense core for transport studies and diagnostics development, as a source of gradient driven modes, as a source of shear Alfven waves, and as a source of interacting current channels in reconnection experiments. The LaB 6 cathode is capable of higher discharge current densities than the main barium oxide coated LAPD cathode and is therefore able to produce plasmas of higher densities and higher electron temperatures. The 8.25 cm diameter cathode can be introduced into the LAPD at different axial locations without the need to break vacuum. The cathode can be scaled up or down for use as a portable secondary plasma source in other machines.

  2. The requirements for low-temperature plasma ionization support miniaturization of the ion source.

    Science.gov (United States)

    Kiontke, Andreas; Holzer, Frank; Belder, Detlev; Birkemeyer, Claudia

    2018-06-01

    Ambient ionization mass spectrometry (AI-MS), the ionization of samples under ambient conditions, enables fast and simple analysis of samples without or with little sample preparation. Due to their simple construction and low resource consumption, plasma-based ionization methods in particular are considered ideal for use in mobile analytical devices. However, systematic investigations that have attempted to identify the optimal configuration of a plasma source to achieve the sensitive detection of target molecules are still rare. We therefore used a low-temperature plasma ionization (LTPI) source based on dielectric barrier discharge with helium employed as the process gas to identify the factors that most strongly influence the signal intensity in the mass spectrometry of species formed by plasma ionization. In this study, we investigated several construction-related parameters of the plasma source and found that a low wall thickness of the dielectric, a small outlet spacing, and a short distance between the plasma source and the MS inlet are needed to achieve optimal signal intensity with a process-gas flow rate of as little as 10 mL/min. In conclusion, this type of ion source is especially well suited for downscaling, which is usually required in mobile devices. Our results provide valuable insights into the LTPI mechanism; they reveal the potential to further improve its implementation and standardization for mobile mass spectrometry as well as our understanding of the requirements and selectivity of this technique. Graphical abstract Optimized parameters of a dielectric barrier discharge plasma for ionization in mass spectrometry. The electrode size, shape, and arrangement, the thickness of the dielectric, and distances between the plasma source, sample, and MS inlet are marked in red. The process gas (helium) flow is shown in black.

  3. Reactive species in non-equilibrium atmospheric-pressure plasmas: Generation, transport, and biological effects

    Energy Technology Data Exchange (ETDEWEB)

    Lu, X., E-mail: luxinpei@hotmail.com [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); IFSA Collaborative Innovation Center, Shanghai Jiao Tong University, Shanghai 200240 (China); Naidis, G.V. [Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Laroussi, M. [Plasma Engineering & Medicine Institute, Old Dominion University, Norfolk, VA 23529 (United States); Reuter, S. [Leibniz Institute for Plasma Science and Technology, Felix-Hausdorff-Strasse 2, 17489 Greifswald (Germany); Graves, D.B. [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States); Ostrikov, K. [Institute for Future Environments, Queensland University of Technology, Brisbane, QLD 4000 (Australia); School of Physics, Chemistry, and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia); Commonwealth Scientific and Industrial Research Organization, P.O.Box 218, Lindfield, NSW 2070 (Australia); School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2016-05-04

    Non-equilibrium atmospheric-pressure plasmas have recently become a topical area of research owing to their diverse applications in health care and medicine, environmental remediation and pollution control, materials processing, electrochemistry, nanotechnology and other fields. This review focuses on the reactive electrons and ionic, atomic, molecular, and radical species that are produced in these plasmas and then transported from the point of generation to the point of interaction with the material, medium, living cells or tissues being processed. The most important mechanisms of generation and transport of the key species in the plasmas of atmospheric-pressure plasma jets and other non-equilibrium atmospheric-pressure plasmas are introduced and examined from the viewpoint of their applications in plasma hygiene and medicine and other relevant fields. Sophisticated high-precision, time-resolved plasma diagnostics approaches and techniques are presented and their applications to monitor the reactive species and plasma dynamics in the plasma jets and other discharges, both in the gas phase and during the plasma interaction with liquid media, are critically reviewed. The large amount of experimental data is supported by the theoretical models of reactive species generation and transport in the plasmas, surrounding gaseous environments, and plasma interaction with liquid media. These models are presented and their limitations are discussed. Special attention is paid to biological effects of the plasma-generated reactive oxygen and nitrogen (and some other) species in basic biological processes such as cell metabolism, proliferation, survival, etc. as well as plasma applications in bacterial inactivation, wound healing, cancer treatment and some others. Challenges and opportunities for theoretical and experimental research are discussed and the authors’ vision for the emerging convergence trends across several disciplines and application domains is presented to

  4. Method and apparatus for generating and utilizing a compound plasma configuration

    International Nuclear Information System (INIS)

    Koloc, P.M.

    1977-01-01

    A method and apparatus for generating and utilizing a compound plasma configuration is disclosed. The plasma configuration includes a central toroidal plasma with electrical currents surrounded by a generally ellipsoidal mantle of ionized particles or electrically conducting matter. The preferred methods of forming this compound plasma configuration include the steps of forming a helical ionized path in a gaseous medium and simultaneously discharging a high potential through the ionized path to produce a helical or heliform current which collapses on itself to produce a toroidal current, or generating a toroidal plasmoid, supplying magnetic energy to the plasmoid, and applying fluid pressure external to the plasmoid. The apparatus of the present invention includes a pressure chamber wherein the compound plasma configuration can be isolated or compressed by fluid or other forms of mechanical or magnetic pressure. 47 claims, 10 figures

  5. Bright X-ray source from a laser-driven micro-plasma-waveguide

    CERN Document Server

    Yi, Longqing

    2016-01-01

    Bright tunable x-ray sources have a number of applications in basic science, medicine and industry. The most powerful sources are synchrotrons, where relativistic electrons are circling in giant storage rings. In parallel, compact laser-plasma x-ray sources are being developed. Owing to the rapid progress in laser technology, very high-contrast femtosecond laser pulses of relativistic intensities become available. These pulses allow for interaction with micro-structured solid-density plasma without destroying the structure by parasitic pre-pulses. The high-contrast laser pulses as well as the manufacturing of materials at micro- and nano-scales open a new realm of possibilities for laser interaction with photonic materials at the relativistic intensities. Here we demonstrate, via numerical simulations, that when coupling with a readily available 1.8 Joule laser, a micro-plasma-waveguide (MPW) may serve as a novel compact x-ray source. Electrons are extracted from the walls by the laser field and form a dense ...

  6. Material for electrodes of low temperature plasma generators

    Science.gov (United States)

    Caplan, Malcolm; Vinogradov, Sergel Evge'evich; Ribin, Valeri Vasil'evich; Shekalov, Valentin Ivanovich; Rutberg, Philip Grigor'evich; Safronov, Alexi Anatol'evich

    2008-12-09

    Material for electrodes of low temperature plasma generators. The material contains a porous metal matrix impregnated with a material emitting electrons. The material uses a mixture of copper and iron powders as a porous metal matrix and a Group IIIB metal component such as Y.sub.2O.sub.3 is used as a material emitting electrons at, for example, the proportion of the components, mass %: iron: 3-30; Y.sub.2O.sub.3:0.05-1; copper: the remainder. Copper provides a high level of heat conduction and electric conductance, iron decreases intensity of copper evaporation in the process of plasma creation providing increased strength and lifetime, Y.sub.2O.sub.3 provides decreasing of electronic work function and stability of arc burning. The material can be used for producing the electrodes of low temperature AC plasma generators used for destruction of liquid organic wastes, medical wastes, and municipal wastes as well as for decontamination of low level radioactive waste, the destruction of chemical weapons, warfare toxic agents, etc.

  7. Sum frequency generation for studying plasma-wall interactions

    International Nuclear Information System (INIS)

    Roke, Sylvie

    2010-01-01

    Interaction of a plasma with a surface results in chemical and physical restructuring of the surface as well as the plasma in the vicinity of the surface. Studying such a reorganization of the atoms and molecules in the surface layer requires optical tools that can penetrate the plasma environment. At the same time, surface specificity is required. Sum Frequency Generation (SFG) is an optical method that fulfills these requirements. SFG has been developed into a surface specific probe during the eighties and nineties. Nowadays SFG is routinely applied in the research of complex interfaces. In such experiments, liquid/gas, solid/gas, solid/liquid, or liquid/liquid interfaces are probed, and the chemical surface composition, orientational distribution, order and chirality can be retrieved. An application to investigate plasma-wall interactions is feasible too.

  8. A study of the effect on human mesenchymal stem cells of an atmospheric pressure plasma source driven by different voltage waveforms

    Science.gov (United States)

    Laurita, R.; Alviano, F.; Marchionni, C.; Abruzzo, P. M.; Bolotta, A.; Bonsi, L.; Colombo, V.; Gherardi, M.; Liguori, A.; Ricci, F.; Rossi, M.; Stancampiano, A.; Tazzari, P. L.; Marini, M.

    2016-09-01

    The effect of an atmospheric pressure non-equilibrium plasma on human mesenchymal stem cells was investigated. A dielectric barrier discharge non-equilibrium plasma source driven by two different high-voltage pulsed generators was used and cell survival, senescence, proliferation, and differentiation were evaluated. Cells deprived of the culture medium and treated with nanosecond pulsed plasma showed a higher mortality rate, while higher survival and retention of proliferation were observed in cells treated with microsecond pulsed plasma in the presence of the culture medium. While a few treated cells showed the hallmarks of senescence, unexpected delayed apoptosis ensued in cells exposed to plasma-treated medium. The plasma treatment did not change the expression of OCT4, a marker of mesenchymal stem cell differentiation.

  9. Finite toroidal flow generated by unstable tearing mode in a toroidal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Hao, G. Z., E-mail: haogz@swip.ac.cn; Wang, A. K.; Xu, Y. H.; He, H. D.; Xu, M.; Qu, H. P.; Peng, X. D.; Xu, J. Q.; Qiu, X. M. [Southwestern Institute of Physics, P.O. Box 432, Chengdu 610041 (China); Liu, Y. Q. [Culham Centre for Fusion Energy, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Sun, Y. [Institute of Plasma Physics, Chinese Academic of Sciences, P.O. Box 1126, Hefei 230031 (China); Cui, S. Y. [School of Mathematics and Statistics Science, Ludong University, Yantai 264025 (China)

    2014-12-15

    The neoclassical toroidal plasma viscosity torque and electromagnetic torque, generated by tearing mode (TM) in a toroidal plasma, are numerically investigated using the MARS-Q code [Liu et al., Phys. Plasmas 20, 042503 (2013)]. It is found that an initially unstable tearing mode can intrinsically drive a toroidal plasma flow resulting in a steady state solution, in the absence of the external momentum input and external magnetic field perturbation. The saturated flow is in the order of 0.5%ω{sub A} at the q=2 rational surface in the considered case, with q and ω{sub A} being the safety factor and the Alfven frequency at the magnetic axis, respectively. The generation of the toroidal flow is robust, being insensitive to the given amplitude of the perturbation at initial state. On the other hand, the flow amplitude increases with increasing the plasma resistivity. Furthermore, the initially unstable tearing mode is fully stabilized by non-linear interaction with the self-generated toroidal flow.

  10. Applied plasma physics

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Applied Plasma Physics is a major sub-organizational unit of the MFE Program. It includes Fusion Plasma Theory and Experimental Plasma Research. The Fusion Plasma Theory group has the responsibility for developing theoretical-computational models in the general areas of plasma properties, equilibrium, stability, transport, and atomic physics. This group has responsibility for giving guidance to the mirror experimental program. There is a formal division of the group into theory and computational; however, in this report the efforts of the two areas are not separated since many projects have contributions from members of both. Under the Experimental Plasma Research Program, we are developing the intense, pulsed neutral-beam source (IPINS) for the generation of a reversed-field configuration on 2XIIB. We are also studying the feasibility of utilizing certain neutron-detection techniques as plasma diagnostics in the next generation of thermonuclear experiments

  11. H$^{-}$ ion source for CERN's Linac4 accelerator: simulation, experimental validation and optimization of the hydrogen plasma

    CERN Document Server

    Mattei, Stefano; Lettry, Jacques

    2017-07-25

    Linac4 is the new negative hydrogen ion (H$^-$) linear accelerator of the European Organization for Nuclear Research (CERN). Its ion source operates on the principle of Radio-Frequency Inductively Coupled Plasma (RF-ICP) and it is required to provide 50~mA of H$^-$ beam in pulses of 600~$\\mu$s with a repetition rate up to 2 Hz and within an RMS emittance of 0.25~$\\pi$~mm~mrad in order to fullfil the requirements of the accelerator. This thesis is dedicated to the characterization of the hydrogen plasma in the Linac4 H$^-$ ion source. We have developed a Particle-In-Cell Monte Carlo Collision (PIC-MCC) code to simulate the RF-ICP heating mechanism and performed measurements to benchmark the fraction of the simulation outputs that can be experimentally accessed. The code solves self-consistently the interaction between the electromagnetic field generated by the RF coil and the resulting plasma response, including a kinetic description of charged and neutral species. A fully-implicit implementation allowed to si...

  12. Possibilities for direct optical observation of negative hydrogen ions in ion beam plasma sources via Rayleigh or Thomson scattering

    International Nuclear Information System (INIS)

    Burgess, D.D.

    1985-01-01

    The possibilities of applying optical scattering techniques to the determination of H - concentrations in plasma sources relevant to negative ion beam generation are considered. Rayleigh scattering measurements for incident wavelengths just below the H - photoionization limit appear to be only just feasible experimentally. A more promising possibility is observation of the modification in a plasma containing negative ions of the collective ion-feature in Thomson scattering. Numerical predictions of the effects of H - concentration on the spectral distribution of the ion-feature are presented. (author)

  13. Environmental friendly high efficient light source. Plasma lamp. 2006 annual report

    Energy Technology Data Exchange (ETDEWEB)

    Courret, G.

    2006-07-01

    This annual report for 2006 for the Swiss Federal Office of Energy (SFOE) reports on work being done on the development of a high-efficiency source of light based on the light emission of a plasma. The report presents a review of work done in 2006, including thermodynamics and assessment of the efficiency of the magnetron, tests with small bulbs, study of the standing wave ratio (microwave fluxes) and the development of a new coupling system to allow ignition in very small bulbs. Also, knowledge on the fillings of the bulb and induced effects of the modulator were gained. The development of a second generation of modulator to obtain higher efficiency at lower power is noted.

  14. Evaluation on nitrogen oxides and nanoparticle removal and nitrogen monoxide generation using a wet-type nonthermal plasma reactor

    Science.gov (United States)

    Takehana, Kotaro; Kuroki, Tomoyuki; Okubo, Masaaki

    2018-05-01

    Nitrogen oxides (NOx) emitted from power plants and combustion sources cause air pollution problems. Selective catalytic reduction technology is remarkably useful for NOx removal. However, there are several drawbacks such as preparation of reducing agents, usage of harmful heavy metals, and higher cost. On the other hand, trace NO is a vasodilator agent and employed in inhalation therapies for treating pulmonary hypertension in humans. Considering these factors, in the present study, a wet-type nonthermal plasma reactor, which can control NOx and nanoparticle emissions and generate NO, is investigated. The fundamental characteristics of the reactor are investigated. First, the experiment of nanoparticle removal is carried out. Collection efficiencies of over 99% are achieved for nanoparticles at 50 and 100 ml min‑1 of liquid flow rates. Second, experiments of NOx removal under air atmosphere and NOx generation under nitrogen atmosphere are carried out. NOx-removal efficiencies of over 95% under the air plasma are achieved in 50–200 ml min‑1 liquid flow rates. Moreover, under nitrogen plasma, NOx is generated, of which the major portion is NO. For example, NO concentration is 25 ppm, while NOx concentration is 31 ppm at 50 ml min‑1 liquid flow rate. Finally, experiments of NO generation under the nitrogen atmosphere with or without flowing water are carried out. When water flows on the inner surface of the reactor, approximately 14 ppm of NO is generated. Therefore, NO generation requires flowing water. It is considered that the reaction of N and OH, which is similar to the extended Zeldovich mechanism, could occur to induce NO formation. From these results, it is verified that the wet-type plasma reactor is useful for NOx removal and NO generation under nitrogen atmosphere with flowing water.

  15. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  16. Positron Source from Betatron X-rays Emitted in a Plasma Wiggler

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, D.K.; Clayton, C.E.; Huang, C.; Joshi, C.; Lu, W.; Marsh, K.A.; Mori, W.B.; Zhou, M.; /UCLA; Barnes, C.D.; Decker, F.J.; Hogan, M.J.; Iverson, R.H.; Krejcik, P.; O' Connell, C.L.; Siemann, R.; Walz, D.R.; /SLAC; Deng, S.; Katsouleas, T.C.; Muggli, P.; Oz, E.; /Southern California U.

    2006-04-21

    In the E-167 plasma wakefield accelerator (PWFA) experiments in the Final Focus Test Beam (FFTB) at the Stanford Linear Accelerator Center (SLAC), an ultra-short, 28.5 GeV electron beam field ionizes a neutral column of Lithium vapor. In the underdense regime, all plasma electrons are expelled creating an ion column. The beam electrons undergo multiple betatron oscillations leading to a large flux of broadband synchrotron radiation. With a plasma density of 3 x 10{sup 17}cm{sup -3}, the effective focusing gradient is near 9 MT/m with critical photon energies exceeding 50 MeV for on-axis radiation. A positron source is the initial application being explored for these X-rays, as photo-production of positrons eliminates many of the thermal stress and shock wave issues associated with traditional Bremsstrahlung sources. Photo-production of positrons has been well-studied; however, the brightness of plasma X-ray sources provides certain advantages. In this paper, we present results of the simulated radiation spectra for the E-167 experiments, and compute the expected positron yield.

  17. 27.12 MHz plasma generation in supercritical carbon dioxide

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Toyota, Hiromichi; Nomura, Shinfuku; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro; Yamashita, Hiroshi

    2007-01-01

    An experiment was conducted for generating high-frequency plasma in supercritical carbon dioxide; it is expected to have the potential for applications in various types of practical processes. It was successfully generated at 6-20 MPa using electrodes mounted in a supercritical cell with a gap of 1 mm. Emission spectra were then measured to investigate the physical properties of supercritical carbon dioxide plasma. The results indicated that while the emission spectra for carbon dioxide and carbon monoxide could be mainly obtained at a low pressure, the emission spectra for atomic oxygen could be obtained in the supercritical state, which increased with the pressure. The temperature of the plasma in supercritical state was estimated to be approximately 6000-7000 K on the assumption of local thermodynamic equilibrium and the calculation results of thermal equilibrium composition in this state showed the increase of atomic oxygen by the decomposition of CO 2

  18. Decontamination possibilities of high-toxic wastes by means of dense plasma generators

    International Nuclear Information System (INIS)

    Rutberg, P.G.; Kolikov, V.A.; Bogomaz, A.A.; Budin, A.V.

    1997-01-01

    In present time the idea of plasma generators application for the high-toxic agents and wastes decontamination has become very urgent. It is known that chemical bonds energy of some molecules being part of these substances is so high that it is impossible to destroy them using traditional methods. Taking into account the fact that the temperature of plasma generator's arc column may be of tens eV, and its energy of hundreds kJ, one may state that any known chemical substances taken in quite large amount, may be dissociated to the atoms. In this paper simplified construction of plasma generator and technological scheme of plasmachemical installation are presented. (author)

  19. Particle sources with high-intensity lasers: a tool for plasma diagnostics and an innovative source for applications; Sources de particules avec des lasers de haute intensite: un outil pour les diagnostics plasma et une source innovante pour les applications

    Energy Technology Data Exchange (ETDEWEB)

    Fritzler, S

    2003-09-15

    This work is an experimental study on particle generation with high-intensity lasers. This document is divided into 4 parts, whereas the first is dedicated to theoretical basics of particle generation and acceleration mechanisms during relativistic laser plasma interactions, the 3 other parts cover experimental studies on neutron, electron as well as proton generation. In the first part basic laser and plasma characteristics will be introduced as well as physical processes of interest during the interaction of a relativistic high-intensity laser with an underdense / overdense plasma. In the second part we introduce methodological basics of neutron generation by D(d,n)He{sup 3} reactions since this can reveal information about ion kinetics and possible ion heating mechanisms in plasmas. Subsequently the set-up for this experiment, pursued in the underdense regime, will be described in detail. The experimental results will be discussed for the gas jet interaction as well as for the beam target model since it was deduced that plasma ions are heated during the interaction to fusion temperatures of about 1 keV. The third part describes the generation of an electron beam with an energy up to 200 MeV in a new regime termed 'forced laser Wakefield'. Here, the presented experimental results were for the first time fully explained and even extended by the numerical modelling of this interaction in terms of energy, yield, angular divergence, emittance as well as bunch length of this electron beam. In the last part we present a 10 MeV proton beam generation using foil targets and a 10 Hz laser. Again the kinematic simulation of this experiment is in agreement with the experimental results by means of yield and angular divergence.

  20. Pulsed power sources based on MHD generators (A state-of-art review)

    International Nuclear Information System (INIS)

    Das, A.K.; Venkatramani, N.; Rohatgi, V.K.

    1986-01-01

    pulsed Power sources are finding increased applications in powering plasma experiments, CTF devices, investigations of structure of earth's crust or self-contained compact power supplies for military applications. This report reviews the development of magnetohydrodynamic (MHD) power systems for pulsed power applications. The major critical components, which are analysed in detail, include the combustor, high energy fuel development, high field magnet, high power density channel and power conditioning unit. The report concludes that the MHD research has now reached a stage, where it is possible to design and achieve requisite performance from short duration high power compact MHD generators. (author)

  1. Plasma generator utilizing dielectric member for carrying microwave energy

    International Nuclear Information System (INIS)

    Aklufi, M.E.; Brock, D.W.

    1991-01-01

    This patent describes a system in which electromagnetic energy is used to generate a plasma from a gas. It comprises a reaction chamber which is evacuated to less than ambient pressure and into which the gas is introduced; and a nonconductive member for carrying the electromagnetic energy and for emitting the electromagnetic energy so that a plasma is formed from the gas

  2. Generation of nano roughness on fibrous materials by atmospheric plasma

    International Nuclear Information System (INIS)

    Kulyk, I; Scapinello, M; Stefan, M

    2012-01-01

    Atmospheric plasma technology finds novel applications in textile industry. It eliminates the usage of water and of hazard liquid chemicals, making production much more eco-friendly and economically convenient. Due to chemical effects of atmospheric plasma, it permits to optimize dyeing and laminating affinity of fabrics, as well as anti-microbial treatments. Other important applications such as increase of mechanical resistance of fiber sleeves and of yarns, anti-pilling properties of fabrics and anti-shrinking property of wool fabrics were studied in this work. These results could be attributed to the generation of nano roughness on fibers surface by atmospheric plasma. Nano roughness generation is extensively studied at different conditions. Alternative explanations for the important practical results on textile materials and discussed.

  3. Thermo-mechanical design of the Plasma Driver Plate for the MITICA ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pavei, Mauro, E-mail: mauro.pavei@igi.cnr.it [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy); Palma, Mauro Dalla; Marcuzzi, Diego [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy)

    2010-12-15

    In the framework of the activities for the development of the Neutral Beam Injector (NBI) for ITER, the detailed design of the Radio-Frequency (RF) negative ion source has been carried out. One of the most heated components of the RF source is the rear vertical plate, named Plasma Driver Plate (PDP), where the Back-Streaming positive Ions (BSI+) generated from stripping losses in the accelerator and back scattered on the plasma source impinge on. The heat loads that result are huge and concentrated, with first estimate of the power densities up to 60 MW/m{sup 2}. The breakdowns that occur into the accelerator cause such heat loads to act cyclically, so that the PDP is thermo-mechanically fatigue loaded. Moreover, the surface of the PDP facing the plasma is functionally required to be temperature controlled and to be molybdenum or tungsten coated. The thermo-hydraulic design of the plate has been carried out considering active cooling with ultra-pure water. Different heat sink materials, hydraulic circuit layout and manufacturing processes have been considered. The heat exhaust has been optimized by changing the channels geometry, the path of the heat flux in the heat sink, the thickness of the plate and maximizing the Heat Transfer Coefficient. Such optimization has been carried out by utilizing 3D Finite Element (FE) models. Afterwards all the suitable mechanical (aging, structural monotonic and cyclic) verifications have been carried out post-processing the results of the thermo-mechanical 3D FE analyses in accordance to specific procedures for nuclear components exposed to high temperature. The effect of sputtering phenomenon due to the high energy BSI+ impinging on the plate has been considered and combined with fatigue damage for the mechanical verification of the PDP. Alternative solutions having molybdenum (or tungsten coatings) facing the plasma, aiming to reduce the sputtering rate and the consequent plasma pollution, have been evaluated and related 3D FE

  4. Advanced Thomson scattering system for high-flux linear plasma generator

    NARCIS (Netherlands)

    Meiden, van der H.J.; Lof, A.R.; Berg, van den M.A.; Brons, S.; Donné, A.J.H.; Eck, van H.J.N.; Koelman, Peter; Koppers, W.R.; Kruijt, O.G.; Naumenko, N.N.; Oyevaar, T.; Prins, P.R.; Rapp, J.; Scholten, J.; Schram, D.C.; Smeets, P.H.M.; Star, van der G.; Tugarinov, S.N.; Zeijlmans van Emmichoven, P.A.

    2012-01-01

    An advanced Thomson scattering system has been built for a linear plasma generator for plasma surface interaction studies. The Thomson scattering system is based on a Nd:YAG laser operating at the second harmonic and a detection branch featuring a high etendue (f /3) transmission grating

  5. Short-range wakefields generated in the blowout regime of plasma-wakefield acceleration

    Science.gov (United States)

    Stupakov, G.

    2018-04-01

    In the past, calculation of wakefields generated by an electron bunch propagating in a plasma has been carried out in linear approximation, where the plasma perturbation can be assumed small and plasma equations of motion linearized. This approximation breaks down in the blowout regime where a high-density electron driver expels plasma electrons from its path and creates a cavity void of electrons in its wake. In this paper, we develop a technique that allows us to calculate short-range longitudinal and transverse wakes generated by a witness bunch being accelerated inside the cavity. Our results can be used for studies of the beam loading and the hosing instability of the witness bunch in plasma-wakefield and laser-wakefield acceleration.

  6. Megagauss field generation for high-energy-density plasma science experiments

    International Nuclear Information System (INIS)

    Rovang, Dean Curtis; Struve, Kenneth William; Porter, John Larry Jr.

    2008-01-01

    There is a need to generate magnetic fields both above and below 1 megagauss (100 T) with compact generators for laser-plasma experiments in the Beamlet and Petawatt test chambers for focused research on fundamental properties of high energy density magnetic plasmas. Some of the important topics that could be addressed with such a capability are magnetic field diffusion, particle confinement, plasma instabilities, spectroscopic diagnostic development, material properties, flux compression, and alternate confinement schemes, all of which could directly support experiments on Z. This report summarizes a two-month study to develop preliminary designs of magnetic field generators for three design regimes. These are, (1) a design for a relatively low-field (10 to 50 T), compact generator for modest volumes (1 to 10 cm3), (2) a high-field (50 to 200 T) design for smaller volumes (10 to 100 mm3), and (3) an extreme field (greater than 600 T) design that uses flux compression. These designs rely on existing Sandia pulsed-power expertise and equipment, and address issues of magnetic field scaling with capacitor bank design and field inductance, vacuum interface, and trade-offs between inductance and coil designs

  7. 10 CFR 39.55 - Tritium neutron generator target sources.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 1 2010-01-01 2010-01-01 false Tritium neutron generator target sources. 39.55 Section 39... Equipment § 39.55 Tritium neutron generator target sources. (a) Use of a tritium neutron generator target....77. (b) Use of a tritium neutron generator target source, containing quantities exceeding 1,110 GBg...

  8. Numerical studies of third-harmonic generation in laser filament in air perturbed by plasma spot

    International Nuclear Information System (INIS)

    Feng Liubin; Lu Xin; Liu Xiaolong; Li Yutong; Chen Liming; Ma Jinglong; Dong Quanli; Wang Weimin; Xi Tingting; Sheng Zhengming; Zhang Jie; He Duanwei

    2012-01-01

    Third-harmonic emission from laser filament intercepted by plasma spot is studied by numerical simulations. Significant enhancement of the third-harmonic generation is obtained due to the disturbance of the additional plasma. The contribution of the pure plasma effect and the possible plasma-enhanced third-order susceptibility on the third-harmonic generation enhancement are compared. It is shown that the plasma induced cancellation of destructive interference [Y. Liu et al., Opt. Commun. 284, 4706 (2011)] of two-colored filament is the dominant mechanism of the enhancement of third-harmonic generation.

  9. Efficient cesiation in RF driven surface plasma negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Belchenko, Yu.; Ivanov, A.; Konstantinov, S.; Sanin, A., E-mail: sanin@inp.nsk.su; Sotnikov, O. [Budker Institute of Nuclear Physics, Siberian Branch of Russian Academy of Sciences, Novosibirsk (Russian Federation)

    2016-02-15

    Experiments on hydrogen negative ions production in the large radio-frequency negative ion source with cesium seed are described. The system of directed cesium deposition to the plasma grid periphery was used. The small cesium seed (∼0.5 G) provides an enhanced H{sup −} production during a 2 month long experimental cycle. The gradual increase of negative ion yield during the long-term source runs was observed after cesium addition to the source. The degraded H{sup −} production was recorded after air filling to the source or after the cesium washing away from the driver and plasma chamber walls. The following source conditioning by beam shots produces the gradual recovery of H{sup −} yield to the high value. The effect of H{sup −} yield recovery after cesium coverage passivation by air fill was studied. The concept of cesium coverage replenishment and of H{sup −} yield recovery due to sputtering of cesium from the deteriorated layers is discussed.

  10. Nonideal plasmas - experimental research

    International Nuclear Information System (INIS)

    Guenther, K.; Hess, H.; Radtke, R.

    1986-01-01

    The investigation of nonideal, strongly coupled, or non-Debye plasmas is a new field of the well-known arc plasma physics. The increased pressure and density cause different behaviour of the dense plasma. The paper surveys the main differences between the nonideal and the usual arc plasmas. The electrical conductivity, continuum radiation absorption coefficient, shift and broadening of spectral lines, and plasma phase transition are discussed. The problems of generation and diagnostics of nonideal plasmas are also described. Finally, the importance of the topic is underlined: possible applications in astrophysics and in different fields of technology: light sources, MHD generators, circuit breakers, laser mirrors and shutters, high temperature gas-phase fission reactors, material treatment and laser fusion are mentioned. (D.Gy.)

  11. The Sandia laser plasma extreme ultraviolet and soft x-ray (XUV) light source

    International Nuclear Information System (INIS)

    Tooman, T.P.

    1986-01-01

    Laser produced plasmas have been shown to be extremely bright sources of extreme ultraviolet and soft x-ray (XUV) radiation; however, certain practical difficulties have hindered the development of this source as a routinely usable laboratory device. To explore solutions to these difficulties, Sandia has constructed an XUV laser plasma source (LASPS) with the intention of developing an instrument that can be used for experiments requiring intense XUV radiation from 50-300 eV. The driving laser for this source is a KrF excimer with a wavelength of 248 nm, divergence of 200 μrad, pulse width of 23 ns at 20 Hz and typical pulse energy of 500 mJ which allows for good energy coupling to the plasma at moderate (10/sup 12/ W cm/sup 2/) power densities. This source has been pulsed approximately 2 x 10/sup 5/ times, demonstrating good tolerance to plasma debris. The source radiates from the visible to well above 1000 eV, however, to date attention has been concentrated on the 50-300 eV region. In this paper, spectral data and plasma images for both stainless steel and gold targets are presented with the gold target yielding a 200 μm plasma and reradiating 3.9% of the pump energy into 15-73 eV band, a flux of 1.22 x 10/sup 13/ photons/pulse/eV into 2π sr. Further efforts will expand these measurements to rare earth targets and to higher spectral energies. A special high throughput wide angle XUV (50-300 eV) monochromator and associated optics is being concurrently developed to collect the plasma radiation, perform energy dispersion and focus the radiation onto the experimental area

  12. In-liquid Plasma. A stable light source for advanced oxidation processes in environmental remediation

    Science.gov (United States)

    Tsuchida, Akihiro; Shimamura, Takeshi; Sawada, Seiya; Sato, Susumu; Serpone, Nick; Horikoshi, Satoshi

    2018-06-01

    A microwave-inspired device that generates stable in-liquid plasma (LP) in aqueous media and emits narrow light emission lines at 280-320 nm, 660 nm and 780 nm is examined as a light source capable of driving photochemical reactions and advanced oxidation processes in wastewater treatments. The microwave-driven lighting efficiency was improved by decompressing the inside of the reaction vessel, which resulted in lowering the incident power of the microwaves and suppressed the deterioration of the microwave irradiation antenna. This protocol made it possible to generate continuous stable plasma in water. Evaluation of the LP device was carried out by revisiting the decomposition of 1,4-dioxane in aqueous media against the use of such other conventional water treatment processes as (i) UV irradiation alone, (ii) TiO2-assisted photocatalysis with UV irradiation (UV/TiO2), (iii) oxidation with sodium hypochlorite (NaClO), and (iv) UV-assisted decomposition in the presence of NaClO (UV/NaClO). The in-liquid plasma technique proved superior to these four other methods. The influence of pH on the LP protocol was ascertained through experiments in acidified (HCl and H2SO4) and alkaline (NaOH and KOH) aqueous media. Except for H2SO4, decomposition of 1,4-dioxane was enhanced in both acidic and alkaline media.

  13. Power supply controlled for plasma torch generation; Fuente de alimentacion controlada para la generacion de un plasma

    Energy Technology Data Exchange (ETDEWEB)

    Diaz Z, S

    1997-12-31

    The high density of energy furnished by thermal plasma is profited in a wide range of applications, such as those related with welding fusion, spray coating and at the present in waste destruction. The waste destruction by plasma is a very attractive process because the remaining products are formed by inert glassy grains and non-toxic gases. The main characteristics of thermal plasmas are presented in this work. Techniques based on power electronics are utilized to achieve a good performance in thermal plasma generation. This work shown the design and construction of three phase control system for electric supply of thermal plasma torch, with 250 kw of capacity, as a part of the project named `Destruction of hazard wastes by thermal plasma` actually working in the Instituto Nacional de Investigaciones Nucleares (ININ). The characteristics of thermal plasma and its generation are treated in the first chapter. The A C controllers by thyristors applied in three phase arrays are described in the chapter II, talking into account the power transformer, rectifiers bank and aliasing coil. The chapter III is dedicated in the design of the trigger module which controls the plasma current by varying the trigger angle of the SCR`s; the protection and isolating unit are also presented in this chapter. The results and conclusions are discussed in chapter IV. (Author).

  14. Compact neutron generator

    Science.gov (United States)

    Leung, Ka-Ngo; Lou, Tak Pui

    2005-03-22

    A compact neutron generator has at its outer circumference a toroidal shaped plasma chamber in which a tritium (or other) plasma is generated. A RF antenna is wrapped around the plasma chamber. A plurality of tritium ion beamlets are extracted through spaced extraction apertures of a plasma electrode on the inner surface of the toroidal plasma chamber and directed inwardly toward the center of neutron generator. The beamlets pass through spaced acceleration and focusing electrodes to a neutron generating target at the center of neutron generator. The target is typically made of titanium tubing. Water is flowed through the tubing for cooling. The beam can be pulsed rapidly to achieve ultrashort neutron bursts. The target may be moved rapidly up and down so that the average power deposited on the surface of the target may be kept at a reasonable level. The neutron generator can produce fast neutrons from a T-T reaction which can be used for luggage and cargo interrogation applications. A luggage or cargo inspection system has a pulsed T-T neutron generator or source at the center, surrounded by associated gamma detectors and other components for identifying explosives or other contraband.

  15. Fast camera studies at an electron cyclotron resonance table plasma generator.

    Science.gov (United States)

    Rácz, R; Biri, S; Hajdu, P; Pálinkás, J

    2014-02-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the "big" ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  16. Fast camera studies at an electron cyclotron resonance table plasma generator

    International Nuclear Information System (INIS)

    Rácz, R.; Biri, S.; Hajdu, P.; Pálinkás, J.

    2014-01-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper

  17. Fast camera studies at an electron cyclotron resonance table plasma generator

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary); Biri, S. [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Hajdu, P.; Pálinkás, J. [Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary)

    2014-02-15

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  18. Formation of Nitrogen Oxides in an Apokamp-Type Plasma Source

    Science.gov (United States)

    Sosnin, É. A.; Goltsova, P. A.; Panarin, V. A.; Skakun, V. S.; Tarasenko, V. F.; Didenko, M. V.

    2017-08-01

    Using optical and chemical processes, the composition of the products of decay of the atmospheric-pressure non-equilibrium plasma is determined in a pulsed, high-voltage discharge in the modes of apokampic and corona discharges. It is shown that the products of decay primarily contain nitrogen oxides NO x, and in the mode of the corona discharge - ozone. Potential applications of this source of plasma are discussed with respect to plasma processing of the seeds of agricultural crops.

  19. Modeling of the plasma generated in a rarefied hypersonic shock layer

    International Nuclear Information System (INIS)

    Farbar, Erin D.; Boyd, Iain D.

    2010-01-01

    In this study, a rigorous numerical model is developed to simulate the plasma generated in a rarefied, hypersonic shock layer. The model uses the direct simulation Monte Carlo (DSMC) method to treat the particle collisions and the particle-in-cell (PIC) method to simulate the plasma dynamics in a self-consistent manner. The model is applied to compute the flow along the stagnation streamline in front of a blunt body reentering the Earth's atmosphere at very high velocity. Results from the rigorous DSMC-PIC model are compared directly to the standard DSMC modeling approach that uses the ambipolar diffusion approximation to simulate the plasma dynamics. It is demonstrated that the self-consistent computation of the plasma dynamics using the rigorous DSMC-PIC model captures many physical phenomena not accurately predicted by the standard modeling approach. These computations represent the first assessment of the validity of the ambipolar diffusion approximation when predicting the rarefied plasma generated in a hypersonic shock layer.

  20. Development of Langmuir probe diagnostic system for 13.56 MHz plasma sources

    International Nuclear Information System (INIS)

    Ranjini, K.; Nabhiraj, P.Y.; Mallik, C.; Bhandari, R.K.

    2006-01-01

    A work on development of high brightness ion source has been started recently. Plasma parameters are strongly linked to the brightness of the ion beams produced from the ion sources. A self compensated Langmuir probe and related automation system for the measurement of plasma parameters is developed. This paper describes design of the probe, software, hardware and the results. (author)

  1. Equivalent circuit of a coaxial-line-based nozzleless microwave 915 MHz plasma source

    International Nuclear Information System (INIS)

    Miotk, R; Jasiński, M; Mizeraczyk, J

    2016-01-01

    This paper presents a new concept of an equivalent circuit of a microwave plasma source (MPS) used for gas treatment. The novelty of presented investigations is the use of the Weissfloch circuit as equivalent of an area of waveguide discontinuity in the MPS which is a result of entering a coaxial-line structure. Furthermore, in this area the microwave discharge is generated. Verification of the proposed method was carried out. The proposed equivalent circuit enabled calculating the MPS tuning characteristics and comparing them with those measured experimentally. This process allowed us to determine the impedance Z_P ofplasma in the MPS. (paper)

  2. Neutron generator tube ion source control

    International Nuclear Information System (INIS)

    Bridges, J.R.

    1982-01-01

    A system is claimed for controlling the output of a neutron generator tube of the deuterium-tritium accelerator type and having an ion source to produce sharply defined pulses of neutrons for well logging use. It comprises: means for inputting a relatively low voltage input control pulse having a leading edge and a trailing edge; means, responsive to the input control pulse, for producing a relatively high voltage ion source voltage pulse after receipt of the input pulse; and means, responsive to the input control pulse, for quenching, after receipt of the input pulse, the ion source control pulse, thereby providing a sharply time defined neutron output from the generator tube

  3. Summary of mirror experiments relevant to beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1988-01-01

    A promising design for a deuterium-tritium (DT) neutron source is based on the injection of neutral beams into a dense, warm plasma column. Its purpose is to test materials for possible use in fusion reactors. A series of designs have evolved, from a 4-T version to an 8-T version. Intense fluxes of 5--10 MW/m 2 is achieved at the plasma surface, sufficient to complete end-of-life tests in one to two years. In this report, we review data from earlier mirror experiments that are relevant to such neutron sources. Most of these data are from 2XIIB, which was the only facility to ever inject 5 MW of neutral beams into a single mirror call. The major physics issues for a beam-plasma neutron source are magnetohydrodynamic (MHD) equilibrium and stability, microstability, startup, cold-ion fueling of the midplane to allow two-component reactions, and operation in the Spitzer conduction regime, where the power is removed to the ends by an axial gradient in the electron temperature T/sub e/. We show in this report that the conditions required for a neutron source have now been demonstrated in experiments. 20 refs., 15 figs., 3 tabs

  4. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  5. Discharge regimes and density jumps in a helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, S.; Yonekura, K.

    1999-01-01

    A high density plasma source using a helicon wave is becoming very attractive in plasma processing and confinement devices. In the previous work, the characteristics of this wave and plasma performance with diameters of 5 and 45 cm have been studied, and the helicon wave was only observed after the density jump. Recently, density jumps from the low to high electron densities with a level of 10 13 cm -3 were investigated by changing the antenna wavenumber spectrum, and the obtained results were compared with the inductively coupled plasma (ICP). However, the mechanisms of density jumps and plasma production are still open questions to be answered. Here, the authors try to investigate the discharge regimes and density jumps in a helicon plasma source, by changing the antenna wavenumber spectrum. For he case of the parallel current directions in the antenna, where the low wavenumber spectrum part is large, the density jump was observed with the low RF input power of P in < 300 W regardless of the magnetic field. On the other hand, for the case of the opposite directions, where the low wavenumber spectrum part is small, the threshold power to obtain the jump became high with the increase in the magnetic field. This can be understood from the dispersion relation of the helicon wave. The wave structures and the dispersion relations in the discharge modes will be also shown

  6. Development of negative heavy ion sources for plasma potential measurement

    International Nuclear Information System (INIS)

    Sasao, M.; Okabe, Y.; Fujisawa, A.; Iguchi, H.; Fujita, J.; Yamaoka, H.; Wada, M.

    1991-10-01

    A plasma sputter negative ion source was studied for its applicability to the potential measurement of a fusion plasma. Both the beam current density and the beam energy spread are key issues. Energy spectra of a self extracted Au - beam from the source were measured under the condition of a constant work function of the production surface. The full width of half maximum (FWHM) increases from 3 eV to 9 eV monotonically as the target voltage increases from 50 V to 300 V, independently from the target surface work function of 2.2 - 3 eV. (author)

  7. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  8. kHz femtosecond laser-plasma hard X-ray and fast ion source

    International Nuclear Information System (INIS)

    Thoss, A.; Korn, G.; Stiel, H.; Voigt, U.; Elsaesser, T.; Richardson, M.C.; Siders, C.W.; Faubel, M.

    2002-01-01

    We describe the first demonstration of a new stable, kHz femtosecond laser-plasma source of hard x-ray continuum and K α emission using a thin liquid metallic jet target. kHz femtosecond x-ray sources will find many applications in time-resolved x-ray diffraction and microscopy studies. As high intensity lasers become more compact and operate at increasingly high repetition-rates, they require a target configuration that is both repeatable from shot-to-shot and is debris-free. We have solved this requirement with the use of a fine (10-30 μm diameter) liquid metal jet target that provides a pristine, unperturbed filament surface at rates >100 kHz. A number of liquid metal targets are considered. We will show hard x-ray spectra recorded from liquid Ga targets that show the generation of the 9.3 keV and 10.3 keV, K α and K β lines superimposed on a multi-keV Bremsstrahlung continuum. This source was generated by a 50fs duration, 1 kHz, 2W, high intensity Ti:Sapphire laser. We will discuss the extension of this source to higher powers and higher repetition rates, providing harder x-ray emission, with the incorporation of pulse-shaping and other techniques to enhance the x-ray conversion efficiency. Using the same liquid target technology, we have also demonstrated the generation of forward-going sub-MeV protons from a 10 μm liquid water target at 1 kHz repetition rates. kHz sources of high energy ions will find many applications in time-resolved particle interaction studies, as well as lead to the efficient generation of short-lived isotopes for use in nuclear medicine and other applications. The protons were detected with CR-39 track detectors both in the forward and backward directions up to energies of ∼500 keV. As the intensity of compact high repetition-rate lasers sources increase, we can expect improvements in the energy, conversion efficiency and directionality to occur. The impact of these developments on a number of fields will be discussed. As compact

  9. Characterization of X-ray emission from laser generated plasma

    Science.gov (United States)

    Cannavò, Antonino; Torrisi, Lorenzo; Ceccio, Giovanni; Cutroneo, Mariapompea; Calcagno, Lucia; Sciuto, Antonella; Mazzillo, Massimo

    2018-01-01

    X-ray emission from laser generated plasma was studied at low (1010 W/cm2) and high (1018 W/cm2) intensity using ns and fs laser, respectively. Plasma characteristics were controlled trough the laser parameters, the irradiation conditions and the target properties. The X-ray spectra were acquired using fast detection technique based on SiC diodes with different active regions. The X-ray yield increases with the atomic number of the target, both at low and high intensity, and a similar empirical law has been obtained. The X-ray emission mechanisms from plasma are correlated to the plasma temperature and density and to the Coulomb charge particle acceleration, due to the charge separation effects produced in the non-equilibrium plasma. Functional dependences, theoretical approaches and interpretation of possible mechanism will be presented and discussed.

  10. Characterization of X-ray emission from laser generated plasma

    Directory of Open Access Journals (Sweden)

    Cannavò Antonino

    2018-01-01

    Full Text Available X-ray emission from laser generated plasma was studied at low (1010 W/cm2 and high (1018 W/cm2 intensity using ns and fs laser, respectively. Plasma characteristics were controlled trough the laser parameters, the irradiation conditions and the target properties. The X-ray spectra were acquired using fast detection technique based on SiC diodes with different active regions. The X-ray yield increases with the atomic number of the target, both at low and high intensity, and a similar empirical law has been obtained. The X-ray emission mechanisms from plasma are correlated to the plasma temperature and density and to the Coulomb charge particle acceleration, due to the charge separation effects produced in the non-equilibrium plasma. Functional dependences, theoretical approaches and interpretation of possible mechanism will be presented and discussed.

  11. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  12. Similarity analysis for the high-pressure inductively coupled plasma source

    International Nuclear Information System (INIS)

    Vanden-Abeele, D; Degrez, G

    2004-01-01

    It is well known that the optimal operating parameters of an inductively coupled plasma (ICP) torch strongly depend upon its dimensions. To understand this relationship better, we derive a dimensionless form of the equations governing the behaviour of high-pressure ICPs. The requirement of similarity then naturally leads to expressions for the operating parameters as a function of the plasma radius. In addition to the well-known scaling law for frequency, surprising results appear for the dependence of the mass flow rate, dissipated power and operating pressure upon the plasma radius. While the obtained laws do not appear to be in good agreement with empirical results in the literature, their correctness is supported by detailed numerical calculations of ICP sources of varying diameters. The approximations of local thermodynamic equilibrium and negligible radiative losses restrict the validity of our results and can be responsible for the disagreement with empirical data. The derived scaling laws are useful for the design of new plasma torches and may provide explanations for the unsteadiness observed in certain existing ICP sources

  13. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  14. Dense strongly non-ideal plasma generation by laser isobaric heating

    International Nuclear Information System (INIS)

    Kulik, P.P.; Rozanov, E.K.; Riabii, V.A.; Titov, M.A.

    1975-01-01

    A method of generation of a dense strongly non-ideal plasma by slow isobaric heating of a small target in a high inert gas medium is discussed. The characteristic life-time of dense plasma is 10 -3 sec. Estimations show that such a plasma is homogeneous. Conditions are found for temperature uniformity. The experimental results of the isobaric heating of a thin potassium foil target by a ruby laser beam at 500 atm are described. (Auth.)

  15. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    Energy Technology Data Exchange (ETDEWEB)

    Jablonowski, H.; Hammer, M. U.; Reuter, S. [Center for Innovation Competence plasmatis, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Bussiahn, R.; Weltmann, K.-D.; Woedtke, Th. von [Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2015-12-15

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100–400 nm) and, in particular, vacuum ultraviolet (VUV, 10–200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH{sub 2}O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stable reactive oxygen species, hydrogen peroxide (H{sub 2}O{sub 2}) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O{sub 2}{sup •−}) and hydroxyl radicals ({sup •}OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.

  16. Plasma focus as an heavy ion source in the problem of heavy ion fusion

    International Nuclear Information System (INIS)

    Gribkov, V.A.; Dubrovskij, A.V.; Kalachev, N.V.; Krokhin, O.N.; Silin, P.V.; Nikulin, V.Ya.; Cheblukov, Yu.N.

    1984-01-01

    Results of experiments on the ion flux formation in a plasma focus (PF) to develop a multicharged ion source for thermonuclear facility driver are presented. In plasma focus accelerating section copper ions were injected. Advantages of the suggested method of ion beam formation are demonstrated. Beam emittance equalling < 0.1 cmxmrad is obtained. Plasma focus ion energy exceeds 1 MeV. Plasma focus in combination with a neodymium laser is thought to be a perspective ion source for heavy ion fusion

  17. Abnormally large energy spread of electron beams extracted from plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Winter, H [Technische Univ., Vienna (Austria). Inst. fuer Allgemeine Physik

    1976-07-01

    Intense electron beams extracted from DUOPLASMATRON-plasma cathodes show a high degree of modulation in intensity and an abnormally large energy spread; these facts cannot be explained simply by the temperature of the plasma electrons and the discharge structure. However, an analysis of the discharge stability behaviour and the interaction of source- and extracted beam-plasma leads to an explanation for the observed effects.

  18. Research on Primary Shielding Calculation Source Generation Codes

    Science.gov (United States)

    Zheng, Zheng; Mei, Qiliang; Li, Hui; Shangguan, Danhua; Zhang, Guangchun

    2017-09-01

    Primary Shielding Calculation (PSC) plays an important role in reactor shielding design and analysis. In order to facilitate PSC, a source generation code is developed to generate cumulative distribution functions (CDF) for the source particle sample code of the J Monte Carlo Transport (JMCT) code, and a source particle sample code is deveoped to sample source particle directions, types, coordinates, energy and weights from the CDFs. A source generation code is developed to transform three dimensional (3D) power distributions in xyz geometry to source distributions in r θ z geometry for the J Discrete Ordinate Transport (JSNT) code. Validation on PSC model of Qinshan No.1 nuclear power plant (NPP), CAP1400 and CAP1700 reactors are performed. Numerical results show that the theoretical model and the codes are both correct.

  19. Coherent bremsstrahlung generation of harmonics in a laser-produced plasma

    International Nuclear Information System (INIS)

    Silin, Viktor P

    1999-01-01

    Foundations of a theory of generation of the harmonics of a laser pump in a fully ionised plasma are proposed. This theory makes it possible to describe the relationships governing harmonic generation in an analytical form. For an elliptically polarised pump field with a low degree of circular polarisation A, the range of plasma parameters is established in which the number of harmonics is found to be of the order of A -1 . Anomalous polarisation properties of the harmonics are predicted. In this case, their polarisation is seen to be nearly perpendicular to the pump polarisation and the degree of circular polarisation increases with the harmonic order number. The harmonic-order-dependent intensity of the pump field which results in circular polarisation of a harmonic is determined making allowance for thermal plasma motion. The conditions under which increasing the low degree of circular pump polarisation increases the efficiency of harmonic generation are established. The nonlinear dependence of the pump polarisation on its intensity under the conditions of collisional absorption in a plasma are identified and an instability of the circular polarisation is revealed. For a plane-polarised pump, it is shown how the maximum power of a harmonic and the pump power corresponding to this maximum scale up with the harmonic order number. The conditions under which the number of harmonics generated is limited owing to the relativistic nature of electron motion in the pump field are established. This effect appears for an unexpectedly weak relativity. (invited paper)

  20. Cloud Sourcing – Next Generation Outsourcing?

    OpenAIRE

    Muhic, Mirella; Johansson, Björn

    2014-01-01

    Although Cloud Sourcing has been around for some time it could be questioned what actually is known about it. This paper presents a literature review on the specific question if Cloud Sourcing could be seen as the next generation of outsourcing. The reason for doing this is that from an initial sourcing study we found that the sourcing decisions seems to go in the direction of outsourcing as a service which could be described as Cloud Sourcing. Whereas some are convinced that Cloud Sourcing r...

  1. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    DEFF Research Database (Denmark)

    Thrysøe, Alexander Simon; Løiten, M.; Madsen, J.

    2018-01-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms...... is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms...... are included in a four-field drift fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the lastclosed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation...

  2. Development of a versatile multiaperture negative ion source

    International Nuclear Information System (INIS)

    Cavenago, M.; Kulevoy, T.; Petrenko, S.; Serianni, G.; Antoni, V.; Bigi, M.; Fellin, F.; Recchia, M.; Veltri, P.

    2012-01-01

    A 60 kV ion source (9 beamlets of 15 mA each of H - ) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum |B| trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  3. Development of a versatile multiaperture negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Cavenago, M. [INFN-LNL, viale dell' Universita n.2, I-35020 Legnaro (Padova) (Italy); Kulevoy, T.; Petrenko, S. [INFN-LNL, viale dell' Universita n.2, I-35020 Legnaro (Padova) (Italy); ITEP, B. Cheremushkinskaya 25, 117218 Moscow (Russian Federation); Serianni, G.; Antoni, V.; Bigi, M.; Fellin, F.; Recchia, M.; Veltri, P. [Consorzio RFX, Associazione Euratom-ENEA sulla fusione, c.so S. Uniti 4, 35127 Padova (Italy)

    2012-02-15

    A 60 kV ion source (9 beamlets of 15 mA each of H{sup -}) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum |B| trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  4. Development of a versatile multiaperture negative ion source.

    Science.gov (United States)

    Cavenago, M; Kulevoy, T; Petrenko, S; Serianni, G; Antoni, V; Bigi, M; Fellin, F; Recchia, M; Veltri, P

    2012-02-01

    A 60 kV ion source (9 beamlets of 15 mA each of H(-)) and plasma generators are being developed at Consorzio RFX and INFN-LNL, for their versatility in experimental campaigns and for training. Unlike most experimental sources, the design aimed at continuous operation. Magnetic configuration can achieve a minimum ∣B∣ trap, smoothly merged with the extraction filter. Modular design allows for quick substitution and upgrading of parts such as the extraction and postacceleration grids or the electrodes in contact with plasma. Experiments with a radio frequency plasma generator and Faraday cage inside the plasma are also described.

  5. 77 FR 6463 - Revisions to Labeling Requirements for Blood and Blood Components, Including Source Plasma...

    Science.gov (United States)

    2012-02-08

    ... Blood Components, Including Source Plasma; Correction AGENCY: Food and Drug Administration, HHS. ACTION..., Including Source Plasma,'' which provided incorrect publication information regarding a 60-day notice that...

  6. Spectroscopic measurements of anode plasma with cryogenic pulsed ion sources

    International Nuclear Information System (INIS)

    Yoneda, H.; Urata, T.; Ohbayashi, K.; Kim, Y.; Horioka, K.; Kasuya, K.

    1987-01-01

    In ion beam diodes, electromagnetic wave is coupled to ion beam. Ion is extracted from anode plasma, which is produced early in the power pulse. However, exact mechanism of anode plasma production, expansion and ion extraction process is unknown. In particularly, anode plasma expansion is seemed to be one of the reasons of rapid impedance collapse of the diode, which is serious problem in high power experiments. Some experimental results showed that anode plasma expansion velocity was about 5 times larger than that inferred from simple thermal velocity. Several explanations for these results were proposed; for example, electron collisionarity in anode plasma, fast neutral gas particle, diamagnetism. To solve this question, it is necessary to measure the characteristic of anode plasma with space and time resolution. The authors made spectroscopic measurements to investigate variety of electron temperature, electron density, expansion velocity of anode plasma with various ion sources

  7. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  8. Beam-plasma generators of stochastic microwave oscillations using for plasma heating in fusion and plasma-chemistry devices and ionospheric investigations

    Energy Technology Data Exchange (ETDEWEB)

    Mitin, L A; Perevodchikov, V I; Shapiro, A L; Zavyalov, M A [All-Russian Electrotechnical Inst., Moscow (Russian Federation); Bliokh, Yu P; Fajnberg, Ya B [Kharkov Inst. of Physics and Technology (Russian Federation)

    1997-12-31

    The results of theoretical and experimental investigations of a generator of stochastic microwave power based on a beam-plasma inertial feedback amplifier is discussed with a view to using stochastic oscillations for plasma heating. The plasma heating efficiency in the region of low-frequency resonance in the geometry of the Tokamak is considered theoretically. It is shown that the temperature of heating is proportional to the power multiplied by the spectra width of the noiselike signal. The creation and heating of plasma by stochastic microwave power in an oversized waveguide without external magnetic field is discussed with a view to plasma-chemistry applications. It is shown that the efficiency of heating are defined by the time of phase instability of the stochastic power. (author). 3 figs., 13 refs.

  9. Inertial electro-magnetostatic plasma neutron sources

    International Nuclear Information System (INIS)

    Barnes, D.C.; Nebel, R.A.; Schauer, M.M.; Pickrel, M.M.

    1997-01-01

    Two types of systems are being studied experimentally as D-T plasma neutron sources. In both concepts, spherical convergence of either electrons or ions or both is used to produce a dense central focus within which D-T fusion reactions produce 14 MeV neutrons. One concept uses nonneutral plasma confinement principles in a Penning type trap. In this approach, combined electrostatic and magnetic fields provide a vacuum potential well within which electrons are confined and focused. A small (6 mm radius) spherical machine has demonstrated a focus of 30 microm radius, with a central density of up to 35 times the Brillouin density limit of a static trap. The resulting electron plasma of up to several 10 13 cm -3 provides a multi-kV electrostatic well for confining thermonuclear ions as a neutron source. The second concept (Inertial Electrostatic Confinement, or IEC) uses a high-transparence grid to form a global well for acceleration and confinement of ions. Such a system has demonstrated steady neutron output of 2 x 10 10 s -1 . The present experiment will scale this to >10 11 s -1 . Advanced designs based on each concept have been developed recently. In these proposed approaches, a uniform-density electron sphere forms an electrostatic well for ions. Ions so trapped may be focused by spherical convergence to produce a dense core. An alternative approach produces large amplitude spherical oscillations of a confined ion cloud by a small, resonant modulation of the background electrons. In both the advanced Penning trap approach and the advanced IEC approach, the electrons are magnetically insulated from a large (up to 100 kV) applied electrostatic field. The physics of these devices is discussed, experimental design details are given, present observations are analyzed theoretically, and the performance of future advanced systems are predicted

  10. Electron current generated in a toroidal plasma on injection of high-energy neutrals

    International Nuclear Information System (INIS)

    Kolesnichenko, Ya.I.; Reznik, S.N.

    1981-01-01

    Problem of generation of electron current in toroidal plasma with a high-energy ion beam produced during neutral injection has been considered. The analysis was performed on the assumption that plasma is in the regime of rare collisions (banana regime) and ion beam velocity is considerably lower than thermal velocity of plasma ions. Formulae establishing the relation between beam current and electron current have been derived. It follows from them that toroidal affect considerably plasma current generated with the beam and under certain conditions result in changing this current direction in an area remoted from magne-- tic axis [ru

  11. Compact neutron generator development and applications

    International Nuclear Information System (INIS)

    Leung, Ka-Ngo; Reijonen, Jani; Gicquel, Frederic; Hahto, Sami; Lou, Tak-Pui

    2004-01-01

    The Plasma and Ion Source Technology Group at the Lawrence Berkeley National Laboratory has been engaging in the development of high yield compact neutron generators for the last ten years. Because neutrons in these generators are formed by using either D-D, T-T or D-T fusion reaction, one can produce either mono-energetic (2.4 MeV or 14 MeV) or white neutrons. All the neutron generators being developed by our group utilize 13.5 MHz RF induction discharge to produce a pure deuterium or a mixture of deuterium-tritium plasma. As a result, ion beams with high current density and almost pure atomic ions can be extracted from the plasma source. The ion beams are accelerated to ∼100 keV and neutrons are produced when the beams impinge on a titanium target. Neutron generators with different configurations and sizes have been designed and tested at LBNL. Their applications include neutron activation analysis, oil-well logging, boron neutron capture therapy, brachytherapy, cargo and luggage screening. A novel small point neutron source has recently been developed for radiography application. The source size can be 2 mm or less, making it possible to examine objects with sharper images. The performance of these neutron generators will be described in this paper

  12. Enhancement of H{sup -}/D{sup -} volume production in a double plasma type negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Fukumasa, Osamu; Nishimura, Hideki; Sakiyama, Satoshi [Yamaguchi Univ., Ube (Japan). Faculty of Engineering

    1997-02-01

    H{sup -}/D{sup -} production in a pure volume source has been studied. In our double plasma type negative ion source, both energy and density of fast electrons are well controlled. With the use of this source, the enhancement of H{sup -}/D{sup -} production has been observed. Namely, under the same discharge power, the extracted H{sup -}/D{sup -} current in the double plasma operation is higher than that in the single plasma operation. At the same time, measurements of plasma parameters have been made in the source and the extractor regions for these two cases. (author)

  13. Diagnostics of Particles emitted from a Laser generated Plasma: Experimental Data and Simulations

    Science.gov (United States)

    Costa, Giuseppe; Torrisi, Lorenzo

    2018-01-01

    The charge particle emission form laser-generated plasma was studied experimentally and theoretically using the COMSOL simulation code. The particle acceleration was investigated using two lasers at two different regimes. A Nd:YAG laser, with 3 ns pulse duration and 1010 W/cm2 intensity, when focused on solid target produces a non-equilibrium plasma with average temperature of about 30-50 eV. An Iodine laser with 300 ps pulse duration and 1016 W/cm2 intensity produces plasmas with average temperatures of the order of tens keV. In both cases charge separation occurs and ions and electrons are accelerated at energies of the order of 200 eV and 1 MeV per charge state in the two cases, respectively. The simulation program permits to plot the charge particle trajectories from plasma source in vacuum indicating how they can be deflected by magnetic and electrical fields. The simulation code can be employed to realize suitable permanent magnets and solenoids to deflect ions toward a secondary target or detectors, to focalize ions and electrons, to realize electron traps able to provide significant ion acceleration and to realize efficient spectrometers. In particular it was applied to the study two Thomson parabola spectrometers able to detect ions at low and at high laser intensities. The comparisons between measurements and simulation is presented and discussed.

  14. Plasma generated in culture medium induces damages of HeLa cells due to flow phenomena

    Science.gov (United States)

    Sato, Yusuke; Sato, Takehiko; Yoshino, Daisuke

    2018-03-01

    Plasma in a liquid has been anticipated as an effective tool for medical applications, however, few reports have described cellular responses to plasma generated in a liquid similar to biological fluids. Herein we report the effects of plasma generated in a culture medium on HeLa cells. The plasma in the culture medium produced not only heat, shock waves, and reactive chemical species but also a jet flow with sub millimeter-sized bubbles. Cells exposed to the plasma exhibited detachment, morphological changes, and changes in the actin cytoskeletal structure. The experimental results suggest that wall shear stress over 160 Pa was generated on the surface of the cells by the plasma. It is one of the main factors that cause those cellular responses. We believe that our findings would provide valuable insight into advancements in medical applications of plasma in a liquid.

  15. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  16. Effects of external magnetic field on harmonics generated in laser interaction with underdense plasma

    International Nuclear Information System (INIS)

    Faghihi-Nik, M.; Ghorbanalilu, M.; Shokri, B.

    2010-01-01

    Complete text of publication follows. Generation of harmonic radiation is an important subject of laser plasma interaction and attracts great attention due to a wide range of applications. It has been seen that intense electromagnetic and quasi-static transverse magnetic fields are generated in laser plasma interaction. An extremely intense magnetic field (up to hundreds of MG) has been observed by experimental measurements in interaction of short laser pulses with plasma. These self-generated or applied magnetic fields affect the propagation of the laser pulses. In most laser interactions with homogeneous plasma, odd harmonics of laser frequency are generated. In this paper, we point out the possibility of even harmonics generation when a linearly polarized laser beam propagates in homogeneous plasma in the presence of a transverse magnetic field. It is shown that applying external field induces a transverse current density oscillating twice of the laser field which leds to generation of second harmonic radiation. This current density is derived using the perturbation method, and the steady state amplitude of the second harmonic obtained by solution of the wave equation. By the same procedure the current density and then the steady state amplitude of higher order harmonics are calculated. The efficiency of harmonic generation (the ratio of harmonic power to incident power) is a drastically function of the strength of external magnetic field. It is found that the efficiency of even harmonics is zero in the absence of magnetic field and increases as the magnetic field is increased. For odd harmonics, applying the external magnetic field enhances the generated harmonics as well. The conversion efficiency also increases with increase in plasma density and intensity of the laser beam.

  17. Atmospheric nonequilibrium mini-plasma jet created by a 3D printer

    Energy Technology Data Exchange (ETDEWEB)

    Takamatsu, Toshihiro, E-mail: toshihiro@plasma.es.titech.ac.jp [Kobe University Graduate School of Medicine, Department of Gastroenterology, 7-5-1 Kusunoki-cho, Chuo-ku, Kobe, 650-0017 (Japan); Tokyo Institute of Technology, Department of Energy Sciences, J2-32, 4259 Nagatsuta, Midori-ku, Yokohama, 226-8502 (Japan); Kawano, Hiroaki; Miyahara, Hidekazu; Okino, Akitoshi [Tokyo Institute of Technology, Department of Energy Sciences, J2-32, 4259 Nagatsuta, Midori-ku, Yokohama, 226-8502 (Japan); Azuma, Takeshi [Kobe University Graduate School of Medicine, Department of Gastroenterology, 7-5-1 Kusunoki-cho, Chuo-ku, Kobe, 650-0017 (Japan)

    2015-07-15

    In this study, a small-sized plasma jet source with a 3.7 mm head diameter was created via a 3D printer. The jet’s emission properties and OH radical concentrations (generated by argon, helium, and nitrogen plasmas) were investigated using optical emission spectrometry (OES) and electron spin resonance (ESR). As such, for OES, each individual gas plasma propagates emission lines that derive from gases and ambient air inserted into the measurement system. For the case of ESR, a spin adduct of the OH radical is typically observed for all gas plasma treatment scenarios with a 10 s treatment by helium plasma generating the largest amount of OH radicals at 110 μM. Therefore, it was confirmed that a plasma jet source made by a 3D printer can generate stable plasmas using each of the aforementioned three gases.

  18. Mapping return currents in laser-generated Z-pinch plasmas using proton deflectometry

    International Nuclear Information System (INIS)

    Manuel, M. J.-E.; Sinenian, N.; Seguin, F. H.; Li, C. K.; Frenje, J. A.; Rinderknecht, H. G.; Casey, D. T.; Zylstra, A. B.; Petrasso, R. D.; Beg, F. N.

    2012-01-01

    Dynamic return currents and electromagnetic field structure in laser-generated Z-pinch plasmas have been measured using proton deflectometry. Experiments were modeled to accurately interpret deflections observed in proton radiographs. Current flow is shown to begin on axis and migrate outwards with the expanding coronal plasma. Magnetic field strengths of ∼1 T are generated by currents that increase from ∼2 kA to ∼7 kA over the course of the laser pulse. Proton deflectometry has been demonstrated to be a practical alternative to other magnetic field diagnostics for these types of plasmas.

  19. Transport and deposition of injected hydrocarbons in plasma generator PSI-2

    International Nuclear Information System (INIS)

    Bohmeyer, W.; Naujoks, D.; Markin, A.; Arkhipov, I.; Koch, B.; Schroeder, D.; Fussmann, G.

    2005-01-01

    The transport and deposition of hydrocarbons were studied in the stationary plasma of plasma generator PSI-2. CH 4 or C 2 H 4 were injected into the plasma at different positions in the target chamber. After an interaction between the plasma and the hydrocarbons, different species are produced, some of them having high sticking probabilities and forming a:CH films on a temperature controlled collector. The film growth is studied in situ for different plasma parameters. The 3D Monte Carlo code ERO including three different sets of atomic data is used to describe the formation of hydrocarbon films

  20. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    Science.gov (United States)

    Thrysøe, A. S.; Løiten, M.; Madsen, J.; Naulin, V.; Nielsen, A. H.; Rasmussen, J. Juul

    2018-03-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms are included in a four-field drift-fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the last-closed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation to be self-consistently maintained due to ionization of neutrals in the confined region.

  1. Lifetime and shelf life of sealed tritium-filled plasma focus chambers with gas generator

    Directory of Open Access Journals (Sweden)

    B.D. Lemeshko

    2017-11-01

    Full Text Available The paper describes the operation features of plasma focus chambers using deuterium–tritium mixture. Handling tritium requires the use of sealed, vacuum-tight plasma focus chambers. In these chambers, there is an accumulation of the impurity gases released from the inside surfaces of the electrodes and the insulator while moving plasma current sheath inside chambers interacting with β-electrons generated due to the decay of tritium. Decay of tritium is also accompanied by the accumulation of helium. Impurities lead to a decreased yield of neutron emission from plasma focus chambers, especially for long term operation. The paper presents an option of absorption type gas generator in the chamber based on porous titanium, which allows to significantly increase the lifetime and shelf life of tritium chambers. It also shows the results of experiments on the comparison of the operation of sealed plasma focus chambers with and without the gas generator. Keywords: Plasma focus, Neutron yield, Tritium-filled plasma focus chambers, PACS Codes: 29.25.-v, 52.58.Lq

  2. A novel plasma source for sterilization of living tissues

    International Nuclear Information System (INIS)

    Martines, E; Zuin, M; Cavazzana, R; Gazza, E; Serianni, G; Spagnolo, S; Spolaore, M; Leonardi, A; Deligianni, V; Brun, P; Aragona, M; Castagliuolo, I; Brun, P

    2009-01-01

    A source for the production of low-power plasmas at atmospheric pressure, to be used for the nondamaging sterilization of living tissues, is presented. The source, powered by radiofrequency and working with a helium flow, has a specific configuration, studied to prevent the formation of electric arcs dangerous to living matter. It is capable of killing different types of bacteria with a decimal reduction time of 1-2 min; on the contrary, human cells such as conjunctival fibroblasts were found to be almost unharmed by the plasma. A high concentration of OH radicals, likely to be the origin of the sterilizing effect, is detected through their UV emission lines. The effect of the UV and the OH radicals on the fibroblasts was analysed and no significant effects were detected.

  3. Characteristics of a High Current Helicon Ion Source With High Monatomic Fraction

    International Nuclear Information System (INIS)

    Jung, Hwa-Dong; Chung, Kyoung-Jae; Hwang, Yong-Seok

    2006-01-01

    Applications of neutron need compact and high yield neutron sources as well as very intense neutron sources from giant devices such as accelerators. Ion source based neutron sources using nuclear fusion reactions such as D(d, 3He)n, D(t, 4He)n can meet the requirements. This type of neutron generators can be simply composed of an ion source and a target. High-performance neutron generators with high yield require ion sources with high beam current, high monatomic fraction and long lifetime. Helicon ion source can meet these requirements. To make high current ion source, characteristics of helicon plasma such as high plasma density can be utilized. Moreover, efficient plasma heating with RF power lead high fraction of monatomic ion beam. Here, Characteristics of helicon plasma sources are described. Design and its performances of a helicon ion source are presented

  4. Impedance of an intense plasma-cathode electron source for tokamak startup

    Science.gov (United States)

    Hinson, E. T.; Barr, J. L.; Bongard, M. W.; Burke, M. G.; Fonck, R. J.; Perry, J. M.

    2016-05-01

    An impedance model is formulated and tested for the ˜1 kV , 1 kA/cm2 , arc-plasma cathode electron source used for local helicity injection tokamak startup. A double layer sheath is established between the high-density arc plasma ( narc≈1021 m-3 ) within the electron source, and the less dense external tokamak edge plasma ( nedge≈1018 m-3 ) into which current is injected at the applied injector voltage, Vinj . Experiments on the Pegasus spherical tokamak show that the injected current, Iinj , increases with Vinj according to the standard double layer scaling Iinj˜Vinj3 /2 at low current and transitions to Iinj˜Vinj1 /2 at high currents. In this high current regime, sheath expansion and/or space charge neutralization impose limits on the beam density nb˜Iinj/Vinj1 /2 . For low tokamak edge density nedge and high Iinj , the inferred beam density nb is consistent with the requirement nb≤nedge imposed by space-charge neutralization of the beam in the tokamak edge plasma. At sufficient edge density, nb˜narc is observed, consistent with a limit to nb imposed by expansion of the double layer sheath. These results suggest that narc is a viable control actuator for the source impedance.

  5. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  6. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  7. Dynamic processes in the generation of quasisteady magnetic fields in a laser plasma

    International Nuclear Information System (INIS)

    Aleksich, N.; Andreev, N.E.; Bychenko, V.Yu.

    1991-01-01

    Research on the generation of quasisteady magnetic fields (QSMF) in plasma under the action of strong electro-magnetic fields has long attracted attention in connection with its role when high-power laser radiation interacts with matter. In connection with the problem of laser thermonuclear fusion, a great deal of attention has been devoted to the generation of QSMF through resonant conversion of the heating radiation into electron plasma oscillations near the critical surface. Under conditions which are of interest for present-day experiments, this conversion is nonlinear due to the ponderomotive action of the radiation on the plasma plays an important role; when it is taken into account the picture of the nonlinear interaction between the radiation and the plasma changes fundamentally. Moreover, thus far QSMF generation under the action of the heating radiation has been studied mainly without including both (nonlinearity and plasma expansion) of these factors, although in the numerical simulation of the problem QSMF has been studied for a comparatively long time. The present work presents results of a theoretical study of QSMF excitation made using the LAST code, which treats the self-consistent dynamical nonlinear picture of the plasma electrodynamics and hydrodynamics

  8. Magnetic plasma confinement for laser ion source

    International Nuclear Information System (INIS)

    Okamura, M.; Adeyemi, A.; Kanesue, T.; Tamura, J.; Kondo, K.; Dabrowski, R.

    2010-01-01

    A laser ion source (LIS) can easily provide a high current beam. However, it has been difficult to obtain a longer beam pulse while keeping a high current. On occasion, longer beam pulses are required by certain applications. For example, more than 10 μs of beam pulse is required for injecting highly charged beams to a large sized synchrotron. To extend beam pulse width, a solenoid field was applied at the drift space of the LIS at Brookhaven National Laboratory. The solenoid field suppressed the diverging angle of the expanding plasma and the beam pulse was widened. Also, it was observed that the plasma state was conserved after passing through a few hundred gauss of the 480 mm length solenoid field.

  9. Magnetic plasma confinement for laser ion source.

    Science.gov (United States)

    Okamura, M; Adeyemi, A; Kanesue, T; Tamura, J; Kondo, K; Dabrowski, R

    2010-02-01

    A laser ion source (LIS) can easily provide a high current beam. However, it has been difficult to obtain a longer beam pulse while keeping a high current. On occasion, longer beam pulses are required by certain applications. For example, more than 10 micros of beam pulse is required for injecting highly charged beams to a large sized synchrotron. To extend beam pulse width, a solenoid field was applied at the drift space of the LIS at Brookhaven National Laboratory. The solenoid field suppressed the diverging angle of the expanding plasma and the beam pulse was widened. Also, it was observed that the plasma state was conserved after passing through a few hundred gauss of the 480 mm length solenoid field.

  10. Flowing dusty plasma experiments: generation of flow and measurement techniques

    Science.gov (United States)

    Jaiswal, S.; Bandyopadhyay, P.; Sen, A.

    2016-12-01

    A variety of experimental techniques for the generation of subsonic/supersonic dust fluid flows and means of measuring such flow velocities are presented. The experiments have been carried out in a \\Pi -shaped dusty plasma experimental device with micron size kaolin/melamine formaldehyde particles embedded in a background of argon plasma created by a direct current glow discharge. A stationary dust cloud is formed over the cathode region by precisely balancing the pumping speed and gas flow rate. A flow of dust particles/fluid is generated by additional gas injection from a single or dual locations or by altering the dust confining potential. The flow velocity is then estimated by three different techniques, namely, by super particle identification code, particle image velocimetry analysis and the excitation of dust acoustic waves. The results obtained from these three different techniques along with their merits and demerits are discussed. An estimation of the neutral drag force responsible for the generation as well as the attenuation of the dust fluid flow is made. These techniques can be usefully employed in laboratory devices to investigate linear and non-linear collective excitations in a flowing dusty plasma.

  11. High current plasma electron emitter

    International Nuclear Information System (INIS)

    Fiksel, G.; Almagri, A.F.; Craig, D.

    1995-07-01

    A high current plasma electron emitter based on a miniature plasma source has been developed. The emitting plasma is created by a pulsed high current gas discharge. The electron emission current is 1 kA at 300 V at the pulse duration of 10 ms. The prototype injector described in this paper will be used for a 20 kA electrostatic current injection experiment in the Madison Symmetric Torus (MST) reversed-field pinch. The source will be replicated in order to attain this total current requirement. The source has a simple design and has proven very reliable in operation. A high emission current, small size (3.7 cm in diameter), and low impurity generation make the source suitable for a variety of fusion and technological applications

  12. Development of TPF-1 plasma focus for education

    Science.gov (United States)

    Picha, R.; Promping, J.; Channuie, J.; Poolyarat, N.; Sangaroon, S.; Traikool, T.

    2017-09-01

    The plasma focus is a device that uses high voltage and electromagnetic force to induce plasma generation and acceleration, in order to cause nuclear reactions. Radiation of various types (X-ray, gamma ray, electrons, ions, neutrons) can be generated using this method during the pinch phase, thus making the plasma focus able to serve as a radiation source. Material testing, modification, and identification are among the current applications of the plasma focus. Other than being an alternative option to isotopic sources, the plasma focus, which requires multidisciplinary team of personnel to design, operate, and troubleshoot, can also serve as an excellent learning device for physics and engineering students in the fields including, but not limited to, plasma physics, nuclear physics, electronics engineering, and mechanical engineering. This work describes the parameters and current status of Thai Plasma Focus 1 (TPF-1) and the characteristics of the plasma being produced in the machine using a Rogowski coil.

  13. Role of Plasma Temperature and Residence Time in Stagnation Plasma Synthesis of c-BN Nanopowders

    Science.gov (United States)

    2013-01-01

    7 A plasma ion source creates the ions, which are then separated by magnetic mass separation to guide the separate beams into a deposition...generator is the soul contributor to sustaining the plasma. Figure 3.3 Plasma synthesis setup. Solid powder-form precursors are sublimated and...operation frequency gives the proper magnetic field skin depth to match the overall plasma torch diameter. The magnetic field skin depth is inversely

  14. Generation and Role of Reactive Oxygen and Nitrogen Species Induced by Plasma, Lasers, Chemical Agents, and Other Systems in Dentistry

    Science.gov (United States)

    Jha, Nayansi; Ryu, Jae Jun

    2017-01-01

    The generation of reactive oxygen and nitrogen species (RONS) has been found to occur during inflammatory procedures, during cell ischemia, and in various crucial developmental processes such as cell differentiation and along cell signaling pathways. The most common sources of intracellular RONS are the mitochondrial electron transport system, NADH oxidase, and cytochrome P450. In this review, we analyzed the extracellular and intracellular sources of reactive species, their cell signaling pathways, the mechanisms of action, and their positive and negative effects in the dental field. In dentistry, ROS can be found—in lasers, photosensitizers, bleaching agents, cold plasma, and even resin cements, all of which contribute to the generation and prevalence of ROS. Nonthermal plasma has been used as a source of ROS for biomedical applications and has the potential for use with dental stem cells as well. There are different types of dental stem cells, but their therapeutic use remains largely untapped, with the focus currently on only periodontal ligament stem cells. More research is necessary in this area, including studies about ROS mechanisms with dental cells, along with the utilization of reactive species in redox medicine. Such studies will help to provide successful treatment modalities for various diseases. PMID:29204250

  15. Beam plasma 14 MeV neutron source for fusion materials development

    International Nuclear Information System (INIS)

    Ravenscroft, D.; Bulmer, D.; Coensgen, F.; Doggett, J.; Molvik, A.; Souza, P.; Summers, L.; Williamson, V.

    1991-09-01

    The conceptual engineering design and expected performance for a 14 MeV DT neutron source is detailed. The source would provide an intense neutron flux for accelerated testing of fusion reactor materials. The 150-keV neutral beams inject energetic deuterium atoms, that ionize, are trapped, then react with a warm (200 eV), dense tritium target plasma. This produces a neutron source strength of 3.6 x 10 17 n/sec for a neutron power density at the plasma edge of 5--10 MW/m 2 . This is several times the ∼2 MW/m 2 anticipated at the first wall of fusion reactors. This high flux provides accelerated end-of-life tests of 1- to 2-year duration, thus making materials development possible. The modular design of the source and the facilities are described

  16. Technical and experimental investigations of a plasma focus neutron source

    International Nuclear Information System (INIS)

    Rapp, H.K.

    The results obtained from two plasma-focus devices of different size allow to report on the technical and physical properties of such neutron flash sources. The results of some diagnostic methods used for the control of the gas discharge and for the measurement of the neutron production are included. The planning of plasma focus devices is illustrated with the aid of snow-plow calculations

  17. High-order harmonic generation in a laser plasma: a review of recent achievements

    International Nuclear Information System (INIS)

    Ganeev, R A

    2007-01-01

    A review of studies of high-order harmonic generation in plasma plumes is presented. The generation of high-order harmonics (up to the 101st order, λ = 7.9 nm) of Ti:sapphire laser radiation during the propagation of short laser pulses through a low-excited, low-ionized plasma produced on the surfaces of different targets is analysed. The observation of considerable resonance-induced enhancement of a single harmonic (λ = 61.2 nm) at the plateau region with 10 -4 conversion efficiency in the case of an In plume can offer some expectations that analogous processes can be realized in other plasma samples in the shorter wavelength range. Recent achievements of single-harmonic enhancement at mid- and end-plateau regions are discussed. Various methods for the optimization of harmonic generation are analysed, such as the application of the second harmonic of driving radiation and the application of prepulses of different durations. The enhancement of harmonic generation efficiency during the propagation of femtosecond pulses through a nanoparticle-containing plasma is discussed. (topical review)

  18. Preliminary Calculation for Plasma Chamber Design of Pulsed Electron Source Based on Plasma

    International Nuclear Information System (INIS)

    Widdi Usada

    2009-01-01

    This paper described the characteristics of pulsed electron sources with anode-cathode distance of 5 cm, electrode diameter of 10 cm, driven by capacitor energy of 25 J. The preliminary results showed that if the system is operated with diode resistance is 1.6 Ω, plasma resistance is 0.14 Ω, and β is 0.94, the achieved of plasma voltage is 640 V, its current is 4.395 kA with its pulse width of 0.8 μsecond. According to breakdown voltage based on Paschen empirical formula, with this achieved voltage, this system could be operated for operation pressure of 1 torr. (author)

  19. Neutralization of an ion beam from the end-Hall ion source by a plasma electron source based on a discharge in crossed E × H fields

    Science.gov (United States)

    Dostanko, A. P.; Golosov, D. A.

    2009-10-01

    The possibility of using a plasma electron source (PES) with a discharge in crossed E × H field for compensating the ion beam from an end-Hall ion source (EHIS) is analyzed. The PES used as a neutralizer is mounted in the immediate vicinity of the EHIS ion generation and acceleration region at 90° to the source axis. The behavior of the discharge and emission parameters of the EHIS is determined for operation with a filament neutralizer and a plasma electron source. It is found that the maximal discharge current from the ion source attains a value of 3.8 A for operation with a PES and 4 A for operation with a filament compensator. It is established that the maximal discharge current for the ion source strongly depends on the working gas flow rate for low flow rates (up to 10 ml/min) in the EHIS; for higher flow rates, the maximum discharge current in the EHIS depends only on the emissivity of the PES. Analysis of the emission parameters of EHISs with filament and plasma neutralizers shows that the ion beam current and the ion current density distribution profile are independent of the type of the electron source and the ion current density can be as high as 0.2 mA/cm2 at a distance of 25 cm from the EHIS anode. The balance of currents in the ion source-electron source system is considered on the basis of analysis of operation of EHISs with various sources of electrons. It is concluded that the neutralization current required for operation of an ion source in the discharge compensation mode must be equal to or larger than the discharge current of the ion source. The use of PES for compensating the ion beam from an end-Hall ion source proved to be effective in processes of ion-assisted deposition of thin films using reactive gases like O2 or N2. The application of the PES technique makes it possible to increase the lifetime of the ion-assisted deposition system by an order of magnitude (the lifetime with a Ti cathode is at least 60 h and is limited by the

  20. Arc Voltage Fluctuation in DC Laminar and Turbulent Plasma Jets Generation

    International Nuclear Information System (INIS)

    Pan Wenxia; Meng Xian; Wu Chengkang

    2006-01-01

    Arc voltage fluctuations in a direct current (DC) non-transferred arc plasma generator are experimentally studied, in generating a jet in the laminar, transitional and turbulent regimes. The study is with a view toward elucidating the mechanism of the fluctuations and their relationship with the generating parameters, arc root movement and flow regimes. Results indicate that the existence of a 300 Hz alternating current (AC) component in the power supply ripples does not cause the transition of the laminar plasma jet into a turbulent state. There exists a high frequency fluctuation at 4 kHz in the turbulent jet regime. It may be related to the rapid movement of the anode attachment point of the arc

  1. High-intensity sources for light ions

    International Nuclear Information System (INIS)

    Leung, K.N.

    1995-10-01

    The use of the multicusp plasma generator as a source of light ions is described. By employing radio-frequency induction discharge, the performance of the multicusp source is greatly improved, both in lifetime and in high brightness H + and H - beam production. A new technique for generating multiply-charged ions in this type of ion source is also presented

  2. Negative ion sources

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Takagi, Toshinori

    1983-01-01

    Negative ion sources have been originally developed at the request of tandem electrostatic accelerators, and hundreds of nA to several μA negative ion current has been obtained so far for various elements. Recently, the development of large current hydrogen negative ion sources has been demanded from the standpoint of the heating by neutral particle beam injection in nuclear fusion reactors. On the other hand, the physical properties of negative ions are interesting in the thin film formation using ions. Anyway, it is the present status that the mechanism of negative ion action has not been so fully investigated as positive ions because the history of negative ion sources is short. In this report, the many mechanisms about the generation of negative ions proposed so far are described about negative ion generating mechanism, negative ion source plasma, and negative ion generation on metal surfaces. As a result, negative ion sources are roughly divided into two schemes, plasma extraction and secondary ion extraction, and the former is further classified into the PIG ion source and its variation and Duoplasmatron and its variation; while the latter into reflecting and sputtering types. In the second half of the report, the practical negative ion sources of each scheme are described. If the mechanism of negative ion generation will be investigated more in detail and the development will be continued under the unified know-how as negative ion sources in future, the development of negative ion sources with which large current can be obtained for any element is expected. (Wakatsuki, Y.)

  3. 6-7 Mev Characteristic Gamma-Ray Source Using A Plasma Opening Switch And A Marx Bank

    Science.gov (United States)

    2011-06-01

    of Hawk, including the POS, is shown in Fig. 2a. The POS consists of 12 plasma guns made from coaxial cables that inject ionized plasma radially...inward between two coaxial conductors prior to firing the generator. The POS plasma conducts the generator current as a short circuit for about 700...vacuum gap in the plasma . High-energy electron- and ion-beams form in the plasma -filled coaxial region, with ions from the plasma and the polyethylene

  4. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  5. High resolution X-ray spectroscopy of laser generated plasmas

    International Nuclear Information System (INIS)

    Faenov, A.Ya.; Skobelev, I.Yu.; Rosmej, F.B.

    1999-01-01

    The application of recently developed spectroscopic instruments in laser produced plasmas with simultaneous high spectral and spatial resolution combined with high luminosity discovered new types of X-ray spectra. These new types are characterised by the disappearance of the resonance lines and the strong emission of dielectronic satellite spectra. Several types of transitions of highly charged ions are discovered which are unknown from usual sources employed in atomic physics. New theoretical models are developed and successfully applied for the interpretation and for plasma diagnostics. (orig.)

  6. High resolution X-ray spectroscopy of laser generated plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Faenov, A.Ya.; Skobelev, I.Yu. [Multicharged Ions Spectra Data Center of VNIIFTRI, Mendeleevo (Russian Federation); Rosmej, F.B. [Technische Hochschule Darmstadt (Germany). Inst. fuer Kernphysik

    1999-11-01

    The application of recently developed spectroscopic instruments in laser produced plasmas with simultaneous high spectral and spatial resolution combined with high luminosity discovered new types of X-ray spectra. These new types are characterised by the disappearance of the resonance lines and the strong emission of dielectronic satellite spectra. Several types of transitions of highly charged ions are discovered which are unknown from usual sources employed in atomic physics. New theoretical models are developed and successfully applied for the interpretation and for plasma diagnostics. (orig.) 28 refs.

  7. Electron acceleration and generation of high-brilliance x-ray radiation in kilojoule, subpicosecond laser-plasma interactions

    Directory of Open Access Journals (Sweden)

    J. Ferri

    2016-10-01

    Full Text Available Petawatt, picosecond laser pulses offer rich opportunities in generating synchrotron x-rays. This paper concentrates on the regimes accessible with the PETAL laser, which is a part of the Laser Megajoule (LMJ facility. We explore two physically distinct scenarios through Particle-in-Cell simulations. The first one realizes in a dense plasma, such that the period of electron Langmuir oscillations is much shorter than the pulse duration. Hallmarks of this regime are longitudinal breakup (“self-modulation” of the picosecond-scale laser pulse and excitation of a rapidly evolving broken plasma wake. It is found that electron beams with a charge of several tens of nC can be obtained, with a quasi-Maxwellian energy distribution extending to a few-GeV level. In the second scenario, at lower plasma densities, the pulse is shorter than the electron plasma period. The pulse blows out plasma electrons, creating a single accelerating cavity, while injection on the density downramp creates a nC quasi-monoenergetic electron bunch within the cavity. This bunch accelerates without degradation beyond 1 GeV. The x-ray sources in the self-modulated regime offer a high number of photons (∼10^{12} with the slowly decaying energy spectra extending beyond 60 keV. In turn, quasimonoenergetic character of the electron beam in the blowout regime results in the synchrotron-like spectra with the critical energy around 10 MeV and a number of photons >10^{9}. Yet, much smaller source duration and transverse size increase the x-ray brilliance by more than an order of magnitude against the self-modulated case, also favoring high spatial and temporal resolution in x-ray imaging. In all explored cases, accelerated electrons emit synchrotron x-rays of high brilliance, B>10^{20}  photons/s/mm^{2}/mrad^{2}/0.1%BW. Synchrotron sources driven by picosecond kilojoule lasers may thus find an application in x-ray diagnostics on such facilities such as the LMJ or National

  8. Plasma and Ion Sources in Large Area Coatings: A Review

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2005-02-28

    Efficient deposition of high-quality coatings often requires controlled application of excited or ionized particles. These particles are either condensing (film-forming) or assisting by providing energy and momentum to the film growth process, resulting in densification, sputtering/etching, modification of stress, roughness, texture, etc. In this review, the technical means are surveyed enabling large area application of ions and plasmas, with ion energies ranging from a few eV to a few keV. Both semiconductortype large area (single wafer or batch processing with {approx} 1000 cm{sup 2}) and in-line web and glass-coating-type large area (> 10{sup 7} m{sup 2} annually) are considered. Characteristics and differences between plasma and ion sources are explained. The latter include gridded and gridless sources. Many examples are given, including sources based on DC, RF, and microwave discharges, some with special geometries like hollow cathodes and E x B configurations.

  9. System and method for generating steady state confining current for a toroidal plasma fusion reactor

    International Nuclear Information System (INIS)

    Bers, A.

    1981-01-01

    A system for generating steady state confining current for a toroidal plasma fusion reactor providing steady-state generation of the thermonuclear power. A dense, hot toroidal plasma is initially prepared with a confining magnetic field with toroidal and poloidal components. Continuous wave rf energy is injected into said plasma to estalish a spectrum of traveling waves in the plasma, where the traveling waves have momentum components substantially either all parallel, or all anti-parallel to the confining magnetic field. The injected rf energy is phased to couple to said traveling waves with both a phase velocity component and a wave momentum component in the direction of the plasma traveling wave components. The injected rf energy has a predetermined spectrum selected so that said traveling waves couple to plasma electrons having velocities in a predetermined range delta . The velocities in the range are substantially greater than the thermal electron velocity of the plasma. In addition, the range is sufficiently broad to produce a raised plateau having width delta in the plasma electron velocity distribution so that the plateau electrons provide steady-state current to generate a poloidal magnetic field component sufficient for confining the plasma. In steady state operation of the fusion reactor, the fusion power density in the plasma exceeds the power dissipated inthe plasma

  10. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  11. Quasi-steady state, low current behaviour of a magnetized coaxial plasma source

    International Nuclear Information System (INIS)

    Gray, Travis K; Mayo, Robert M; Bourham, Mohamed A

    2005-01-01

    The Coaxial Plasma Source-1 facility (Mayo R M et al 1995 Plasma Sources Sci. Technol. 4 47) was modified from a short pulse, high current (SPHC) pulse forming network (PFN) with very low inductance (∼200 nH) to a large inductance ladder circuit. This modification allows for a longer, flat top gun current pulse that eliminates the under-damped, sinusoidal behaviour of the gun current with consequent interruptions in plasma parameters. The new PFN was designed to produce a current waveform for a much longer period (∼1 ms). As a consequence of increasing the pulse length, the magnitude of the gun current was reduced as no additional energy storage was added to the PFN. The characterization of the electrical and plasma behaviour of the experiment operated with the long pulse, low current (LPLC) PFN is presented. The gun currents produced by the LPLC PFN are approximately one-fifth in magnitude of the gun currents produced by the SPHC PFN. Axial plasma parameters were measured near the muzzle of the plasma source, and electron densities were found to range from 1 x 10 19 m -3 to 7 x 10 19 m -3 depending upon the axial location. These values are approximately 1-2 orders of magnitude less than the electron densities produced by the SPHC PFN at the same locations. Electron temperatures range from 30 to 60 eV at these locations and are very similar to those produced by the SPHC PFN. A resistive MHD model was applied as an order estimate of the plasma resistivity and demonstrates reasonable agreement with measured values of the magnetized coaxial gun resistance

  12. Quasi-steady state, low current behaviour of a magnetized coaxial plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Gray, Travis K; Mayo, Robert M; Bourham, Mohamed A [Department of Nuclear Engineering, North Carolina State University, Raleigh, NC 27695-7909 (United States)

    2005-11-15

    The Coaxial Plasma Source-1 facility (Mayo R M et al 1995 Plasma Sources Sci. Technol. 4 47) was modified from a short pulse, high current (SPHC) pulse forming network (PFN) with very low inductance ({approx}200 nH) to a large inductance ladder circuit. This modification allows for a longer, flat top gun current pulse that eliminates the under-damped, sinusoidal behaviour of the gun current with consequent interruptions in plasma parameters. The new PFN was designed to produce a current waveform for a much longer period ({approx}1 ms). As a consequence of increasing the pulse length, the magnitude of the gun current was reduced as no additional energy storage was added to the PFN. The characterization of the electrical and plasma behaviour of the experiment operated with the long pulse, low current (LPLC) PFN is presented. The gun currents produced by the LPLC PFN are approximately one-fifth in magnitude of the gun currents produced by the SPHC PFN. Axial plasma parameters were measured near the muzzle of the plasma source, and electron densities were found to range from 1 x 10{sup 19} m{sup -3} to 7 x 10{sup 19} m{sup -3} depending upon the axial location. These values are approximately 1-2 orders of magnitude less than the electron densities produced by the SPHC PFN at the same locations. Electron temperatures range from 30 to 60 eV at these locations and are very similar to those produced by the SPHC PFN. A resistive MHD model was applied as an order estimate of the plasma resistivity and demonstrates reasonable agreement with measured values of the magnetized coaxial gun resistance.

  13. Water-stabilized plasma generators

    Czech Academy of Sciences Publication Activity Database

    Hrabovský, Milan

    1998-01-01

    Roč. 70, č. 6 (1998), s. 1157-1162 ISSN 0033-4545 R&D Projects: GA ČR GA102/95/0592; GA ČR GV106/96/K245 Institutional research plan: CEZ:AV0Z2043910 Keywords : thermal plasma, plasma torch, water-stabilized plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.677, year: 1998

  14. Helium generated cold plasma finely regulates activation of human fibroblast-like primary cells.

    Directory of Open Access Journals (Sweden)

    Paola Brun

    Full Text Available Non-thermal atmospheric pressure plasmas are being developed for a wide range of health care applications, including wound healing. However in order to exploit the potential of plasma for clinical applications, the understanding of the mechanisms involved in plasma-induced activation of fibroblasts, the cells active in the healing process, is mandatory. In this study, the role of helium generated plasma in the tissue repairing process was investigated in cultured human fibroblast-like primary cells, and specifically in hepatic stellate cells and intestinal subepithelial myofibroblasts. Five minutes after treatment, plasma induced formation of reactive oxygen species (ROS in cultured cells, as assessed by flow cytometric analysis of fluorescence-activated 2',7'-dichlorofluorescein diacetate probe. Plasma-induced intracellular ROS were characterized by lower concentrations and shorter half-lives with respect to hydrogen peroxide-induced ROS. Moreover ROS generated by plasma treatment increased the expression of peroxisome proliferator activated receptor (PPAR-γ, nuclear receptor that modulates the inflammatory responses. Plasma exposure promoted wound healing in an in vitro model and induced fibroblast migration and proliferation, as demonstrated, respectively, by trans-well assay and partitioning between daughter cells of carboxyfluorescein diacetate succinimidyl ester fluorescent dye. Plasma-induced fibroblast migration and proliferation were found to be ROS-dependent as cellular incubation with antioxidant agents (e.g. N-acetyl L-cysteine cancelled the biological effects. This study provides evidence that helium generated plasma promotes proliferation and migration in liver and intestinal fibroblast-like primary cells mainly by increasing intracellular ROS levels. Since plasma-evoked ROS are time-restricted and elicit the PPAR-γ anti-inflammatory molecular pathway, this strategy ensures precise regulation of human fibroblast activation and

  15. Nuclear Malaysia Plasma Focus Device as a X-ray Source For Radiography Applications

    International Nuclear Information System (INIS)

    Rokiah Mohd Sabri; Abdul Halim Baijan; Siti Aiasah Hashim; Mohd Rizal Mohd Chulan; Wah, L.K.; Mukhlis Mokhtar; Azaman Ahmad; Rosli Che Ros

    2013-01-01

    A 3.375 kJ plasma focus is designed to operate at 13.5 kV for the purpose of studying x-ray source for radiography in Argon discharge. X-rays is detected by using x-ray film from the mammography radiographic plate. The feasibility of the plasma focus as a high intensity flash x-ray source for good contrast in radiography image is presented. (author)

  16. 46 CFR 111.10-4 - Power requirements, generating sources.

    Science.gov (United States)

    2010-10-01

    ... ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Power Supply § 111.10-4 Power requirements, generating sources. (a) The aggregate capacity of the electric ship's service generating sources required in § 111.10-3 must... or sources must be sufficient to supply those services necessary to provide normal operational...

  17. Modulation of terahertz generation in dual-color filaments by an external electric field and preformed plasma

    International Nuclear Information System (INIS)

    Li Min; Li An-Yuan; Yuan Shuai; Zeng He-Ping; He Bo-Qu

    2016-01-01

    Terahertz generation driven by dual-color filaments in air is demonstrated to be remarkably enhanced by applying an external electric field to the filaments. As terahertz generation is sensitive to the dual-color phase difference, a preformed plasma is verified efficiently in modulating terahertz radiation from linear to elliptical polarization. In the presence of preformed plasma, a dual-color filament generates terahertz pulses of elliptical polarization and the corresponding ellipse rotates regularly with the change of the preformed plasma density. The observed terahertz modulation with the external electric field and the preformed plasma provides a simple way to estimate the plasma density and evaluate the photocurrent dynamics of the dual-color filaments. It provides further experimental evidence of the photo-current model in governing the dual-color filament driven terahertz generation processes. (paper)

  18. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  19. Coaxial Mono-Energetic Gamma Generator for Active Interrogation

    International Nuclear Information System (INIS)

    Ludewigt, Bernhard A.; Antolak, A.J.; Henestroza, E.; Leitner, M.; Leung, K.-N.; Waldron, W.; Wilde, S.; Kwan, J.W.

    2008-01-01

    Compact mono-energetic photon sources are sought for active interrogation systems to detect shielded special nuclear materials in, for example, cargo containers, trucks and other vehicles. A prototype gamma interrogation source has been designed and built that utilizes the 11B(p,gamma)12C reaction to produce 12 MeV gamma-rays which are near the peak of the photofission cross section. In particular, the 11B(p,gamma)12C resonance at 163 kV allows the production of gammas at low proton acceleration voltages, thus keeping the design of a gamma generator comparatively small and simple. A coaxial design has been adopted with a toroidal-shaped plasma chamber surrounding a cylindrical gamma production target. The plasma discharge is driven by a 2 MHz rf-power supply (capable up to 50 kW) using a circular rf-antenna. Permanent magnets embedded in the walls of the plasma chamber generate a multi-cusp field that confines the plasma and allows higher plasma densities and lower gas pressures. About 100 proton beamlets are extracted through a slotted plasma electrode towards the target at the center of the device that is at a negative 180 kV. The target consists of LaB6 tiles that are brazed to a water-cooled cylindrical structure. The generator is designed to operate at 500 Hz with 20 mu s long pulses, and a 1percent duty factor by pulsing the ion source rf-power. A first-generation coaxial gamma source has been built for low duty factor experiments and testing.

  20. Investigation of rf plasma light sources for dye laser excitation

    International Nuclear Information System (INIS)

    Kendall, J.S.; Jaminet, J.F.

    1975-06-01

    Analytical and experimental studies were performed to assess the applicability of radio frequency (rf) induction heated plasma light sources for potential excitation of continuous dye lasers. Experimental efforts were directed toward development of a continuous light source having spectral flux and emission characteristics approaching that required for pumping organic dye lasers. Analytical studies were performed to investigate (1) methods of pulsing the light source to obtain higher radiant intensity and (2) methods of integrating the source with a reflective cavity for pumping a dye cell. (TFD)

  1. Elements of plasma technology

    CERN Document Server

    Wong, Chiow San

    2016-01-01

    This book presents some fundamental aspects of plasma technology that are important for beginners interested to start research in the area of plasma technology . These include the properties of plasma, methods of plasma generation and basic plasma diagnostic techniques. It also discusses several low cost plasma devices, including pulsed plasma sources such as plasma focus, pulsed capillary discharge, vacuum spark and exploding wire; as well as low temperature plasmas such as glow discharge and dielectric barrier discharge which the authors believe may have potential applications in industry. The treatments are experimental rather than theoretical, although some theoretical background is provided where appropriate. The principles of operation of these devices are also reviewed and discussed.

  2. Optical emission spectra of a copper plasma produced by a metal vapour vacuum arc plasma source

    International Nuclear Information System (INIS)

    Yotsombat, B.; Poolcharuansin, P.; Vilaithong, T.; Davydov, S.; Brown, I.G.

    2001-01-01

    Optical emission spectroscopy in the range 200-800 nm was applied for investigation of the copper plasma produced by a metal vapour vacuum arc plasma source. The experiments were conducted for the cases when the plasma was guided by straight and Ω-shaped curved solenoids as well as without solenoids, and also for different vacuum conditions. It was found that, besides singly- and doubly-charged ions, a relatively high concentration of excited neutral copper atoms was present in the plasma. The relative fraction of excited atoms was much higher in the region close to the cathode surface than in the plasma column inside the solenoid. The concentration of excited neutral, singly- and doubly-ionized atoms increased proportionally when the arc current was increased to 400 A. Some weak lines were attributed to more highly ionized copper species and impurities in the cathode material. (author)

  3. Toward a fourth-generation light source

    International Nuclear Information System (INIS)

    Moncton, D. E.

    1999-01-01

    Historically, x-ray research has been propelled by the existence of urgent and compelling scientific questions and the push of powerful and exquisite source technology. These two factors have gone hand in hand since Rontgen discovered x-rays. Here we review the progress being made with existing third-generation synchrotron-radiation light sources and the prospects for a fourth-generation light source with dramatically improved laser-like beam characteristics. The central technology for high-brilliance x-ray beams is the x-ray undulator, a series of alternating-pole magnets situated above and below the particle beam. When the particle beam is oscillated by the alternating magnetic fields, a set of. interacting and interfering wave fronts is produced, which leads to an x-ray beam with extraordinary properties. Third-generation sources of light in the hard x-ray range have been constructed at three principal facilities: the European Synchrotrons Radiation Facility (ESRF) in France; the Super Photon Ring 8-GeV (or Spring-8) in Japan; and the Advanced Photon Source (APS) in the US. Undulator technology is also used on a number of low-energy machines for radiation in the ultraviolet and soft x-ray regimes. At the APS, these devices exceed all of our original expectations for beam brilliance, tunability, spectral range, and operational flexibility. Shown in Fig. 1 are the tuning curves of the first few harmonics, showing x-ray production from a few kV to better than 40 keV. High-brilliance radiation extends to over 100 keV

  4. Multi-probe ionization chamber system for nuclear-generated plasma diagnostics

    International Nuclear Information System (INIS)

    Choi, W.Y.; Ellis, W.H.

    1990-01-01

    This paper reports on the pulsed ionization chamber (PIC) plasma diagnostic system used in studies of nuclear seeded plasma kinetics upgraded to increase the capabilities and extend the range of plasma parameter measurements to higher densities and temperatures. The PIC plasma diagnostic chamber has been provided with additional measurement features in the form of conductivity and Langmuir probes, while the overall experimental system has been fully automated, with computerized control, measurement, data acquisition and analysis by means of IEEE-488 (GPIB) bus control and data transfer protocols using a Macintosh series microcomputer. The design and use of a simple TTL switching system enables remote switching among the various GPIB instruments comprising the multi-probe plasma diagnostic system using software, without the need for a microprocessor. The new system will be used to extend the present study of nuclear generated plasma in He, Ar, Xe, fissionable UF 6 and other fluorine containing gases

  5. Generation of radiation by intense plasma and electromagnetic undulators

    Energy Technology Data Exchange (ETDEWEB)

    Joshi, C.

    1991-10-01

    We examine the characteristics of the classical radiation emission resulting from the interaction of a relativistic electron beam that propagates perpendicularly through a large amplitude relativistic plasma wave. Such a study is useful for evaluating the feasibility of using relativistic plasma waves as extremely short wavelength undulators for generating short wavelength radiation. The electron trajectories in a plasma wave undulator and in an ac FEL undulator are obtained using perturbation techniques. The spontaneous radiation frequency spectrum and angular distribution emitted by a single electron oscillating in these two undulators are then calculated. The radiation gain of a copropagating electromagnetic wave is calculated. The approximate analytic results for the trajectories, spontaneous radiation and gain are compared with 3-D simulation results. The characteristics of the plasma wave undulator are compared with the ac FEL undulator and linearly polarized magnetic undulator. 50 refs., 26 figs., 3 tabs.

  6. Generation of radiation by intense plasma and electromagnetic undulators

    International Nuclear Information System (INIS)

    Joshi, C.

    1991-10-01

    We examine the characteristics of the classical radiation emission resulting from the interaction of a relativistic electron beam that propagates perpendicularly through a large amplitude relativistic plasma wave. Such a study is useful for evaluating the feasibility of using relativistic plasma waves as extremely short wavelength undulators for generating short wavelength radiation. The electron trajectories in a plasma wave undulator and in an ac FEL undulator are obtained using perturbation techniques. The spontaneous radiation frequency spectrum and angular distribution emitted by a single electron oscillating in these two undulators are then calculated. The radiation gain of a copropagating electromagnetic wave is calculated. The approximate analytic results for the trajectories, spontaneous radiation and gain are compared with 3-D simulation results. The characteristics of the plasma wave undulator are compared with the ac FEL undulator and linearly polarized magnetic undulator. 50 refs., 26 figs., 3 tabs

  7. Edge Plasma Boundary Layer Generated By Kink Modes in Tokamaks

    International Nuclear Information System (INIS)

    Zakharov, L.E.

    2010-01-01

    This paper describes the structure of the electric current generated by external kink modes at the plasma edge using the ideally conducting plasma model. It is found that the edge current layer is created by both wall touching and free boundary kink modes. Near marginal stability, the total edge current has a universal expression as a result of partial compensation of the (delta)-functional surface current by the bulk current at the edge. The resolution of an apparent paradox with the pressure balance across the plasma boundary in the presence of the surface currents is provided.

  8. Plasmas generated by ultra-violet light rather than electron impact

    Energy Technology Data Exchange (ETDEWEB)

    Franklin, R. N. [Department of Astronomy and Physics, The Open University, Milton Keynes MK7 6AA (United Kingdom); Allen, J. E. [University College, University of Oxford, Oxford OX1 4BH, United Kingdom and OCIAM, Mathematical Institute, University of Oxford, Oxford OX2 6GG (United Kingdom); Blackett Laboratory, Imperial College London, Prince Consort Road, London SW7 2BW (United Kingdom); Thomas, D. M. [Blackett Laboratory, Imperial College London, Prince Consort Road, London SW7 2BW (United Kingdom); Benilov, M. S. [Departamento de Fisica, CCCEE, Universidade de Madeira, Largo do Municipio, 9000 Funchal (Portugal)

    2013-12-15

    We analyze, in both plane and cylindrical geometries, a collisionless plasma consisting of an inner region where generation occurs by UV illumination, and an un-illuminated outer region with no generation. Ions generated in the inner region flow outwards through the outer region and into a wall. We solve for this system's steady state, first in the quasi-neutral regime (where the Debye length λ{sub D} vanishes and analytic solutions exist) and then in the general case, which we solve numerically. In the general case, a double layer forms where the illuminated and un-illuminated regions meet, and an approximately quasi-neutral plasma connects the double layer to the wall sheath; in plane geometry, the ions coast through the quasi-neutral section at slightly more than the Bohm speed c{sub s}. The system, although simple, therefore has two novel features: a double layer that does not require counter-streaming ions and electrons, and a quasi-neutral plasma where ions travel in straight lines with at least the Bohm speed. We close with a précis of our asymptotic solutions of this system, and suggest how our theoretical conclusions might be extended and tested in the laboratory.

  9. Characteristics of an Electron Cyclotron Resonance Plasma Source for the Production of Active Nitrogen Species in III-V Nitride Epitaxy

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A simple analysis is provided to determine the characteristics of an electron cyclotron resonance (ECR) plasma source for the generation of active nitrogen species in the molecular beam epitaxy of III-V nitrides. The effects of reactor geometry, pressure, power, and flow rate on the dissociation efficiency and ion flux are presented. Pulsing the input power is proposed to reduce the ion flux.

  10. Some high-current ion sources for materials modification

    International Nuclear Information System (INIS)

    Taylor, T.

    1989-01-01

    Ion sources for materials modification have evolved through three distinct generations. The first generation was adopted from research accelerators. These cold-cathode plasma-discharge devices generate beam currents of less than 100 μA. The hot-cathode plasma-discharge ion sources, originally developed for isotope separation, comprise the second generation. They produce between 100 μA and 10 mA of beam current. The third generation ion sources give beam currents in excess of 10 mA. This technology, transferred from industrial accelerators, has already made SIMOX (Separation by IMplanted OXygen) into a commercially viable semiconductor process and promises to do the same for ion implantation of metals and insulators. The author focuses on the third generation technology that will play a key role in the future of ion implantation. 10 refs.; 5 figs.; 2 tabs

  11. Material impacts and heat flux characterization of an electrothermal plasma source with an applied magnetic field

    Science.gov (United States)

    Gebhart, T. E.; Martinez-Rodriguez, R. A.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2017-08-01

    To produce a realistic tokamak-like plasma environment in linear plasma device, a transient source is needed to deliver heat and particle fluxes similar to those seen in an edge localized mode (ELM). ELMs in future large tokamaks will deliver heat fluxes of ˜1 GW/m2 to the divertor plasma facing components at a few Hz. An electrothermal plasma source can deliver heat fluxes of this magnitude. These sources operate in an ablative arc regime which is driven by a DC capacitive discharge. An electrothermal source was configured with two pulse lengths and tested under a solenoidal magnetic field to determine the resulting impact on liner ablation, plasma parameters, and delivered heat flux. The arc travels through and ablates a boron nitride liner and strikes a tungsten plate. The tungsten target plate is analyzed for surface damage using a scanning electron microscope.

  12. D-D neutron generator development at LBNL.

    Science.gov (United States)

    Reijonen, J; Gicquel, F; Hahto, S K; King, M; Lou, T-P; Leung, K-N

    2005-01-01

    The plasma and ion source technology group in Lawrence Berkeley National Laboratory is developing advanced, next generation D-D neutron generators. There are three distinctive developments, which are discussed in this presentation, namely, multi-stage, accelerator-based axial neutron generator, high-output co-axial neutron generator and point source neutron generator. These generators employ RF-induction discharge to produce deuterium ions. The distinctive feature of RF-discharge is its capability to generate high atomic hydrogen species, high current densities and stable and long-life operation. The axial neutron generator is designed for applications that require fast pulsing together with medium to high D-D neutron output. The co-axial neutron generator is aimed for high neutron output with cw or pulsed operation, using either the D-D or D-T fusion reaction. The point source neutron generator is a new concept, utilizing a toroidal-shaped plasma generator. The beam is extracted from multiple apertures and focus to the target tube, which is located at the middle of the generator. This will generate a point source of D-D, T-T or D-T neutrons with high output flux. The latest development together with measured data will be discussed in this article.

  13. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  14. System and method for generating steady state confining current for a toroidal plasma fusion reactor

    International Nuclear Information System (INIS)

    Fisch, N.J.

    1981-01-01

    A system for generating steady state confining current for a toroidal plasma fusion reactor providing steady-state generation of the thermonuclear power. A dense, hot toroidal plasma is initially prepared with a confining magnetic field with toroidal and poloidal components. Continuous wave rf energy is injected into said plasma to establish a spectrum of traveling waves in the plasma, where the traveling waves have momentum components substantially either all parallel, or all anti-parallel to the confining magnetic field. The injected rf energy is phased to couple to said traveling waves with both a phase velocity component and a wave momentum component in the direction of the plasma traveling wave components. The injected rf energy has a predetermined spectrum selected so that said traveling waves couple to plasma electrons having velocities in a predetermined range delta . The velocities in the range are substantially greater than the thermal electron velocity of the plasma. In addition, the range is sufficiently broad to produce a raised plateau having width delta in the plasma electron velocity distribution so that the plateau electrons provide steady-state current to generate a poloidal magnetic field component sufficient for confining the plasma. In steady state operation of the fusion reactor, the fusion power density in the plasma exceeds the power dissipated in the plasma

  15. Efficient second- and third-harmonic radiation generation from relativistic laser-plasma interactions

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Mamta; Gupta, D. N., E-mail: dngupta@physics.du.ac.in [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India); Suk, H. [Department of Physics and Photon Science, Gwangju Institute of Science and Technology, Gwangju 500 712 (Korea, Republic of)

    2015-06-15

    We propose an idea to enhance the efficiency of second- and third-harmonic generation by considering the amplitude-modulation of the fundamental laser pulse. A short-pulse laser of finite spot size is modeled as amplitude modulated in time. Amplitude-modulation of fundamental laser contributes in quiver velocity of the plasma electrons and produces the strong plasma-density perturbations, thereby increase in current density at second- and third-harmonic frequency. In a result, the conversion efficiency of harmonic generation increases significantly. Power conversion efficiency of harmonic generation process is the increasing function of the amplitude-modulation parameter of the fundamental laser beam. Harmonic power generated by an amplitude modulated laser is many folds higher than the power obtained in an ordinary case.

  16. Efficient second- and third-harmonic radiation generation from relativistic laser-plasma interactions

    International Nuclear Information System (INIS)

    Singh, Mamta; Gupta, D. N.; Suk, H.

    2015-01-01

    We propose an idea to enhance the efficiency of second- and third-harmonic generation by considering the amplitude-modulation of the fundamental laser pulse. A short-pulse laser of finite spot size is modeled as amplitude modulated in time. Amplitude-modulation of fundamental laser contributes in quiver velocity of the plasma electrons and produces the strong plasma-density perturbations, thereby increase in current density at second- and third-harmonic frequency. In a result, the conversion efficiency of harmonic generation increases significantly. Power conversion efficiency of harmonic generation process is the increasing function of the amplitude-modulation parameter of the fundamental laser beam. Harmonic power generated by an amplitude modulated laser is many folds higher than the power obtained in an ordinary case

  17. Confinement of laser plasma by solenoidal field for laser ion source

    International Nuclear Information System (INIS)

    Okamura, M.; Kanesue, T.; Kondo, K.; Dabrowski, R.

    2010-01-01

    A laser ion source can provide high current, highly charged ions with a simple structure. However, it was not easy to control the ion pulse width. To provide a longer ion beam pulse, the plasma drift length, which is the distance between laser target and extraction point, has to be extended and as a result the plasma is diluted severely. Previously, we applied a solenoid field to prevent reduction of ion density at the extraction point. Although a current enhancement by a solenoid field was observed, plasma behavior after a solenoid magnet was unclear because plasma behavior can be different from usual ion beam dynamics. We measured a transverse ion distribution along the beam axis to understand plasma motion in the presence of a solenoid field.

  18. Planned upgrade to the coaxial plasma source facility for high heat flux plasma flows relevant to tokamak disruption simulations

    International Nuclear Information System (INIS)

    Caress, R.W.; Mayo, R.M.; Carter, T.A.

    1995-01-01

    Plasma disruptions in tokamaks remain serious obstacles to the demonstration of economical fusion power. In disruption simulation experiments, some important effects have not been taken into account. Present disruption simulation experimental data do not include effects of the high magnetic fields expected near the PFCs in a tokamak major disruption. In addition, temporal and spatial scales are much too short in present simulation devices to be of direct relevance to tokamak disruptions. To address some of these inadequacies, an experimental program is planned at North Carolina State University employing an upgrade to the Coaxial Plasma Source (CPS-1) magnetized coaxial plasma gun facility. The advantages of the CPS-1 plasma source over present disruption simulation devices include the ability to irradiate large material samples at extremely high areal energy densities, and the ability to perform these material studies in the presence of a high magnetic field. Other tokamak disruption relevant features of CPS-1U include a high ion temperature, high electron temperature, and long pulse length

  19. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  20. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization