WorldWideScience

Sample records for plasma process chamber

  1. Plasma chemistry in wire chambers

    International Nuclear Information System (INIS)

    Wise, J.

    1990-05-01

    The phenomenology of wire chamber aging is discussed and fundamentals of proportional counters are presented. Free-radical polymerization and plasma polymerization are discussed. The chemistry of wire aging is reviewed. Similarities between wire chamber plasma (>1 atm dc-discharge) and low-pressure rf-discharge plasmas, which have been more widely studied, are suggested. Construction and use of a system to allow study of the plasma reactions occurring in wire chambers is reported. A proportional tube irradiated by an 55 Fe source is used as a model wire chamber. Condensable species in the proportional tube effluent are concentrated in a cryotrap and analyzed by gas chromatography/mass spectrometry. Several different wire chamber gases (methane, argon/methane, ethane, argon/ethane, propane, argon/isobutane) are tested and their reaction products qualitatively identified. For all gases tested except those containing methane, use of hygroscopic filters to remove trace water and oxygen contaminants from the gas resulted in an increase in the average molecular weight of the products, consistent with results from low-pressure rf-discharge plasmas. It is suggested that because water and oxygen inhibit polymer growth in the gas phase that they may also reduce polymer deposition in proportional tubes and therefore retard wire aging processes. Mechanistic implications of the plasma reactions of hydrocarbons with oxygen are suggested. Unresolved issues in this work and proposals for further study are discussed

  2. Design of an RF Antenna for a Large-Bore, High Power, Steady State Plasma Processing Chamber for Material Separation

    International Nuclear Information System (INIS)

    Rasmussen, D.A.; Freeman, R.L.

    2001-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between UT-Battelle, LLC, (Contractor), and Archimedes Technology Group, (Participant) is to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure. The project objectives are to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure

  3. Structural Analysis of Extended Plasma Focus Chamber

    International Nuclear Information System (INIS)

    Mohd Azhar Ahmad; Abdul Halim Baijan; Siti Aiasah Hashim

    2016-01-01

    Accelerator Development Centre (ADC) of Nuclear Malaysia intends to upgrade the plasma focus device. It involves the extension part placed on top of the existing plasma focus vacuum chamber. This extended vacuum chamber purposely to give an extra space in conducting experiments on the existing plasma focus chamber. The aim of upgrading the plasma focus device is to solve the limitation in research and analysis of sample due to its done in an open system that cause analysis of samples is limited and less optimal. This extended chamber was design in considering the ease of fabrication as well as durability of its structural. Thus, this paper discusses the structural analysis in term of pressure loading effect in extended chamber. (author)

  4. Plasma Chamber Design and Fabrication Activities

    Science.gov (United States)

    Parodi, B.; Bianchi, A.; Cucchiaro, A.; Coletti, A.; Frosi, P.; Mazzone, G.; Pizzuto, A.; Ramogida, G.; Coppi, B.

    2006-10-01

    A fabrication procedure for a typical Plasma Chamber (PC) sector has been developed to cover all the manufacturing phases, from the raw materials specification (including metallurgical processes) to the machining operations, acceptance procedures and vacuum tests. Basically, the sector is made of shaped elements (forged or rolled) welded together using special fixtures and then machined to achieve the final dimensional accuracy. An upgraded design of the plasma chamber's vertical support that can withstand the estimated electromagnetic loads (Eddy and Halo current plus horizontal net force resulting from the worst plasma disruption scenario VDE, Vertical Displacement Event) has been completed. The maintenance of the radial support can take place hands-on with a direct access from outside the cryostat. With the present design, vacuum tightness is achieved by welding conducted with automatic welding heads. On the outer surface of the PC a dedicated duct system, filled by helium gas, is included to cool down the PC to room temperature when needed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  9. Space plasma simulation chamber

    International Nuclear Information System (INIS)

    1986-01-01

    Scientific results of experiments and tests of instruments performed with the Space Plasma Simulation Chamber and its facility are reviewed in the following six categories. 1. Tests of instruments on board rockets, satellites and balloons. 2. Plasma wave experiments. 3. Measurements of plasma particles. 4. Optical measurements. 5. Plasma production. 6. Space plasms simulations. This facility has been managed under Laboratory Space Plasma Comittee since 1969 and used by scientists in cooperative programs with universities and institutes all over country. A list of publications is attached. (author)

  10. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  11. Structural stability analysis considerations in fusion reactor plasma chamber design

    International Nuclear Information System (INIS)

    Delaney, M.J.; Cramer, B.A.

    1978-01-01

    This paper presents an approach to analyzing a toroidal plasma chamber for the prevention of both static and dynamic buckling. Results of stability analyses performed for the doublet shaped plasma chamber of the General Atomic 3.8 meter radius TNS ignition test reactor are presented. Load conditions are the static external atmospheric pressure load and the dynamic plasma disruption pulse load. Methods for analysis of plasma chamber structures are presented for both types of load. Analysis for static buckling is based on idealizing the plasma chamber into standard structural shapes and applying classical cylinder and circular torus buckling equations. Results are verified using the Buckling of Shells of Revolution (BOSOR4) finite difference computer code. Analysis for the dynamic loading is based on a pulse buckling analysis method for circular cylinders

  12. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  13. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  14. Robust Low Cost Liquid Rocket Combustion Chamber by Advanced Vacuum Plasma Process

    Science.gov (United States)

    Holmes, Richard; Elam, Sandra; Ellis, David L.; McKechnie, Timothy; Hickman, Robert; Rose, M. Franklin (Technical Monitor)

    2001-01-01

    Next-generation, regeneratively cooled rocket engines will require materials that can withstand high temperatures while retaining high thermal conductivity. Fabrication techniques must be cost efficient so that engine components can be manufactured within the constraints of shrinking budgets. Three technologies have been combined to produce an advanced liquid rocket engine combustion chamber at NASA-Marshall Space Flight Center (MSFC) using relatively low-cost, vacuum-plasma-spray (VPS) techniques. Copper alloy NARloy-Z was replaced with a new high performance Cu-8Cr-4Nb alloy developed by NASA-Glenn Research Center (GRC), which possesses excellent high-temperature strength, creep resistance, and low cycle fatigue behavior combined with exceptional thermal stability. Functional gradient technology, developed building composite cartridges for space furnaces was incorporated to add oxidation resistant and thermal barrier coatings as an integral part of the hot wall of the liner during the VPS process. NiCrAlY, utilized to produce durable protective coating for the space shuttle high pressure fuel turbopump (BPFTP) turbine blades, was used as the functional gradient material coating (FGM). The FGM not only serves as a protection from oxidation or blanching, the main cause of engine failure, but also serves as a thermal barrier because of its lower thermal conductivity, reducing the temperature of the combustion liner 200 F, from 1000 F to 800 F producing longer life. The objective of this program was to develop and demonstrate the technology to fabricate high-performance, robust, inexpensive combustion chambers for advanced propulsion systems (such as Lockheed-Martin's VentureStar and NASA's Reusable Launch Vehicle, RLV) using the low-cost VPS process. VPS formed combustion chamber test articles have been formed with the FGM hot wall built in and hot fire tested, demonstrating for the first time a coating that will remain intact through the hot firing test, and with

  15. Atmospheric-pressure plasma decontamination/sterilization chamber

    Science.gov (United States)

    Herrmann, Hans W.; Selwyn, Gary S.

    2001-01-01

    An atmospheric-pressure plasma decontamination/sterilization chamber is described. The apparatus is useful for decontaminating sensitive equipment and materials, such as electronics, optics and national treasures, which have been contaminated with chemical and/or biological warfare agents, such as anthrax, mustard blistering agent, VX nerve gas, and the like. There is currently no acceptable procedure for decontaminating such equipment. The apparatus may also be used for sterilization in the medical and food industries. Items to be decontaminated or sterilized are supported inside the chamber. Reactive gases containing atomic and metastable oxygen species are generated by an atmospheric-pressure plasma discharge in a He/O.sub.2 mixture and directed into the region of these items resulting in chemical reaction between the reactive species and organic substances. This reaction typically kills and/or neutralizes the contamination without damaging most equipment and materials. The plasma gases are recirculated through a closed-loop system to minimize the loss of helium and the possibility of escape of aerosolized harmful substances.

  16. Lifetime and shelf life of sealed tritium-filled plasma focus chambers with gas generator

    Directory of Open Access Journals (Sweden)

    B.D. Lemeshko

    2017-11-01

    Full Text Available The paper describes the operation features of plasma focus chambers using deuterium–tritium mixture. Handling tritium requires the use of sealed, vacuum-tight plasma focus chambers. In these chambers, there is an accumulation of the impurity gases released from the inside surfaces of the electrodes and the insulator while moving plasma current sheath inside chambers interacting with β-electrons generated due to the decay of tritium. Decay of tritium is also accompanied by the accumulation of helium. Impurities lead to a decreased yield of neutron emission from plasma focus chambers, especially for long term operation. The paper presents an option of absorption type gas generator in the chamber based on porous titanium, which allows to significantly increase the lifetime and shelf life of tritium chambers. It also shows the results of experiments on the comparison of the operation of sealed plasma focus chambers with and without the gas generator. Keywords: Plasma focus, Neutron yield, Tritium-filled plasma focus chambers, PACS Codes: 29.25.-v, 52.58.Lq

  17. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  18. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  19. 2D edge plasma modeling extended up to the main chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dekeyser, W., E-mail: wouter.dekeyser@mech.kuleuven.be [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Baelmans, M. [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Reiter, D.; Boerner, P.; Kotov, V. [Institut fuer Plasmaphysik, Forschungszentrum Juelich GmbH, EURATOM-Association, Trilateral Euregio Cluster, D-52425 Juelich (Germany)

    2011-08-01

    Far SOL plasma flow, and hence main chamber recycling and plasma surface interaction, are today still only very poorly described by current 2D fluid edge codes, such as B2, UEDGE or EDGE2D, due to a common technical limitation. We have extended the B2 plasma fluid solver in the current ITER version of B2-EIRENE (SOLPS4.3) to allow plasma solutions to be obtained up to the 'real vessel wall', at least on the basis of ad hoc far SOL transport models. We apply here the kinetic Monte Carlo Code EIRENE on such plasma solutions to study effects of this model refinement on main chamber fluxes and sputtering, for an ITER configuration. We show that main chamber sputtering may be significantly modified both due to thermalization of CX neutrals in the far SOL and poloidally highly asymmetric plasma wall contact, as compared to hitherto applied teleportation of particle fluxes across this domain.

  20. The segmented non-uniform dielectric module design for uniformity control of plasma profile in a capacitively coupled plasma chamber

    International Nuclear Information System (INIS)

    Xia, Huanxiong; Xiang, Dong; Yang, Wang; Mou, Peng

    2014-01-01

    Low-temperature plasma technique is one of the critical techniques in IC manufacturing process, such as etching and thin-film deposition, and the uniformity greatly impacts the process quality, so the design for the plasma uniformity control is very important but difficult. It is hard to finely and flexibly regulate the spatial distribution of the plasma in the chamber via controlling the discharge parameters or modifying the structure in zero-dimensional space, and it just can adjust the overall level of the process factors. In the view of this problem, a segmented non-uniform dielectric module design solution is proposed for the regulation of the plasma profile in a CCP chamber. The solution achieves refined and flexible regulation of the plasma profile in the radial direction via configuring the relative permittivity and the width of each segment. In order to solve this design problem, a novel simulation-based auto-design approach is proposed, which can automatically design the positional sequence with multi independent variables to make the output target profile in the parameterized simulation model approximate the one that users preset. This approach employs an idea of quasi-closed-loop control system, and works in an iterative mode. It starts from initial values of the design variable sequences, and predicts better sequences via the feedback of the profile error between the output target profile and the expected one. It never stops until the profile error is narrowed in the preset tolerance

  1. Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber

    Science.gov (United States)

    Routh, D. E.; Sharma, G. C. (Inventor)

    1984-01-01

    An apparatus is disclosed which includes a vacuum system having a vacuum chamber in which wafers are processed on rotating turntables. The vacuum chamber is provided with an RF sputtering system and a dc magnetron sputtering system. A gas inlet introduces various gases to the vacuum chamber and creates various gas plasma during the sputtering steps. The rotating turntables insure that the respective wafers are present under the sputtering guns for an average amount of time such that consistency in sputtering and deposition is achieved. By continuous and sequential processing of the wafers in a common vacuum chamber without removal, the adverse affects of exposure to atmospheric conditions are eliminated providing higher quality circuit contacts and functional device.

  2. Multi-probe ionization chamber system for nuclear-generated plasma diagnostics

    International Nuclear Information System (INIS)

    Choi, W.Y.; Ellis, W.H.

    1990-01-01

    This paper reports on the pulsed ionization chamber (PIC) plasma diagnostic system used in studies of nuclear seeded plasma kinetics upgraded to increase the capabilities and extend the range of plasma parameter measurements to higher densities and temperatures. The PIC plasma diagnostic chamber has been provided with additional measurement features in the form of conductivity and Langmuir probes, while the overall experimental system has been fully automated, with computerized control, measurement, data acquisition and analysis by means of IEEE-488 (GPIB) bus control and data transfer protocols using a Macintosh series microcomputer. The design and use of a simple TTL switching system enables remote switching among the various GPIB instruments comprising the multi-probe plasma diagnostic system using software, without the need for a microprocessor. The new system will be used to extend the present study of nuclear generated plasma in He, Ar, Xe, fissionable UF 6 and other fluorine containing gases

  3. Note: A single-chamber tool for plasma activation and surface functionalization in microfabrication

    Energy Technology Data Exchange (ETDEWEB)

    Bowman, Adam J.; Scherrer, Joseph R.; Reiserer, Ronald S., E-mail: ron.reiserer@vanderbilt.edu [Vanderbilt Institute for Integrative Biosystems Research and Education and Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States)

    2015-06-15

    We present a simple apparatus for improved surface modification of polydimethylsiloxane (PDMS) microfluidic devices. A single treatment chamber for plasma activation and chemical/physical vapor deposition steps minimizes the time-dependent degradation of surface activation that is inherent in multi-chamber techniques. Contamination and deposition irregularities are also minimized by conducting plasma activation and treatment phases in the same vacuum environment. An inductively coupled plasma driver allows for interchangeable treatment chambers. Atomic force microscopy confirms that silane deposition on PDMS gives much better surface quality than standard deposition methods, which yield a higher local roughness and pronounced irregularities in the surface.

  4. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  5. Specific features of X-ray generation by plasma focus chambers with deuterium and deuterium–tritium fillings

    Energy Technology Data Exchange (ETDEWEB)

    Dulatov, A. K., E-mail: bogolubov@vniia.ru; Krapiva, P. S.; Lemeshko, B. D.; Mikhailov, Yu. V.; Moskalenko, I. N.; Prokuratov, I. A.; Selifanov, A. N. [All-Russia Research Institute of Automatics (Russian Federation)

    2016-01-15

    The process of hard X-ray (HXR) generation in plasma focus (PF) chambers was studied experimentally. The radiation was recorded using scintillation detectors with a high time resolution and thermoluminescent detectors in combination with the method of absorbing filters. Time-resolved analysis of the processes of neutron and X-ray generation in PFs is performed. The spectra of HXR emission from PF chambers with deuterium and deuterium–tritium fillings are determined. In experiments with PF chambers filled with a deuterium–tritium mixture, in addition to the HXR pulse with photon energies of up to 200–300 keV, a γ-ray pulse with photon energies of up to 2.5–3.0 MeV is recorded, and a mechanism of its generation is proposed.

  6. Plasma interactions with the outboard chamber wall in DIII-D

    International Nuclear Information System (INIS)

    Rudakov, D.L.; Boedo, J.A.; Yu, J.H.; Brooks, N.H.; Fenstermacher, M.E.; Groth, M.; Hollmann, E.M.; Lasnier, C.J.; McLean, A.G.; Moyer, R.A.; Stangeby, P.C.; Tynan, G.R.; Wampler, W.R.; Watkins, J.G.; West, W.P.; Wong, C.P.C.; Bastasz, R.J.; Buchenauer, D.; Whaley, J.

    2009-01-01

    Erosion of the main chamber plasma-facing components is of concern for ITER. Plasma interaction with the outboard chamber wall is studied in DIII-D using Langmuir probes and optical diagnostics. Fast camera data shows that edge localized modes (ELMs) feature helical filamentary structures propagating towards the outboard wall. Upon reaching the wall, filaments result in regions of local intense plasma-material interaction (PMI) where peak incident particle and heat fluxes are up to two orders of magnitude higher than those between ELMs. In low density/collisionality H-mode discharges, PMI at the outboard wall is almost entirely due to ELMs. A moderate change of the gap between the separatrix and the outer wall strongly affects PMI intensity at the wall. Material samples exposed near the outboard wall showed net carbon deposition in high-density discharges (near the Greenwald limit) and tendency towards net erosion in lower density discharges (∼0.45 of the Greenwald limit).

  7. Plasma Perturbations in High-Speed Probing of Hall Thruster Discharge Chambers: Quantification and Mitigation

    Science.gov (United States)

    Jorns, Benjamin A.; Goebel, Dan M.; Hofer, Richard R.

    2015-01-01

    An experimental investigation is presented to quantify the effect of high-speed probing on the plasma parameters inside the discharge chamber of a 6-kW Hall thruster. Understanding the nature of these perturbations is of significant interest given the importance of accurate plasma measurements for characterizing thruster operation. An array of diagnostics including a high-speed camera and embedded wall probes is employed to examine in real time the changes in electron temperature and plasma potential induced by inserting a high-speed reciprocating Langmuir probe into the discharge chamber. It is found that the perturbations onset when the scanning probe is downstream of the electron temperature peak, and that along channel centerline, the perturbations are best characterized as a downstream shift of plasma parameters by 15-20% the length of the discharge chamber. A parametric study is performed to investigate techniques to mitigate the observed probe perturbations including varying probe speed, probe location, and operating conditions. It is found that the perturbations largely disappear when the thruster is operated at low power and low discharge voltage. The results of this mitigation study are discussed in the context of recommended methods for generating unperturbed measurements of the discharge chamber plasma.

  8. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  9. Particle range in a laser-plasma generated soft X-ray chamber

    International Nuclear Information System (INIS)

    Bollanti, S.; Letardi, T.

    1999-01-01

    Some analytical forms are deduced for calculating the flight range of a spherical particle ejected from the laser plasma target and retarded by gas resistance. it is shown that the gas pressure influence on viscosity can not be neglected when are estimated the expansion ranges fro debris of various sizes in a helium gas-buffered, laser produced plasma chamber [it

  10. DRAGON: a new 18 GHz RT ECRIS with a large plasma chamber

    International Nuclear Information System (INIS)

    Lu, W.; Xie, D.Z.; Zhang, X.Z.; Zhao, H.W.; Ruan, L.; Song, F.C.; Xiong, B.; Yu, S.; Yuan, J.

    2012-01-01

    Building a strong radial magnetic field with a permanent sextupole magnet for an ECRIS is extremely challenging so that the conventional wisdom recommends a small but not optimal plasma chamber that is typically of ID less or equal to 80 mm. A new 18 GHz RT ECRIS, DRAGON, with a large bore permanent sextupole has been designed and is under construction at IMP (Institute of Modern Physics, Lanzhou, China). Its plasma chamber is of ID 126 mm, the same as that of the superconducting ion source SECRAL, with maximum radial field strength reaching 1.5 T at the plasma chamber wall. The overall magnetic strengths of DRAGON, with maximum axial fields of 2.7 T at the injection and 1.3 T at the extraction, are very similar to those of SECRAL operating at 18 GHz and hopefully its performance. The source solenoid magnets are cooled by medium evaporation at about 50 C. In addition, the source is thickly insulated for beam extraction at 50 kV and higher voltage up to 100 kV can be explored. This article will present the design details and discussions of this new ion source. It is followed by the associated poster. (authors)

  11. Glow discharge processing vs bakeout for aluminum storage ring vacuum chambers

    International Nuclear Information System (INIS)

    Dean, N.R.; Hoyt, E.W.; Palrang, M.T.; Walker, B.G.

    1977-11-01

    Experiments were carried out on laboratory and prototype scale systems in order to establish the feasibility of argon discharge processing the PEP storage ring aluminum vacuum chambers. Electron-induced desorption rates showed significant reductions following bakeout and/or argon glow discharge treatment (>10 19 ions cm -1 ). Data are presented and discussed in relation to advantages and problems associated with: water removal, argon trapping and subsequent release, electron energy dependence, discharge distribution, and surface plasma chemical effects

  12. Construction of control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen

    International Nuclear Information System (INIS)

    Saminto; Eko Priyono; Sugeng Riyanto

    2013-01-01

    A control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen have been made. This device consists of the software and hardware component. Hardware component consists of SCR phase angle controller LPC-50HDA type, T100MD1616+ PLC, high voltage transformer and voltage rectifier system. Software component used a LADDER program and TBasic serves to control of the high voltage output. The components in these devices have been tested in the double chamber plasma nitrogen. Its performance meet with the design criteria that can supply of plasma nitrogen operation voltage in the range 290 Vdc to 851 Vdc with glow discharge current 0.4 A to 1.4 A. In general it can be said that the control and instrumentation devices of high voltage power supply is ready for use at the double chamber plasma nitrogen device. (author)

  13. System to continuously produce carbon fiber via microwave assisted plasma processing

    Science.gov (United States)

    White, Terry L; Paulauskas, Felix L; Bigelow, Timothy S

    2014-03-25

    A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.

  14. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  15. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  16. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  17. Holographic processing of track chamber data

    Energy Technology Data Exchange (ETDEWEB)

    Bykovsky, Y A; Larkin, A I; Markilov, A A; Starikov, S N [Moskovskij Fiziko-Tekhnicheskij Inst. (USSR)

    1975-12-01

    The holographic pattern recognition method was applied for processing of track chamber photographs. Experiments on detection of such events as a definitely directed track, an angle formed by two tracks, a three-pronged star, a definitely curved track were performed by using models. It is proposed to recognize these events in a filmshot by the shape of correlation signals. The experiment to recognize the event in a real bubble chamber filmshot was realized; requirements to the processing films were determined.

  18. Plasma parameter diagnosis using hydrogen emission spectra of a quartz-chamber 2.45 GHz ECRIS at Peking University

    Science.gov (United States)

    Wu, WenBin; Ren, HaiTao; Peng, ShiXiang; Xu, Yuan; Wen, JiaMei; Zhang, Tao; Zhang, JingFeng; Zhang, AiLin; Sun, Jiang; Guo, ZhiYu; Chen, JiaEr

    2018-04-01

    A quartz-chamber 2.45 GHz electron cyclotron resonance ion source (ECRIS) was designed for diagnostic purposes at Peking University [Patent Number: ZL 201110026605.4]. This ion source can produce a maximum 84 mA hydrogen ion beam at 50 kV with a duty factor of 10%. The root-mean-square (RMS) emittance of this beam is less than 0.12π mm mrad. In our initial work, the electron temperature and electron density inside the plasma chamber had been measured with the line intensity ratio of noble gases. Based on these results, the atomic and molecular emission spectra of hydrogen were applied to determine the dissociation degree of hydrogen and the vibrational temperature of hydrogen molecules in the ground state, respectively. Measurements were performed at gas pressures from 4×10-4 to 1×10-3 Pa and at input peak RF power ranging from 1000 to 1800 W. The dissociation degree of hydrogen in the range of 0.5%-10% and the vibrational temperature of hydrogen molecules in the ground state in the range of 3500-8500 K were obtained. The plasma processes inside this ECRIS chamber were discussed based on these results.

  19. The plasma properties and electron emission characteristics of near-zero differential resistance of hollow cathode-based plasma contactors with a discharge chamber

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Kan, E-mail: xiekan@bit.edu.cn [School of Aerospace Engineering, Beijing Institute of Technology, Beijing 100081 (China); Farnell, Casey C.; Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, Colorado 80524 (United States)

    2014-08-15

    The formation of electron emission-bias voltage (I-V) characteristics of near-zero differential resistance in the cathodic plasma contactor for bare electrodynamic tether applications, based on a hollow cathode embedded in a ring-cusp ionization stage, is studied. The existence of such an I-V regime is important to achieve low impedance performance without being affected by the space plasma properties for a cathodic plasma contactor. Experimental data on the plasma structure and properties downstream from the ionization stage are presented as functions of the xenon flow rate and the electron emission current. The electrons were emitted from the cathode to the cylindrical vacuum chamber wall (r = 0.9 m) under ≈10{sup −5 }Torr of vacuum pressure. The ring-cusp configuration selected for the plasma contactor created a 125-Gauss axial field near the cathode orifice, along with a large-volume 50-Gauss magnitude pocket in the stage. A baseline ion energy cost of ≈300 eV/ion was measured in the ionization stage when no electrons were emitted to the vacuum chamber wall. In addition, the anode fall growth limited the maximum propellant unitization to below ≈75% in the discharge loss curves for this ion stage. Detailed measurements on the plasma properties were carried out for the no-electron emission and 3 A emission conditions. The experimental data are compared with 1-D models, and the effectiveness of the model is discussed. The four key issues that played important roles in the process of building the near-zero different resistance I-V regime are: a significant amount of ionization by the emission electrons, a decrease in the number of reflected electrons in the plume, the electron-temperature increment, and low initial ion energy at the source outlet.

  20. Change of Pressing Chamber Conicalness at Briquetting Process in Briquetting Machine Pressing Chamber

    Directory of Open Access Journals (Sweden)

    Peter Križan

    2012-01-01

    Full Text Available In this paper, we will present the impact of the conical shape of a pressing chamber, an important structural parameter. Besides the known impact of the technological parameters of pressing chambers, it is also very important to pay attention to their structural parameters. In the introduction, we present a theoretical analysis of pressing chamber conicalness. An experiment aimed at detecting this impact was performed at our institute, and it showed that increasing the conicalness of a pressing chamber improves the quality of the final briquettes. The conicalness of the pressing chamber has a significanteffect on the final briquette quality and on the construction of briquetting machines. The experimental findings presented here show the importance of this parameter in the briquetting process.

  1. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  2. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  3. Plasma Chamber and First Wall of the Ignitor Experiment^*

    Science.gov (United States)

    Cucchiaro, A.; Coppi, B.; Bianchi, A.; Lucca, F.

    2005-10-01

    The new designs of the Plasma Chamber (PC) and of the First Wall (FW) system are based on updated scenarios for vertical plasma disruption (VDE) as well as estimates for the maximum thermal wall loadings at ignition. The PC wall thickness has been optimized to reduce the deformation during the worst disruption event without sacrificing the dimensions of the plasma column. A non linear dynamic analysis of the PC has been performed on a 360^o model of it, taking into account possible toroidal asymmetries of the halo current. Radial EM loads obtained by scaling JET measurements have been also considered. The low-cycle fatigue analysis confirms that the PC is able to meet a lifetime of few thousand cycles for the most extreme combinations of magnetic fields and plasma currents. The FW, made of Molybdenum (TZM) tiles covering the entire inner surface of the PC, has been designed to withstand thermal and EM loads, both under normal operating conditions and in case of disruption. Detailed elasto-plastic structural analyses of the most (EM) loaded tile-carriers show that these are compatible with the adopted fabrication requirements. ^*Sponsored in part by ENEA of Italy and by the U.S. DOE.

  4. Particle range in a laser-plasma generated soft X-ray chamber

    Energy Technology Data Exchange (ETDEWEB)

    Bollanti, S.; Letardi, T. [ENEA, Centro Ricerche Frascati, Rome (Italy). Dipt. Innovazione; Zheng, C. [EL.EN, Calenzano, Florence (Italy)

    1999-07-01

    Some analytical forms are deduced for calculating the flight range of a spherical particle ejected from the laser plasma target and retarded by gas resistance. it is shown that the gas pressure influence on viscosity can not be neglected when are estimated the expansion ranges fro debris of various sizes in a helium gas-buffered, laser produced plasma chamber. [Italian] Vengono ricavate alcune formule analitiche per il calcolo del range di frammenti sferici espulsi con velocita' iniziale dati e frenati dalla resistenza di un fondo gassoso. Si mostra che nei gas considerati non si puo' ignorare influenza della pressione nella viscosita' del gas.

  5. Organization of bubble chamber image processing

    International Nuclear Information System (INIS)

    Gritsaenko, I.A.; Petrovykh, L.P.; Petrovykh, Yu.L.; Fenyuk, A.B.

    1985-01-01

    A programme of bubble chamber image processing is described. The programme is written in FORTRAN, it is developed for the DEC-10 computer and is designed for operation of semi-automation processing-measurement projects PUOS-2 and PUOS-4. Fornalization of the image processing permits to use it for different physical experiments

  6. Conditioning of vacuum chamber by RF plasma

    International Nuclear Information System (INIS)

    Elizondo, J.I.; Nascimento, I.C. do

    1985-01-01

    A new conditioning vaccum chamber system is presented. It consists in hydrogen plasm generation by microwaves with low electronic temperature (Te approx. 5eV) and low ionization degree. The ions and neutral atoms generated in the reaction: e + H 2 -> H+ H+ e, bomb the chamber walls combinig themselves to impurities of surface and generating several compounds: H 2 O, CO, CH 4 , CO 2 etc. The vacuum system operates continuosly and remove these compounds. A microwave system using magnetron valve (f=2,45 GHz, P=800W) was constructed for TBR (Brazilian tokamak). The gas partial pressures were monitored before, during and after conditioning showing the efficiency of the process. (M.C.K.) [pt

  7. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  8. Influence of reaction chamber shape on cast-iron spheroidization process in-mold

    Directory of Open Access Journals (Sweden)

    S. Pietrowski

    2010-01-01

    Full Text Available This paper presents a results concerning the influence of reaction chamber shape on cast – iron spheroidization process in form. The volume of the tested reaction chambers was about 118000mm3. Reaction chambers in the shape of: rectangular, cylinder and spherical cap were examined. It has been shown that the best graphite spheroidizing process was provided by spherical cap chamber shape. The reaction of cast – iron with magnesium in reaction chamber depends on the flow of cast – iron in the chamber. In rectangular and cylinder shape chambers proceed the impact of diphase stream on flat bottom wall. It causes the creation on its surface film, called: cast – iron “film”, where single grains of magnesium master alloy exist. The largest part of master alloy is drifted by liquid cast – iron to the top and only there graphite spheroidization process proceed. In the spherical cap shape reaction chamber, as a result of rotation movement of liquid cast – iron throughout its volume, graphite spheroidization process proceed. Apart from the reaction chamber shape, applying of mixing chamber ensure full cast – iron spheroidization process.

  9. Design and construction of Keda Space Plasma Experiment (KSPEX) for the investigation of the boundary layer processes of ionospheric depletions.

    Science.gov (United States)

    Liu, Yu; Zhang, Zhongkai; Lei, Jiuhou; Cao, Jinxiang; Yu, Pengcheng; Zhang, Xiao; Xu, Liang; Zhao, Yaodong

    2016-09-01

    In this work, the design and construction of the Keda Space Plasma EXperiment (KSPEX), which aims to study the boundary layer processes of ionospheric depletions, are described in detail. The device is composed of three stainless-steel sections: two source chambers at both ends and an experimental chamber in the center. KSPEX is a steady state experimental device, in which hot filament arrays are used to produce plasmas in the two sources. A Macor-mesh design is adopted to adjust the plasma density and potential difference between the two plasmas, which creates a boundary layer with a controllable electron density gradient and inhomogeneous radial electric field. In addition, attachment chemicals can be released into the plasmas through a tailor-made needle valve which leads to the generation of negative ions plasmas. Ionospheric depletions can be modeled and simulated using KSPEX, and many micro-physical processes of the formation and evolution of an ionospheric depletion can be experimentally studied.

  10. System for deuterium-tritium mixture filling the working chamber of a dense plasma focus device

    International Nuclear Information System (INIS)

    Bondar', A.I.; Vyskubov, V.P.; Gerasimov, S.A.

    1981-01-01

    A gas-vacuum system designed for filling the gas-discharge chamber of a plasma focus device with equal-coaponent deuterium-tritium mixture is described. The system consists of a unit for gaseous mixture prepa ration and a unit for mixture absorption and device evacuation. The system provides the gaseous mixture purification of O 2 and N 2 impurities. Final tritium content in the gas-discharge chamber after tritium removal is not greater than 2x10 8 Bq/l. Tritium content in a sealed box in which the device is placed does not exceed 30 Bq/l that is less than limiting safe value. The conclusion is made that the described system design gives an opportunity to begin experimental studies at plasma focus devices with deuterium-tritium mixture [ru

  11. Pulsed neutron generators based on the sealed chambers of plasma focus design with D and DT fillings

    International Nuclear Information System (INIS)

    Yurkov, D I; Dulatov, A K; Lemeshko, B D; Golikov, A V; Andreev, D A; Mikhailov, Yu V; Prokuratov, I A; Selifanov, A N

    2015-01-01

    Development of neutron generators using plasma focus (PF) chambers is being conducted in the All-Russia Scientific Research Institute of Automatics (VNIIA) during more than 25 years. PF is a source of soft and hard x-rays and neutrons 2.5 MeV (D) or 14 MeV (DT). Pulses of x-rays and neutrons have a duration of about several tens of nanoseconds, which defines the scope of such generators—the study of ultrafast processes. VNIIA has developed a series of pulse neutron generators covering the range of outputs 10 7 –10 12 n/pulse with resources on the order of 10 3 –10 4 switches, depending on purposes. Generators have weights in the range of 30–700 kg, which allows referring them to the class of transportable generators. Generators include sealed PF chambers, whose manufacture was mastered by VNIIA vacuum tube production plant. A number of optimized PF chambers, designed for use in generators with a certain yield of neutrons has been developed. The use of gas generator based on gas absorber of hydrogen isotopes, enabled to increase the self-life and resource of PF chambers. Currently, the PF chambers withstand up to 1000 switches and have the safety of not less than 5 years. Using a generator with a gas heater, significantly increased security of PF chambers, because deuterium-tritium mixture is released only during work, other times it is in a bound state in the working element of the gas generator. (paper)

  12. Temperature measurement in low pressure plasmas. Temperaturmessungen im Niederdruckplasma

    Energy Technology Data Exchange (ETDEWEB)

    Rosenbauer, K.A.; Wilting, H.; Schramm, G. (Duesseldorf Univ. (Germany, F.R.). Abt. fuer Histologie und Embryologie)

    1989-11-01

    The present work discusses the influence of various parameters on the substrate temperature in a low pressure plasma. The measurement method chosen utilized Signotherm (Merck) temperature sensors embedded in silicon between two glass substrates. All measurements were made in a 200 G Plasma Processor from Technics Plasma GmbH. The substrate temperature is dependent on the process time, the RF power, the process gas and the position in the chamber. The substrate temperature increases with increasing process time and increasing power. Due to the location of the microwave port from the magnetron to the chamber, the substrate temperature is highest in the center of the chamber. Measurements performed in an air plasma yielded higher results than in an oxygen plasma. (orig.).

  13. An extended CFD model to predict the pumping curve in low pressure plasma etch chamber

    Science.gov (United States)

    Zhou, Ning; Wu, Yuanhao; Han, Wenbin; Pan, Shaowu

    2014-12-01

    Continuum based CFD model is extended with slip wall approximation and rarefaction effect on viscosity, in an attempt to predict the pumping flow characteristics in low pressure plasma etch chambers. The flow regime inside the chamber ranges from slip wall (Kn ˜ 0.01), and up to free molecular (Kn = 10). Momentum accommodation coefficient and parameters for Kn-modified viscosity are first calibrated against one set of measured pumping curve. Then the validity of this calibrated CFD models are demonstrated in comparison with additional pumping curves measured in chambers of different geometry configurations. More detailed comparison against DSMC model for flow conductance over slits with contraction and expansion sections is also discussed.

  14. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  15. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  16. Non-LTE effects in inertial confinement fusion target chambers

    International Nuclear Information System (INIS)

    MacFarlane, J.J.; Moses, G.A.; Peterson, R.R.

    1989-01-01

    In previous studies of transport processes in inertial confinement fusion target chambers, the radiative properties of the background plasma were calculated under the assumption of local thermodynamic equilibrium (LTE). In this paper, the authors present a study of the equation of state and the radiative properties of high temperature, low-to-moderate density ( 21 cm -3 ) plasmas for the determination of the conditions under which non-LTE effects become important and for an assessment of the importance of non-LTE processes in target chambers during high yield inertial fusion target explosions. For this purpose, two-body (radiative and dielectronic) and three-body (collisional) recombination and de-excitation processes are considered in calculating the steady state ionization and excitation populations. The results of this study indicate that non-LTE processes generally become important at temperatures of > or approx. 1, 10 and 100 eV for plasma densities of 10 18 , 10 19 and 10 21 cm -3 , respectively. Radiation hydrodynamic simulations utilizing the equation of state and the opacities for a non-LTE argon plasma were performed to study the response of a background gas to an inertial fusion target explosion. These calculations indicate that non-LTE processes are often the dominant atomic processes in the background plasma and that they can strongly affect the radiative and shock properties as energy is transported away from the point of the target explosion. (author). 22 refs, 10 figs, 1 tab

  17. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  18. Investigation of parameters of the working substance - low temperature plasma in the ionization resonator chamber of the RF reactive engine

    International Nuclear Information System (INIS)

    Vdovin, V.S.; Zajtzev, B.V.; Kobetz, A.F.; Bomko, V.A.; Rashkovan, V.M.; Bazyma, L.A.; Belokon, V.I.

    2003-01-01

    This paper is the extension of investigations of the RF engine designed for orientation and stabilization of the spacecrafts orbit, and it is undertaken for measuring of plasma parameters of RF discharge in the ionization resonator chamber. The experiments were performed at the frequency of 80 MHz on the model engine, in which a length of coaxial line with shortening capacities at the ends was used as the ionization resonator chamber. As the result of the experiments, conditions of the RF discharge ignition in the resonator chamber are studied; dependencies of plasma density and temperature versus applied power and working body pressure are obtained for various gases. The measurements of the thrust were performed at the special-purpose test bench

  19. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  20. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  1. Technology, Applications, and Process Challenges of Dual Chamber Systems.

    Science.gov (United States)

    Werk, Tobias; Ludwig, Imke S; Luemkemann, Joerg; Mahler, Hanns-Christian; Huwyler, Joerg; Hafner, Mathias

    2016-01-01

    Dual-chamber systems provide an option as a drug and device combination product, when home care and emergency lyophilized products are intended. Nevertheless, until today, there are only a few products on the market, due to the challenges and limitations in manufacturability, product formulation, and product stability in a dual-chamber configuration, as well as economic considerations. This review serves to describe currently available dual-chamber systems and to discuss factors to be considered for appropriate selection and establishing fill-finish processes. Copyright © 2016. Published by Elsevier Inc.

  2. Pre-launch simulation experiment of microwave-ionosphere nonlinear interaction rocket experiment in the space plasma chamber

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, N. (Kobe University, Kobe, Japan); Tsutsui, M. (Kyoto University, Uji, Japan); Matsumoto, H. (Kyoto University, Kyoto, Japan)

    1980-09-01

    A pre-flight test experiment of a microwave-ionosphere nonlinear interaction rocket experiment (MINIX) has been carried out in a space plasma simulation chamber. Though the first rocket experiment ended up in failure because of a high voltage trouble, interesting results are observed in the pre-flight experiment. A significant microwave heating of plasma up to 300% temperature increase is observed. Strong excitations of plasma waves by the transmitted microwaves in the VLF and HF range are observed as well. These microwave effects may have to be taken into account in solar power satellite projects in the future.

  3. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Directory of Open Access Journals (Sweden)

    Butakov Evgenii

    2017-01-01

    Full Text Available Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  4. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Science.gov (United States)

    Butakov, Evgenii; Burdukov, Anatoly; Chernetskiy, Mikhail; Kuznetsov, Victor

    2017-10-01

    Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  5. Magnetron plasma and nanotechnology

    International Nuclear Information System (INIS)

    Kashtanov, Pavel V; Smirnov, Boris M; Hippler, Rainer

    2007-01-01

    Magnetron plasma processes involving metal atoms and clusters are reviewed. The formation of metal atoms near the cathode and their nucleation in a buffer gas flow are discussed. The flow of a buffer gas with metal clusters through a magnetron chamber disturbs the equilibrium between the buffer gas flow and clusters near the exit orifice and is accompanied by cluster attachment to the chamber walls. Cluster charging far off the cathode, the disturbance of equilibrium between the buffer gas flow and cluster drift, and the attachment of charged clusters to the chamber walls - the factors determining the output parameters of the cluster beam escaping the magnetron chamber - are analyzed. Cluster deposition on a solid surface and on dusty plasma particles is considered. (reviews of topical problems)

  6. Effects of gas chamber geometry and gas flow on the neutron production in a fast plasma focus neutron source

    International Nuclear Information System (INIS)

    Tarifeño-Saldivia, Ariel; Soto, Leopoldo

    2014-01-01

    This work reports that gas chamber geometry and gas flow management substantially affect the neutron production of a repetitive fast plasma focus. The gas flow rate is the most sensitive parameter. An appropriate design of the gas chamber combined with a suitable flow-rate management can lead to improvements in the neutron production of one order of magnitude working in a fast repetitive mode. (paper)

  7. Deactivation of Escherichia coli in a post-discharge chamber coupled to an atmospheric pressure multi-electrode DBD plasma source

    International Nuclear Information System (INIS)

    Pérez-Ruiz, V H; López-Callejas, R; De la Piedad Beneitez, A; Peña-Eguiluz, R; Mercado-Cabrera, A; Muñoz-Castro, A E; Barocio, S R; Valencia-Alvarado, R; Rodríguez-Méndez, B G

    2012-01-01

    Experimental results from applying a room pressure RF multi-electrode DBD plasma source to the inhibition of the population growth of Gram negative Escherichia coli (E. coli) within a post-discharge reactor are reported. The sample to be treated is deposited in the post-discharge chamber at about 50 mm from the plasma source outlet. Thus, the active species generated by the source are conveyed toward the chamber by the working gas flow. The plasma characterization included the measurement of the axial temperature at different distances from the reactor outlet by means of a K-type thermocouple. The resulting 294 K to 322 K temperature interval corresponded to distances between 10 mm to 1 mm respectively. As the material under treatment is placed further away, any thermal damage of the sample by the plasma is prevented. The measurement and optimization of the ozone O 3 concentration has also been carried out, provided that this is an active specie with particularly high germicide power. The effectiveness treatment of the E. coli bacteria growth inhibition by the proposed plasma source reached 99% when a 10 3 CFU/mL concentration on an agar plate had been exposed during ten minutes.

  8. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  9. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  10. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  11. Simulation of some nonstationary astrophysical processes in laser-produced-plasma experiments

    International Nuclear Information System (INIS)

    Antonov, V.M.; Zakharov, Yu.P.; Orishich, A.M.; Ponomarenko, A.G.; Posukh, V.G.

    1985-01-01

    Preliminary results and calibration are reported on the astrophysical plasma dynamics simulator. This apparatus creates a spherical plasma cloud by the irradiation of a perlon filament target from two radial opposite directions by pulses of highly ionized background plasma in a high-vacuum chamber with diameter of 1.2 m and length of 5 m. The spherical plasma cloud simulates the exploding peripheric part of a supernova, expanding into the interstellar medium. (author)

  12. Study of plasma in MAGO chamber by own neutron radiation

    International Nuclear Information System (INIS)

    Burenkov, O.M.; Garanin, S.F.; Demin, A.N.; Dudin, I.F.; Korchagin, V.P.; Morozov, I.V.; Mokhov, V.N.; Pavlovskij, E.S.; Chernyshev, V.K.; Yakubov, V.B.

    1996-01-01

    The measured ratio of the DD and DT reaction rates is used for determining the ion temperature in the MAGO hot plasma chamber driven by explosive magnetic generator. The method exploits the differences in the temperature dependence of the DD and DT reaction rates. The reaction rates are estimated from the DD and DT neutron spectra measured by the time-of flight method. In the paper the MAGO experimental arrangement is described in detail, and the problems arising at interpretation of the somewhat contradictory results of neutron diagnostics are discussed. A reasonable value of the ion temperature (5.5 keV maximum) can be obtained when assuming a strong anisotropy of the ion distribution. In order to verify the reported results further more detailed neutron diagnostic experiments are planned. (J.U.). 7 figs., 6 refs

  13. Study of plasma in MAGO chamber by own neutron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Burenkov, O M; Garanin, S F; Demin, A N; Dudin, I F; Korchagin, V P; Morozov, I V; Mokhov, V N; Pavlovskij, E S; Chernyshev, V K; Yakubov, V B [All-Russian Scientific Research Institute of Experimental Physics, Arzamas (Russian Federation)

    1997-12-31

    The measured ratio of the DD and DT reaction rates is used for determining the ion temperature in the MAGO hot plasma chamber driven by explosive magnetic generator. The method exploits the differences in the temperature dependence of the DD and DT reaction rates. The reaction rates are estimated from the DD and DT neutron spectra measured by the time-of flight method. In the paper the MAGO experimental arrangement is described in detail, and the problems arising at interpretation of the somewhat contradictory results of neutron diagnostics are discussed. A reasonable value of the ion temperature (5.5 keV maximum) can be obtained when assuming a strong anisotropy of the ion distribution. In order to verify the reported results further more detailed neutron diagnostic experiments are planned. (J.U.). 7 figs., 6 refs.

  14. Design and fabrication of a glovebox for the Plasma Hearth Process radioactive bench-scale system

    International Nuclear Information System (INIS)

    Wahlquist, D.R.

    1996-01-01

    This paper presents some of the design considerations and fabrication techniques for building a glovebox for the Plasma Hearth Process (PHP) radioactive bench-scale system. The PHP radioactive bench-scale system uses a plasma torch to process a variety of radioactive materials into a final vitrified waste form. The processed waste will contain plutonium and trace amounts of other radioactive materials. The glovebox used in this system is located directly below the plasma chamber and is called the Hearth Handling Enclosure (HHE). The HHE is designed to maintain a confinement boundary between the processed waste and the operator. Operations that take place inside the HHE include raising and lowering the hearth using a hydraulic lift table, transporting the hearth within the HHE using an overhead monorail and hoist system, sampling and disassembly of the processed waste and hearth, weighing the hearth, rebuilding a hearth, and sampling HEPA filters. The PHP radioactive bench-scale system is located at the TREAT facility at Argonne National Laboratory-West in Idaho Falls, Idaho

  15. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  16. Procedure for physicist's scanning in the image processing system of bubble chambers

    International Nuclear Information System (INIS)

    Gritsaenko, I.A.; Petrovykh, L.P.; Petrovykh, Yu.L.; Fenyuk, A.B.

    1984-01-01

    The algorithm of the program of physicist's scanning for data processing from photo images in experiments using bubble chambers is described. The program allows one to perform sorting or selection of specific events for subsequent processing and identification of separate particles by bubble density along the track or by the character of the decay. The fraction of protons separated automatically constituted 97%. The program has been used for processing 50 thousand events at the BEBC chamber

  17. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  18. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  19. Review of wire chamber aging

    International Nuclear Information System (INIS)

    Va'Vra, J.

    1986-02-01

    This paper makes an overview of the wire chamber aging problems as a function of various chamber design parameters. It emphasizes the chemistry point of view and many examples are drawn from the plasma chemistry field as a guidance for a possible effort in the wire chamber field. The paper emphasizes the necessity of variable tuning, the importance of purity of the wire chamber environment, as well as it provides a practical list of presently known recommendations. In addition, several models of the wire chamber aging are qualitatively discussed. The paper is based on a summary talk given at the Wire Chamber Aging Workshop held at LBL, Berkeley on January 16-17, 1986. Presented also at Wire Chamber Conference, Vienna, February 25-28, 1986. 74 refs., 18 figs., 11 tabs

  20. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  1. Design and fabrication of a chamber for the deposit of thin films by laser ablation

    International Nuclear Information System (INIS)

    Chirino O, S.; Escobar A, I.; Camps C, E.; Garcia E, J.I.

    2000-01-01

    The laser ablation technique is an alternative for the obtention of thin films which is less expensive, more reliable, efficient and with some advantages with respect to conventional processes. On of the most important components which forms a laser ablation system is the vacuum chamber, that has as general purposes the following: a) To carry out studies about plasma such as optical emission spectroscopy and measurements by deflectometry. b) To carry out an In situ monitoring about the film growth through the reflectivity measurements of the combination substrate-film. c) To deposit thin films of different materials such as oxides, carbon, metals, etc. In this work it is showed how the vacuum chamber was designed and made to perform the store of thin films by laser ablation and for characterising the formed plasma as a result of the ablation process. The chamber design was enough versatile that will allow to add it more accessory just making it simple modifications. Its cost was very cheap more or less one twentieth of a commercial chamber. (Author)

  2. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  3. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  4. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  5. Culture of normal human blood cells in a diffusion chamber system II. Lymphocyte and plasma cell kinetics

    International Nuclear Information System (INIS)

    Chikkappa, G.; Carsten, A.L.; Chanana, A.D.; Cronkite, E.P.

    1979-01-01

    Normal human blood leukocytes were cultured in Millipore diffusion chambers implanted into the peritoneal cavities of irradiated mice. The evaluation of survival and proliferation kinetics of cells in lymphyocytic series suggested that the lymphoid cells are formed from transition of small and/or large lymphocytes, and the lymphoblasts from the lymphoid cells. There was also evidence indicating that some of the cells in these two compartments are formed by proliferation. The evaluation of plasmacytic series suggested that the plasma cells are formed from plasmacytoid-lymphocytes by transition, and the latter from the transition of lymphocytes. In addition, relatively a small fraction of cells in these two compartments are formed by proliferation. mature plasma cells do not and immature plasma cells do proliferate. Estimation of magnitude of plasma cells formed in the cultures at day 18 indicated that at least one plasma cell is formed for every 6 normal human blood lymphocytes introduced into the culture

  6. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  7. An innovative "ChemicalVia" process for the production of high density interconnect printed circuit boards The ATLAS muon chamber quality control with the X-ray tomograph at CERN

    CERN Document Server

    Da Silva, Vitor; Watts, David; Van der Bij, Erik; Banhidi, Z; Berbiers, Julien; Lampl, W; Marchesotti, M; Rangod, Stephane; Sbrissa, E; Schuh, S; Voss, Rüdiger; Zhuravlov, V

    2004-01-01

    The ChemicalVia process, patented by CERN, provides a new method of making microvias in high-density multilayer printed circuit boards of different types, such as sequential build-up (SBU), high density interconnected (HDI), or laminated multi-chip modules (MCM-L). The process uses chemical etching instead of laser, plasma or other etching techniques and can be implemented in a chain production line. This results in an overall reduced operation and maintenance cost and a much shorter hole production time as compared with other microvia processes. copy Emerald Group Publishing Limited. 4 Refs.4 An essential part of the Muon Spectrometer of the ATLAS experiment is based on the Monitored Drift Tube (MDT) technology. About 1200 muon drift chambers are being built at 13 institutes all over the world. The MDT chambers require an exceptional mechanical construction accuracy of better than 20 mu m. A dedicated X-ray tomograph has been developed at CERN since 1996 to control the mechanical quality of the chambers. The...

  8. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  9. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  10. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  11. Design and construction of automatic operating system of double chamber plasma nitriding device PLC based

    International Nuclear Information System (INIS)

    Saminto; Slamet Santosa; Eko Priyono

    2012-01-01

    The automatic operating system of double chamber plasma nitriding device has been done. The system is used for operating double chamber plasma nitriding automatically as according to the standard operating procedure by pressing push button on the human machine interface (HMI). The system consists of hardware and software. The hardware was constructed using main components T100MD1616+ PLC module and supported by temperature signal conditioner module, Wheatstone bridge module, isolated amplifier module and EMS 30A H Bridge motor driver module. A software program that is planted on T100MD1616+ PLC using ladder diagrams and Tbasic program. Test system functions performed by inserting a set values of temperature and pressure by pressing the button on the human machine interface (HMI). The test results show that the temperature control with a set of values 100 °C obtained stable coverage of 98 °C to 102 °C, (Δ ± 2 °C) with a 2% tolerance and the output voltage of the DAC is 2.436 volts to 2.913 volts. The pressure control with a set of values 2.169 x 10 -1 mbar obtained stable coverage of 1.995 x 10 -1 mbar to 2.205 x 10 -1 mbar, (Δ ± 0.105 x 10 -1 mbar) with a 5% tol. (author)

  12. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  13. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  14. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  15. Distortion of plasma diagnostics by an ambient gas

    International Nuclear Information System (INIS)

    Pearlman, J.S.; Matzen, M.K.

    1978-03-01

    The effect of vacuum chamber background gas on the ion measurements of a laser-produced, expanding plasma is studied over a wide range of background gas pressures. Experimental measurements are compared with calculations from a coupled rate equation-hydrodynamics code. The code is then used for a parametric study of the effect of background gas pressure on plasma diagnostic measurements. Charge exchange is found to be an important process in our diagnostics above vacuum chamber pressures of 10 -5 Torr

  16. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  17. Synthesis and characterization of carbon coated nanoparticles produced by a continuous low-pressure plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Panchal, Vineet; Neergat, Manoj [Indian Institute of Technology Bombay, Department of Energy Science and Engineering (India); Bhandarkar, Upendra, E-mail: bhandarkar@iitb.ac.in [Indian Institute of Technology Bombay, Department of Mechanical Engineering (India)

    2011-09-15

    Core-shell nanoparticles coated with carbon have been synthesized in a single chamber using a continuous and entirely low-pressure plasma-based process. Nanoparticles are formed in an argon plasma using iron pentacarbonyl Fe(CO){sub 5} as a precursor. These particles are trapped in a pure argon plasma by shutting off the precursor and then coated with carbon by passing acetylene along with argon as the main background gas. Characterization of the particles was carried out using TEM for morphology, XPS for elemental composition and PPMS for magnetic properties. Iron nanoparticles obtained were a mixture of FeO and Fe{sub 3}O{sub 4}. TEM analysis shows an average size of 7-14 nm for uncoated particles and 15-24 nm for coated particles. The effect of the carbon coating on magnetic properties of the nanoparticles is studied in detail.

  18. Intragroup Processes and Teamwork within a Successful Chamber Choir

    Science.gov (United States)

    Kirrane, Melrona; O'Connor, Cliodhna; Dunne, Ann-Marie; Moriarty, Patricia

    2017-01-01

    Despite the ubiquity of choirs across time and cultures, relatively little is known about the internal dynamics of these social systems. This article examines the group processes involved in a small European chamber choir. The research adopted a mixed-methods qualitative approach that combined individual interviews (n = 13) with ethnographic…

  19. HPD application for film processing from the BEBC bubble chamber at IHEP

    International Nuclear Information System (INIS)

    Borovikov, A.A.; Bryzgalov, V.V.; Gritsaenko, I.A.; Gubaeva, M.M.; Gumenyuk, S.A.; Zajtsev, L.F.; Petrovykh, Yu.L.; Khromova, G.N.; Fenyuk, A.B.

    1982-01-01

    The capabilities and advantages of using HPD for processing of the films from the big hydrogen bubble chamber BEBC for K + p 70 GeV/c experiment are described. Some characteristics of operational features of HPD system are presented. The HPD accuracy of pressed crossing measurements constitutes from 0.75 to 2.75 μm, and chamber crossing - from 6.5 to 13.0 μm [ru

  20. Study of a plasma produced in a neutral gas chamber by the injection of a beam generated by a coaxial gun

    International Nuclear Information System (INIS)

    Castell, R.; Sanchez, A.; Mandelbaum, D.

    1982-01-01

    The design and operation of a plasma coaxial gun is described. The penetration and characteristics of the plasma emitted by the gun propagating in a expansion chamber with helium at 40 feBar is analyzed. The diagnostics is made with a double Langmuir probe observing the time variation of the electronic density along the propagation axis. Optical spectroscopy is used to measure one of the emission lines of the plasma radiation propaganting in the medium. The space-time description of the ion distribution function in a free-force field is made by the fit of an analytical model. (L.C.) [pt

  1. Filling the vacuum chamber of a technological system with homogeneous plasma using a stationary glow discharge

    International Nuclear Information System (INIS)

    Metel, A. S.; Grigoriev, S. N.; Melnik, Yu. A.; Panin, V. V.

    2009-01-01

    Experimental study of a glow discharge with electrostatic confinement of electrons is carried out in the vacuum chamber volume V ∼ 0.12 m 3 of a technological system 'Bulat-6' in argon pressure range 0.005-5 Pa. The chamber is used as a hollow cathode of the discharge with the inner surface area S ∼ 1.5 m 2 . It is equipped with two feedthroughs, which make it possible to immerse in the discharge plasma interchangeable anodes with surface area S a ranging from ∼0.001 to ∼0.1 m 2 , as well as floating electrodes isolated from both the chamber and the anode. Dependences of the cathode fall U c = 0.4-3 kV on the pressure p at a constant discharge current in the range I = 0.2-2 A proved that aperture of the electron escape out of the electrostatic trap is equal to the sum S o = S a + S f of the anode surface S a and the floating electrode surface S f . The sum S o defines the lower limit p o of the pressure range, in which U c is independent of p. At p o the cathode fall U c grows up dramatically, when the pressure decreases, and the pressure p tends to the limit p ex , which is in fact the discharge extinction pressure. At p ∼ p ex electrons emitted by the cathode and the first generation of fast electrons produced in the cathode sheath spend almost all their energy up to 3 keV on heating the anode and the floating electrode up to 600-800 o C and higher. In this case the gas in the chamber is being ionized by the next generations of electrons produced in the cathode sheath, their energy being one order of magnitude lower. When S a 1/2 S, where m is the electron mass and M is the ion mass, the anode may be additionally heated by plasma electrons accelerated by the anode fall of potential U a up to 0.5 kV.

  2. Ionization efficiency of a COMIC ion source equipped with a quartz plasma chamber

    International Nuclear Information System (INIS)

    Suominen, P.; Stora, T.; Sortais, P.; Medard, J.

    2012-01-01

    Increased ionization efficiencies of light noble gases and molecules are required for new physics experiments in present and future radioactive ion beam facilities. In order to improve these beams, a new COMIC-type ion source with fully quartz made plasma chamber was tested. The beam current stability is typically better than 1 % and beams are easily reproducible. The highest efficiency for xenon is about 15 %. However, the main goal is to produce molecular beam including radioactive carbon (in CO or CO 2 ), in which case the efficiency was measured to be only about 0.2%. This paper describes the experimental prototype and its performance and provides ideas for future development. This paper is followed by the associated poster. (authors)

  3. DAMAVAND - An Iranian tokamak with a highly elongated plasma cross-section

    International Nuclear Information System (INIS)

    Amrollahi, R.

    1997-01-01

    The ''DAMAVAND'' facility is an Iranian Tokamak with a highly elongated plasma cross-section and with a poloidal divertor. This Tokamak has the advantage to allow the plasma physics research under the conditions similar to those of ITER magnetic configuration. For example, the opportunity to reproduce partially the plasma disruptions without sacrificing the studies of: equilibrium, stability and control over the elongated plasma cross-section; processes in the near-wall plasma; auxiliary heating systems, etc. The range of plasma parameters, the configuration of ''DAMAVAND'' magnetic coils and passive loops, and their location within the vacuum chamber allow the creation of the plasma at the center of the vacuum chamber and the production of two poloidal volumes (upper and lower) for the divertor. (author)

  4. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  5. Fabrication process of ionization chamber multidetector and multidetector got by this process

    International Nuclear Information System (INIS)

    Tirelli, M.; Lecolant, R.; Hecquet, R.

    1986-01-01

    The multidetector ionization chamber walls are fixed one related to the others and carried together with a tool above a resin bath to polymerize. After resin hardening, the detector includes resin basis. To contain the resin bath, the realization of a mould cut in a massive resin block are been provided for. This allows for its manutention all along the process without any deterioration risk [fr

  6. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  7. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  8. Two-chamber configuration of Bio-Nano electron cyclotron resonance ion source for fullerene modification

    Energy Technology Data Exchange (ETDEWEB)

    Uchida, T., E-mail: uchida-t@toyo.jp [Bio-Nano Electronics Research Centre, Toyo University, Kawagoe 350-8585 (Japan); Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe 350-8585 (Japan); Rácz, R.; Biri, S. [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/C, H-4026 Debrecen (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, Suita 565-0871 (Japan); Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, Kawagoe 350-8585 (Japan); Faculty of Science and Engineering, Toyo University, Kawagoe 350-8585 (Japan)

    2016-02-15

    We report on the modification of fullerenes with iron and chlorine using two individually controllable plasmas in the Bio-Nano electron cyclotron resonance ion source (ECRIS). One of the plasmas is composed of fullerene and the other one is composed of iron and chlorine. The online ion beam analysis allows one to investigate the rate of the vapor-phase collisional modification process in the ECRIS, while the offline analyses (e.g., liquid chromatography-mass spectrometry) of the materials deposited on the plasma chamber can give information on the surface-type process. Both analytical methods show the presence of modified fullerenes such as fullerene-chlorine, fullerene-iron, and fullerene-chlorine-iron.

  9. Hybrid-PIC Computer Simulation of the Plasma and Erosion Processes in Hall Thrusters

    Science.gov (United States)

    Hofer, Richard R.; Katz, Ira; Mikellides, Ioannis G.; Gamero-Castano, Manuel

    2010-01-01

    HPHall software simulates and tracks the time-dependent evolution of the plasma and erosion processes in the discharge chamber and near-field plume of Hall thrusters. HPHall is an axisymmetric solver that employs a hybrid fluid/particle-in-cell (Hybrid-PIC) numerical approach. HPHall, originally developed by MIT in 1998, was upgraded to HPHall-2 by the Polytechnic University of Madrid in 2006. The Jet Propulsion Laboratory has continued the development of HPHall-2 through upgrades to the physical models employed in the code, and the addition of entirely new ones. Primary among these are the inclusion of a three-region electron mobility model that more accurately depicts the cross-field electron transport, and the development of an erosion sub-model that allows for the tracking of the erosion of the discharge chamber wall. The code is being developed to provide NASA science missions with a predictive tool of Hall thruster performance and lifetime that can be used to validate Hall thrusters for missions.

  10. Optimization of the Magnetic Field Structure for Sustained Plasma Gun Helicity Injection for Magnetic Turbulence Studies at the Bryn Mawr Plasma Laboratory

    Science.gov (United States)

    Cartagena-Sanchez, C. A.; Schaffner, D. A.; Johnson, H. K.; Fahim, L. E.

    2017-10-01

    A long-pulsed magnetic coaxial plasma gun is being implemented and characterized at the Bryn Mawr Plasma Laboratory (BMPL). A cold cathode discharged between the cylindrical electrodes generates and launches plasma into a 24cm diameter, 2m long chamber. Three separately pulsed magnetic coils are carefully positioned to generate radial magnetic field between the electrodes at the gun edge in order to provide stuffing field. Magnetic helicity is continuously injected into the flux-conserving vacuum chamber in a process akin to sustained slow-formation of spheromaks. The aim of this source, however, is to supply long pulses of turbulent magnetized plasma for measurement rather than for sustained spheromak production. The work shown here details the optimization of the magnetic field structure for this sustained helicity injection.

  11. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  12. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  13. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.A.; Cowgill, D.F.; Snead, L.L.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1--5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits

  14. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.W.; Cowgill, D.F.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1-5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits. (orig.)

  15. Mixing processes in the vitreous chamber induced by eye rotations

    Energy Technology Data Exchange (ETDEWEB)

    Stocchino, Alessandro [Department of Civil, Environmental and Architectural Engineering, University of Genoa (Italy); Repetto, Rodolfo [Department of Engineering of Structures, Water and Soil, University of L' Aquila (Italy); Siggers, Jennifer H [Department of Bioengineering, Imperial College London, London SW7 2AZ (United Kingdom)], E-mail: jorma@diam.unige.it

    2010-01-21

    In this paper, we study a model of flow in the vitreous humour in the posterior chamber of the human eye, induced by saccadic eye rotations. We concentrate on the effect of the shape of the chamber upon the mixing properties of the induced flows. We make particle image velocimetry measurements of the fluid velocity in a transparent plastic (Perspex) model of the posterior chamber during sinusoidal torsional oscillations about a vertical axis. We use a Newtonian fluid to model the vitreous humour, which is most realistic when either the vitreous humour is liquefied or has been replaced by purely viscous tamponade fluids. The model of the posterior chamber is a sphere with an indentation, representing the effect of the lens. In spite of the purely periodic forcing, a steady streaming flow is generated, which plays a fundamental role in the mixing processes in the domain. The streaming flow differs markedly from that in a perfect sphere, and its topological characteristics change substantially as the frequency of oscillation varies. We discuss the flow characteristics in detail and show that, for physiological parameter values, the Peclet number (based on a suitable measure of the steady streaming velocity) is large, suggesting that advection strongly dominates over diffusion for mass transport phenomena. We also compute particle trajectories based on the streaming velocity and use these to investigate the stirring properties of the flow.

  16. Mixing processes in the vitreous chamber induced by eye rotations

    International Nuclear Information System (INIS)

    Stocchino, Alessandro; Repetto, Rodolfo; Siggers, Jennifer H

    2010-01-01

    In this paper, we study a model of flow in the vitreous humour in the posterior chamber of the human eye, induced by saccadic eye rotations. We concentrate on the effect of the shape of the chamber upon the mixing properties of the induced flows. We make particle image velocimetry measurements of the fluid velocity in a transparent plastic (Perspex) model of the posterior chamber during sinusoidal torsional oscillations about a vertical axis. We use a Newtonian fluid to model the vitreous humour, which is most realistic when either the vitreous humour is liquefied or has been replaced by purely viscous tamponade fluids. The model of the posterior chamber is a sphere with an indentation, representing the effect of the lens. In spite of the purely periodic forcing, a steady streaming flow is generated, which plays a fundamental role in the mixing processes in the domain. The streaming flow differs markedly from that in a perfect sphere, and its topological characteristics change substantially as the frequency of oscillation varies. We discuss the flow characteristics in detail and show that, for physiological parameter values, the Peclet number (based on a suitable measure of the steady streaming velocity) is large, suggesting that advection strongly dominates over diffusion for mass transport phenomena. We also compute particle trajectories based on the streaming velocity and use these to investigate the stirring properties of the flow.

  17. Effect of nebulizer/spray chamber interfaces on simultaneous, axial view inductively coupled plasma optical emission spectrometry for the direct determination of As and Se species separated by ion exchange high-performance liquid chromatography

    International Nuclear Information System (INIS)

    Gettar, Raquel T.; Smichowski, Patricia; Garavaglia, Ricardo N.; Farias, Silvia; Batistoni, Daniel A.

    2005-01-01

    Different nebulizer/expansion chamber combinations were evaluated to assess their performance for sample introduction in the direct coupling with an axial view inductively coupled plasma multielement spectrometer for on-line determination of As and Se species previously separated by ion exchange-high performance liquid chromatography. The column effluents were injected into the plasma without prior derivatization. The instrument operation software was adapted for data acquisition and processing to allow multi-wavelength recording of the transient chromatographic peaks. After optimization of the chromatographic operating conditions, separation of mixtures of inorganic As and Se species, and of inorganic and two organic As species (monomethylarsonic and dimethylarsinic acids), was achieved with excellent resolution. Species discrimination from mixtures of As and Se oxyanions was further improved by the simultaneous element detection at specific analytical wavelengths. Three nebulizers and three spray chambers, employed in seven combinations, were tested as interfaces. Concentric nebulizers associated to a glass cyclonic chamber appear most suitable regarding sensitivity and signal to noise ratio. Measured element detection limits (3 σ) were around 10 ng ml -1 for all the species considered, making the method a viable alternative to similar procedures that employ volatile hydride generation previous to sample injection into the plasma. Analytical recoveries both for inorganic and organic species ranged between 92 and 107%. The method was demonstrated to be apt for the analysis of surface waters potentially subjected to natural contamination with arsenic

  18. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  19. Plasma Chamber Restraints in Ignitor and Relevant Disruption Analysis

    Science.gov (United States)

    Gasparotto, M.; Cucchiaro, A.; Capriccioli, A.; Celentano, G.; Rita, C.; Roccella, M.; Macco, B.; Micheli, I.; Ferrari, G.; Orlandi, S.; Coppi, B.

    2000-10-01

    The plasmas chamber (PC) of Ignitor is made of 12 D-shaped toroidal sectors of Inconel 625 welded together by automatic remote equipment. The thickness of the inboard wall is 17 mm while the middle and outboard walls are 26 mm thick. The PC is supported through the ports by the C-Clamp structure of the toroidal magnet. The main function of the PC supports is to resist the vertical and radial electromagnetic loads and to allow for free movement under thermal loads while providing electrical insulation from the C-Clamps and cryostat. The largest estimated loads are due to a Vertical Displacement Event (VDE) disruption that is followed by a thermal quench and then by the current quench. The vertical supports involve a connection of each radial port to the C-Clamp structure by a link system that withstands the calculated loads. The radial supports resist, with high stiffness, the centripetal and centrifugal forces. The end flange of each radial port is connected to the C-Clamp structure by a clamping sleeve device. The clamping sleeves are hydraulically operated to provide locking during discharge. The clamping sleeves of the radial support system have been validated by an appropriate series of tests.

  20. The study of the proteome of healthy human blood plasma under conditions of long-term confinement in an isolation chamber.

    Science.gov (United States)

    Trifonova, O P; Pastushkova, L Kh; Samenkova, N F; Chernobrovkin, A L; Karuzina, I I; Lisitsa, A V; Larina, I M

    2013-05-01

    We identified changes in the proteome of healthy human blood plasma caused by exposure to 105-day confinement in an isolation chamber. After removal of major proteins and concentration of minor proteins, plasma fractions were analyzed by two-dimensional electrophoresis followed by identification of significantly different protein spots by mass spectrometric analysis of the peptide fragments. The levels of α- and β-chains of fibrinogen, a fragment of complement factor C4, apolipoproteins AI and E, plasminogen factor C1 complement, and immunoglobulin M changed in participants during the isolation period. These changes probably reflect the adaptive response to altered conditions of life.

  1. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  2. Wall conditioning of the TBR-1 Tokamak by plasma generated by microwaves

    International Nuclear Information System (INIS)

    Elizondo, J.I.

    1986-01-01

    A new system of vaccum chamber wall conditioning in the TBR-1 Tokamak, using electron cyclotron resonance plasma of hydrogen for the discharge cleaning process is presented. The construction and performance of equipments are described, and the cleaning process to otimize the conditioning efficiency by chase of plasma parameters. (author) [pt

  3. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  4. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  5. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  6. Understanding the growth of micro and nano-crystalline AlN by thermal plasma process

    Science.gov (United States)

    Kanhe, Nilesh S.; Nawale, Ashok B.; Gawade, Rupesh L.; Puranik, Vedavati G.; Bhoraskar, Sudha V.; Das, Asoka K.; Mathe, Vikas L.

    2012-01-01

    We report the studies related to the growth of crystalline AlN in a DC thermal plasma reactor, operated by a transferred arc plasma torch. The reactor is capable of producing the nanoparticles of Al and AlN depending on the composition of the reacting gas. Al and AlN micro crystals are formed at the anode placed on the graphite and nano crystalline Al and AlN gets deposited on the inner surface of the plasma reactor. X-ray diffraction, Raman spectroscopy analysis, single crystal X-ray diffraction and TGA-DTA techniques are used to infer the purity of post process crystals as a hexagonal AlN. The average particle size using SEM was found to be around 30 μm. The morphology of nanoparticles of Al and AlN, nucleated by gas phase condensation in a homogeneous medium were studied by transmission electron microscopy analysis. The particle ranged in size between 15 and 80 nm in diameter. The possible growth mechanism of crystalline AlN at the anode has been explained on the basis of non-equilibrium processes in the core of the plasma and steep temperature gradient near its periphery. The gas phase species of AlN and various constituent were computed using Murphy code based on minimization of free energy. The process provides 50% yield of microcrystalline AlN and remaining of Al at anode and that of nanocrystalline h-AlN and c-Al collected from the walls of the chamber is about 33% and 67%, respectively.

  7. Pre-eruptive magmatic processes re-timed using a non-isothermal approach to magma chamber dynamics.

    Science.gov (United States)

    Petrone, Chiara Maria; Bugatti, Giuseppe; Braschi, Eleonora; Tommasini, Simone

    2016-10-05

    Constraining the timescales of pre-eruptive magmatic processes in active volcanic systems is paramount to understand magma chamber dynamics and the triggers for volcanic eruptions. Temporal information of magmatic processes is locked within the chemical zoning profiles of crystals but can be accessed by means of elemental diffusion chronometry. Mineral compositional zoning testifies to the occurrence of substantial temperature differences within magma chambers, which often bias the estimated timescales in the case of multi-stage zoned minerals. Here we propose a new Non-Isothermal Diffusion Incremental Step model to take into account the non-isothermal nature of pre-eruptive processes, deconstructing the main core-rim diffusion profiles of multi-zoned crystals into different isothermal steps. The Non-Isothermal Diffusion Incremental Step model represents a significant improvement in the reconstruction of crystal lifetime histories. Unravelling stepwise timescales at contrasting temperatures provides a novel approach to constraining pre-eruptive magmatic processes and greatly increases our understanding of magma chamber dynamics.

  8. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  9. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  10. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  11. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  12. High-beta plasma effects in a low-pressure helicon plasma

    International Nuclear Information System (INIS)

    Corr, C. S.; Boswell, R. W.

    2007-01-01

    In this work, high-beta plasma effects are investigated in a low-pressure helicon plasma source attached to a large volume diffusion chamber. When operating above an input power of 900 W and a magnetic field of 30 G a narrow column of bright blue light (due to Ar II radiation) is observed along the axis of the diffusion chamber. With this blue mode, the plasma density is axially very uniform in the diffusion chamber; however, the radial profiles are not, suggesting that a large diamagnetic current might be induced. The diamagnetic behavior of the plasma has been investigated by measuring the temporal evolution of the magnetic field (B z ) and the plasma kinetic pressure when operating in a pulsed discharge mode. It is found that although the electron pressure can exceed the magnetic field pressure by a factor of 2, a complete expulsion of the magnetic field from the plasma interior is not observed. In fact, under our operating conditions with magnetized ions, the maximum diamagnetism observed is ∼2%. It is observed that the magnetic field displays the strongest change at the plasma centre, which corresponds to the maximum in the plasma kinetic pressure. These results suggest that the magnetic field diffuses into the plasma sufficiently quickly that on a long time scale only a slight perturbation of the magnetic field is ever observed

  13. Integration of Fast Predictive Model and SLM Process Development Chamber, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This STTR project seeks to develop a fast predictive model for selective laser melting (SLM) processes and then integrate that model with an SLM chamber that allows...

  14. The influence of wall resonances on the levitation of objects in a single-axis acoustic processing chamber

    Science.gov (United States)

    Ross, B. B.

    1980-01-01

    Instabilities were observed in high temperature, single axis acoustic processing chambers. At certain temperatures, strong wall resonances were generated within the processing chamber itself and these transverse resonances were thought sufficient to disrupt the levitation well. These wall resonances are apparently not strong enough to cause instabilities in the levitation well.

  15. Description of the plasma diagnostics package (PDP) for the OSS-1 Shuttle mission and JSC plasma chamber test in conjunction with the fast pulse electron gun (FPEG)

    Science.gov (United States)

    Shawhan, S. D.

    1982-01-01

    The objectives, equipment, and techniques for the plasma diagnostics package (PDP) carried by the OSS-1 instrument payload of the STS-4 and scheduled for the Spacelab-2 mission are described. The goals of the first flight were to examine the Orbiter-magnetoplasma interactions by measuring the electric and magnetic field strengths, the ionized particle wakes, and the generated waves. The RMS was employed to lift the unit out of the bay in order to allow characterization of the fields, EM interference, and plasma contamination within 15 m of the Orbiter. The PDP will also be used to examine plasma depletion, chemical reaction rates, waves, and energized plasma produced by firing of the Orbiter thrusters. Operation of the PDP was carried out in the NASA Space Environment Simulation Laboratory test chamber, where the PDP was used to assay the fields, fluxes, wave amplitudes, and particle energy spectra. The PDP instrumentation is also capable of detecting thermal ions, thermal electrons suprathermal particles, VHF/UHF EMI levels, and the S-band field strength.

  16. Prediction of plasma properties in mercury ion thrusters

    Science.gov (United States)

    Longhurst, G. R.

    1978-01-01

    A simplified theoretical model was developed which obtains to first order the plasma properties in the discharge chamber of a mercury ion thruster from basic thruster design and controllable operating parameters. The basic operation and design of ion thrusters is discussed, and the important processes which influence the plasma properties are described in terms of the design and control parameters. The conservation for mass, charge and energy were applied to the ion production region, which was defined as the region of the discharge chamber having as its outer boundary the surface of revolution of the innermost field line to intersect the anode. Mass conservation and the equations describing the various processes involved with mass addition and removal from the ion production region are satisfied by a Maxwellian electron density spatial distribution in that region.

  17. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment.; Estudio Preliminar de Proceso de degradacion de residuos biologico-infecciosos en un equipo de plasma termico de 5 kW

    Energy Technology Data Exchange (ETDEWEB)

    Xochihua S M, M C

    1998-12-31

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO{sub 2} if amount of O{sub 2} is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author).

  18. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  19. Chemistry of radiation damage to wire chambers

    International Nuclear Information System (INIS)

    Wise, J.

    1992-08-01

    Proportional counters are used to study aspects of radiation damage to wire chambers (wire aging). Principles of low-pressure, rf plasma chemistry are used to predict the plasma chemistry in electron avalanches (1 atm, dc). (1) Aging is studied in CF 4 /iC 4 H 10 gas mixtures. Wire deposits are analyzed by Auger electron spectroscopy. An apparent cathode aging process resulting in loss of gain rather than in a self-sustained current is observed in CF 4 -rich gases. A four-part model considering plasma polymerization of the hydrocarbon, etching of wire deposits by CF 4 , acceleration of deposition processes in strongly etching environments, and reactivity of the wire surface is developed to understand anode wire aging in CF 4 /iC 4 H 10 gases. Practical guidelines suggested by the model are discussed. (2) Data are presented to suggest that trace amounts of Freons do not affect aging rates in either dimethyl ether or Ar/C 2 H 6 . Apparent loss of gain is explained by attachment of primary electrons to a continuously increasing concentration of Freon 11 (CCl 3 F) in the counter gas. An increase in the concentration of Freon 11 in dimethyl ether is caused by a distillation process in the gas supply bottle and is a natural consequence of the unequal volatilities of the two compounds

  20. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  1. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  2. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  3. Measurement of Debye length in laser-produced plasma.

    Science.gov (United States)

    Ehler, W.

    1973-01-01

    The Debye length of an expanded plasma created by placing an evacuated chamber with an entrance slit in the path of a freely expanding laser produced plasma was measured, using the slab geometry. An independent measurement of electron density together with the observed value for the Debye length also provided a means for evaluating the plasma electron temperature. This temperature has applications in ascertaining plasma conductivity and magnetic field necessary for confinement of the laser produced plasma. Also, the temperature obtained would be useful in analyzing electron-ion recombination rates in the expanded plasma and the dynamics of the cooling process of the plasma expansion.

  4. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  5. A control approach for plasma density in tokamak machines

    Energy Technology Data Exchange (ETDEWEB)

    Boncagni, Luca, E-mail: luca.boncagni@enea.it [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Pucci, Daniele; Piesco, F.; Zarfati, Emanuele [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy); Mazzitelli, G. [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Monaco, S. [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy)

    2013-10-15

    Highlights: •We show a control approach for line plasma density in tokamak. •We show a control approach for pressure in a tokamak chamber. •We show experimental results using one valve. -- Abstract: In tokamak machines, chamber pre-fill is crucial to attain plasma breakdown, while plasma density control is instrumental for several tasks such as machine protection and achievement of desired plasma performances. This paper sets the principles of a new control strategy for attaining both chamber pre-fill and plasma density regulation. Assuming that the actuation mean is a piezoelectric valve driven by a varying voltage, the proposed control laws ensure convergence to reference values of chamber pressure during pre-fill, and of plasma density during plasma discharge. Experimental results at FTU are presented to discuss weaknesses and strengths of the proposed control strategy. The whole system has been implemented by using the MARTe framework [1].

  6. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  7. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  8. High density turbulent plasma processes from a shock tube. Final performance report

    International Nuclear Information System (INIS)

    Johnson, J.A. III.

    1997-01-01

    A broad-based set of measurements has begun on high density turbulent plasma processes. This includes determinations of new plasma physics and the initiation of work on new diagnostics for collisional plasmas as follows: (1) A transient increase is observed in both the spectral energy decay rate and the degree of chaotic complexity at the interface of a shock wave and a turbulent ionized gas. Even though the gas is apparently brought to rest by the shock wave, no evidence is found either of prompt relaminarization or of any systematic influence of end-wall material thermal conductivities on the turbulence parameters. (2) Point fluorescence emissions and averaged spectral line evolutions in turbulent plasmas produced in both the primary and the reflected shock wave flows exhibit ergodicity in the standard turbulence parameters. The data show first evidence of a reverse energy cascade in the collisional turbulent plasma. This suggests that the fully turbulent environment can be described using a stationary state formulation. In these same data, the author finds compelling evidence for a turbulent Stark effect on neutral emission lines in these data which is associated with evidence of large coherent structures and dominant modes in the Fourier analyses of the fluctuations in the optical spectra. (3) A neutral beam generator has been assembled by coupling a Colutron Ion Gun to a charge exchange chamber. Beam-target collisions where the target species is neutral and the beam is either singly charged or neutral have been performed using argon as the working gas. Spectral analysis of the emission shows specific radiative transitions characteristic of both Ar I and Ar II, indicating that some ionization of the target gas results. Gas and plasma parameters such as density, pressure, temperature and flow velocity and their fluctuations can now be followed in real time by spectroscopic analysis of carefully chosen radiative emissions

  9. Gas and plasma dynamics of RF discharge jet of low pressure in a vacuum chamber with flat electrodes and inside tube, influence of RF discharge on the steel surface parameters

    Science.gov (United States)

    Khristoliubova, V. I.; Kashapov, N. F.; Shaekhov, M. F.

    2016-06-01

    Researches results of the characteristics of the RF discharge jet of low pressure and the discharge influence on the surface modification of high speed and structural steels are introduced in the article. Gas dynamics, power and energy parameters of the RF low pressure discharge flow in the discharge chamber and the electrode gap are studied in the presence of the materials. Plasma flow rate, discharge power, the concentration of electrons, the density of RF power, the ion current density, and the energy of the ions bombarding the surface materials are considered for the definition of basic properties crucial for the process of surface modification of materials as they were put in the plasma jet. The influence of the workpiece and effect of products complex configuration on the RF discharge jet of low pressure is defined. The correlation of the input parameters of the plasma unit on the characteristics of the discharge is established.

  10. Recent Progress on the magnetic turbulence experiment at the Bryn Mawr Plasma Laboratory

    Science.gov (United States)

    Schaffner, D. A.; Cartagena-Sanchez, C. A.; Johnson, H. K.; Fahim, L. E.; Fiedler-Kawaguchi, C.; Douglas-Mann, E.

    2017-10-01

    Recent progress is reported on the construction, implementation and testing of the magnetic turbulence experiment at the Bryn Mawr Plasma Laboratory (BMPL). The experiment at the BMPL consists of an ( 300 μs) long coaxial plasma gun discharge that injects magnetic helicity into a flux-conserving chamber in a process akin to sustained slow-formation of spheromaks. A 24cm by 2m cylindrical chamber has been constructed with a high density axial port array to enable detailed simultaneous spatial measurements of magnetic and plasma fluctuations. Careful positioning of the magnetic structure produced by the three separately pulsed coils (one internal, two external) are preformed to optimize for continuous injection of turbulent magnetized plasma. High frequency calibration of magnetic probes is also underway using a power amplifier.

  11. Ballistic-neutralized chamber transport of intense heavy ion beams

    International Nuclear Information System (INIS)

    Rose, D.V.; Welch, D.R.; Oliver, B.V.; Clark, R.E.; Sharp, W.M.; Friedman, A.

    2001-01-01

    Two-dimensional particle-in-cell simulations of intense heavy ion beams propagating in an inertial confinement fusion (ICF) reactor chamber are presented. The ballistic-neutralized transport scheme studied uses 4 GeV Pb +1 ion beams injected into a low-density, gas-filled reactor chamber and the beam is ballistically focused onto an ICF target before entering the chamber. Charge and current neutralization of the beam is provided by the low-density background gas. The ballistic-neutralized simulations include stripping of the beam ions as the beam traverses the chamber as well as ionization of the background plasma. In addition, a series of simulations are presented that explore the charge and current neutralization of the ion beam in an evacuated chamber. For this vacuum transport mode, neutralizing electrons are only drawn from sources near the chamber entrance

  12. Development of a Novel Contamination Resistant Ion Chamber for Process Tritium Measurement and Use in the JET First Trace Tritium Experiment

    International Nuclear Information System (INIS)

    Worth, L.B.C.; Pearce, R.J.H.; Bruce, J.; Banks, J.; Scales, S.

    2005-01-01

    The accuracy of process measurements of tritium with conventional ion chambers is often affected by surface tritium contamination. The measurement of tritium in the exhaust of the JET torus is particularly difficult due to surface contamination with highly tritiated hydrocarbons. JET's first unsuccessful attempt to overcome the contamination problem was to use an ion chamber, with a heating element as the chamber wall so that it could be periodically decontaminated by baking. The newly developed ion chamber works on the principle of minimising the surface area within the boundary of the anode and cathode.This paper details the design of the ion chamber, which utilises a grid of 50-micron tungsten wire to define the ion chamber wall and the collector electrode. The effective surface area which, by contamination, is able to effect the measurement of tritium within the process gas has been reduced by a factor of ∼200 over a conventional ion chamber. It is concluded that the new process ion chamber enables sensitive accurate tritium measurements free from contamination issues. It will be a powerful new tool for future tritium experiments both to improve tritium tracking and to help in the understanding of tritium retention issues

  13. Plasma rotation in coaxial discharges

    International Nuclear Information System (INIS)

    Masoud, M.M.; Soliman, H.M.; Elkhalafawy, T.A.

    1985-01-01

    Plasma rotation has been observed near the breech of the coaxial electrodes, which propagates inside the coaxial gun and moreover this has been detected in the expansion chamber. Azimuthal component of plasma current has been detected. The measuring of the axial magnetic field distribution in time along the expansion chamber-axis shows a single maximum peak for all position. Azimuthal component of electric field exists along the axis of the expansion chamber and results for two angular positions (0 0 , 180 0 ) at r 2.5 cm has been presented. Thus it is obvious that the whole plasma bulk moves in a screw configuration before and after the focus position. 9 fig

  14. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  15. Hybrid plasma-catalytic reforming of ethanol aerosol

    International Nuclear Information System (INIS)

    Solomenko, O.V.; Nedybaliuk, O.A.; Chernyak, V.Ya.; Iukhymenko, V.V.; Veremii, Iu.P.; Iukhymenko, K.V.; Martysh, E.V.; Fedirchyk, I.I.; Demchina, V.P.; Levko, D.S.; Tsymbalyuk, O.M.; Liptuga, A.I.; Dragnev, S.V.

    2015-01-01

    Hybrid plasma-catalytic reforming of the ethanol aerosol with plasma activation of only the oxidant (air) was studied. Part of the oxidant (∼20%) was activated by means of rotational gliding arc with solid electrodes and injected into the reaction (pyrolytic) chamber as a plasma torch. This part of the oxidant interacted with a mixture of hydrocarbons and the rest of the oxidant (∼80%) in the reaction chamber. Temperature changes in the reaction chamber, the composition of the synthesis-gas and the products of synthesis-gas combustion were analyzed

  16. The effect of dielectric top lids on materials processing in a low frequency inductively coupled plasma (LF-ICP) reactor

    International Nuclear Information System (INIS)

    Lim, J.W.M.; Chan, C.S.; Xu, L.; Xu, S.

    2014-01-01

    The advent of the plasma revolution began in the 1970's with the exploitation of plasma sources for anisotropic etching and processing of materials. In recent years, plasma processing has gained popularity, with research institutions adopting projects in the field and industries implementing dry processing in their production lines. The advantages of utilizing plasma sources would be uniform processing over a large exposed surface area, and the reduction of toxic emissions. This leads to reduced costs borne by manufacturers which could be passed down as consumer savings, and a reduction in negative environmental impacts. Yet, one constraint that plagues the industry would be the control of contaminants in a plasma reactor which becomes evident when reactions are conducted in a clean vacuum environment. In this work, amorphous silicon (a-Si) thin films were grown on glass substrates in a low frequency inductively coupled plasma (LF-ICP) reactor with a top lid made of quartz. Even though the chamber was kept at high vacuum (~10 −4 Pa), it was evident through secondary ion mass spectroscopy (SIMS) and Fourier-transform infra-red spectroscopy (FTIR) that oxygen contaminants were present. With the aid of optical emission spectroscopy (OES) the contaminant species were identified. The design of the LF-ICP reactor was then modified to incorporate an Alumina (Al 2 O 3 ) lid. Results indicate that there were reduced amounts of contaminants present in the reactor, and that an added benefit of increased power transfer to the plasma, improving deposition rate of thin films was realized. The results of this study is conclusive in showing that Al 2 O 3 is a good alternative as a top-lid of an LF-ICP reactor, and offers industries a solution in improving quality and rate of growth of thin films. (author)

  17. Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing

    International Nuclear Information System (INIS)

    Lee, Ho-Jun; Kim, Yun-Gi

    2012-01-01

    The characteristics of weakly magnetized inductively coupled plasma (MICP) are investigated using a self-consistent simulation based on the drift–diffusion approximation with anisotropic transport coefficients. MICP is a plasma source utilizing the cavity mode of the low-frequency branch of the right-hand circularly polarized wave. The model system is 700 mm in diameter and has a 250 mm gap between the radio-frequency window and wafer holder. The model chamber size is chosen to verify the applicability of this type of plasma source to the 450 mm wafer process. The effects of electron density distribution and external axial magnetic field on the propagation properties of the plasma wave, including the wavelength modulation and refraction toward the high-density region, are demonstrated. The restricted electron transport and thermal conductivity in the radial direction due to the magnetic field result in small temperature gradient along the field lines and off-axis peak density profile. The calculated impedance seen from the antenna terminal shows that MICP has a resistance component that is two to threefold higher than that of ICP. This property is practically important for large-size, low-pressure plasma sources because high resistance corresponds to high power-transfer efficiency and stable impedance matching characteristics. For the 0.665 Pa argon plasma, MICP shows a radial density uniformity of 6% within 450 mm diameter, which is much better than that of nonmagnetized ICP.

  18. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  19. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  20. 21 CFR 864.9575 - Environmental chamber for storage of platelet concentrate.

    Science.gov (United States)

    2010-04-01

    ... to hold platelet-rich plasma within a preselected temperature range. (b) Classification. Class II... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Environmental chamber for storage of platelet... Establishments That Manufacture Blood and Blood Products § 864.9575 Environmental chamber for storage of platelet...

  1. Radio frequency induction plasma spraying of molybdenum

    International Nuclear Information System (INIS)

    Jiang Xianliang

    2003-01-01

    Radio frequency (RF) induction plasma was used to make free-standing deposition of molybdenum (Mo). The phenomena of particle melting, flattening, and stacking were investigated. The effect of process parameters such as plasma power, chamber pressure, and spray distance on the phenomena mentioned above was studied. Scanning electron microscopy (SEM) was used to analyze the plasma-processed powder, splats formed, and deposits obtained. Experimental results show that less Mo particles are spheroidized when compared to the number of spheroidized tungsten (W) particles at the same powder feed rate under the same plasma spray condition. Molten Mo particles can be sufficiently flattened on substrate. The influence of the process parameters on the flattening behavior is not significant. Mo deposit is not as dense as W deposit, due to the splash and low impact of molten Mo particles. Oxidation of the Mo powder with a large particle size is not evident under the low pressure plasma spray

  2. Direct introduction of volatile carbon compounds into the spray chamber of an inductively coupled plasma mass spectrometer: Sensitivity enhancement for selenium

    International Nuclear Information System (INIS)

    Kovacevic, Miroslav; Goessler, Walter

    2005-01-01

    The effect of signal enhancement of elements with ionization potentials in the range from 9 to 11 eV by carbon-containing compounds is a well-known phenomenon in inductively coupled plasma mass spectrometry (ICPMS). It has traditionally been exploited through the addition of organic solvents to the sample matrix or to the mobile phase to improve sensitivity. In the present work, aqueous solutions of volatile carbon compounds (acetone, methanol and acetic acid) were directly introduced into the thermostatted spray chamber rather than being added to the sample matrix. It is presumed that no aerosol is produced from these solutions and only vapors of organic compounds are swept into the plasma together with the sample aerosol. When a 0.40 mol l -1 aqueous solution of acetone was introduced directly into the spray chamber, the signals for arsenic and selenium were enhanced by a factor of 4.2. The usefulness of this approach was demonstrated through the achievement of lower instrumental detection limits for selenium at m/z 82 (0.1 ng ml -1 ) compared to the system without direct introduction of volatile carbon compounds (0.5 ng ml -1 ). The method was successfully applied in the determination of traces of selenium in natural water, urine and bovine liver reference material

  3. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  4. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  5. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  6. Composite film fabricated on biomedical material with corona streamer plasma processing to mitigate bacterial adhesion

    Science.gov (United States)

    Alhamarneh, Ibrahim; Pedrow, Patrick; Eskhan, Asma; Abu-Lail, Nehal

    2011-10-01

    Composite films might control bacterial adhesion and concomitant biofouling that afflicts biomedical materials. Different size molecules of polyethylene glycol (PEG) with nominal molecular weights 600, 2000, and 20000 g/mol were used to synthesize composite films with plasma processing and dip-coating procedures on surgical-grade 316L stainless steel. Before dip-coating, the substrate was pre-coated with plasma-polymerized di(ethylene glycol) vinyl ether (pp-EO2V) in an atmospheric pressure corona streamer plasma reactor. The PEG dip-coating step followed immediately in the same chamber due to the finite lifetime of radicals associated with freshly deposited pp-EO2V. Morphology of the composite film was investigated with an ESEM. FTIR confirmed incorporation of pp-EO2V and PEG species into the composite film. More investigations on the composite film were conducted by XPS measurements. Adhesion of the composite film was evaluated with a standard peel-off test. Stability of the composite film in buffer solution was evaluated by AFM. AFM was also used to measure the film roughness and thickness. Polar and non-polar contact angle measurements were included.

  7. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  8. Pulsed fusion space propulsion: Computational Magneto-Hydro Dynamics of a multi-coil parabolic reaction chamber

    Science.gov (United States)

    Romanelli, Gherardo; Mignone, Andrea; Cervone, Angelo

    2017-10-01

    Pulsed fusion propulsion might finally revolutionise manned space exploration by providing an affordable and relatively fast access to interplanetary destinations. However, such systems are still in an early development phase and one of the key areas requiring further investigations is the operation of the magnetic nozzle, the device meant to exploit the fusion energy and generate thrust. One of the last pulsed fusion magnetic nozzle design is the so called multi-coil parabolic reaction chamber: the reaction is thereby ignited at the focus of an open parabolic chamber, enclosed by a series of coaxial superconducting coils that apply a magnetic field. The field, beside confining the reaction and preventing any contact between hot fusion plasma and chamber structure, is also meant to reflect the explosion and push plasma out of the rocket. Reflection is attained thanks to electric currents induced in conductive skin layers that cover each of the coils, the change of plasma axial momentum generates thrust in reaction. This working principle has yet to be extensively verified and computational Magneto-Hydro Dynamics (MHD) is a viable option to achieve that. This work is one of the first detailed ideal-MHD analysis of a multi-coil parabolic reaction chamber of this kind and has been completed employing PLUTO, a freely distributed computational code developed at the Physics Department of the University of Turin. The results are thus a preliminary verification of the chamber's performance. Nonetheless, plasma leakage through the chamber structure has been highlighted. Therefore, further investigations are required to validate the chamber design. Implementing a more accurate physical model (e.g. Hall-MHD or relativistic-MHD) is thus mandatory, and PLUTO shows the capabilities to achieve that.

  9. Apparatus and process for deposition of hard carbon films

    Science.gov (United States)

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  10. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  11. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  12. The PERC trademark process: Existing and potential applications for induction coupled plasma technology in hazardous and radioactive waste treatment

    International Nuclear Information System (INIS)

    Blutke, A.S.; Vavruska, J.S.; Serino, J.F.

    1996-01-01

    Plasma Technology, Inc. (PTI), a Santa Fe, New Mexico corporation has developed the Plasma Energy Recycle and Conversion (PERC)trademark treatment process as a safe and environmentally clean alternative to conventional thermal destruction technologies. The PERC trademark treatment process uses as its heat source an advanced Induction Coupled Plasma (ICP) torch connected to a reaction chamber system with an additional emission control system. For example, organic-based gas, liquid, slurry, and/or solid waste streams can be converted into usable or even salable products while residual emissions are reduced to an absolute minimum. In applications for treatment of hazardous and radioactive waste streams, the PERC system could be used for destruction of the hazardous organic constituents and/or significant waste volume reduction while capturing the radioactive fraction in a non-leachable form. Like Direct Current (DC) and Alternating Current (AC) arc plasma systems, ICP torches offer sufficient energy to decompose, melt and/or vitrify any waste stream. The decision for an arc plasma or an IC plasma system has to be made on a case by case evaluation and is highly dependent on the specific waste stream's form and composition. Induction coupled plasma technology offers one simple, but significant difference compared to DC or AC arc plasma systems: the ICP torch is electrodeless. To date, enormous research effort has been spent to improve the lifetime of electrodes and the effectiveness of related cooling systems. Arc plasma systems are established in research laboratories worldwide and are approaching a broad use in commercial applications. ICP technology has been improved relatively recently, but nowadays offers complete new and beneficial approaches in the field of waste conversion and treatment

  13. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  14. Drift chamber

    International Nuclear Information System (INIS)

    Inagaki, Yosuke

    1977-01-01

    Drift chamber is becoming an important detector in high energy physics as a precision and fast position detector because of its high spatial resolution and count-rate. The basic principle is that it utilizes the drift at constant speed of electrons ionized along the tracks of charged particles towards the anode wire in the nearly uniform electric field. The method of measuring drift time includes the analog and digital ones. This report describes about the construction of and the application of electric field to the drift chamber, mathematical analysis on the electric field and equipotential curve, derivation of spatial resolution and the factor for its determination, and selection of gas to be used. The performance test of the chamber was carried out using a small test chamber, the collimated β source of Sr-90, and 500 MeV/C electron beam from the 1.3 GeV electron synchrotron in the Institute of Nuclear Study, University of Tokyo. Most chambers to date adopted one dimensional read-out, but it is very advantageous if the two dimensional read-out is feasible with one chamber when the resolution in that direction is low. The typical methods of delay line and charge division for two dimensional read-out are described. The development of digital read-out system is underway, which can process the signal of a large scale drift chamber at high speed. (Wakatsuki, Y.)

  15. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  16. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  17. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  18. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  19. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  20. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  1. Design of In-vessel neutron monitor using micro fission chambers for ITER

    International Nuclear Information System (INIS)

    Nishitani, Takeo; Kasai, Satoshi

    2001-10-01

    A neutron monitor using micro fission chambers to be installed inside the vacuum vessel has been designed for compact ITER (ITER-FEAT). We investigated the responses of the micro fission chambers to find the suitable position of micro fission chambers by a neutron Monte Carlo calculation using MCNP version 4b code. It was found that the averaged output of the micro fission chambers behind blankets at upper outboard and lower outboard is insensitive to the changes in the plasma position and the neutron source profile. A set of 235 U micro fission chamber and ''blank'' detector which is a fissile material free detector to identify noise issues such as from γ-rays are installed behind blankets. Employing both pulse counting mode and Campbelling mode in the electronics, the ITER requirement of 10 7 dynamic range with 1 ms temporal resolution can be accomplished. The in-situ calibration has been simulated by MCNP calculation, where a point source of 14 MeV neutrons is moving on the plasma axis. It was found that the direct calibration is possible by using a neutron generator with an intensity of 10 11 n/s. The micro fission chamber system can meet the required 10% accuracy for a fusion power monitor. (author)

  2. The Dartmouth Elephant plasma facility

    Science.gov (United States)

    Lynch, K. A.

    2017-12-01

    The Elephant facility in the Dartmouth Dept of Physics and Astronomyis a 1m by 2m chamber with a microwave-resonant plasma source togetherwith a higher energy electron/ion electrostatic gun. In this chamber weaim to re-create features of the auroral ionosphere including both thethermal plasma background, and the precipitating energetic auroral beam.We can manipulate the position and attitude of various sensors withinthe chamber and monitor their response to the various sources. Recentefforts have focussed on the sheath environment near and around thermalion RPA sensors and the small payloads which carry them into theionosphere.

  3. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  4. A new linear plasma device for various edge plasma studies at SWIP

    Science.gov (United States)

    Xu, Min; Zheng, Pengfei; Tynan, George; Che, Tong; Wang, Zhanhui; Guo, Dong; Wei, Ran

    2017-10-01

    To facilitate the plasma-material interactions (PMI) studies, Southwestern Institute of Physics (SWIP) has constructed a linear plasma device. It is comprised of a source chamber (Φ 0.4 m), a target chamber (Φ 0.9 m), 15 magnets with different sizes, and power supplies with the total power of a few hundred kilowatts, etc. A maximum magnetic field of 0.3 Tesla along the axial direction can be produced. The current of each of the 15 magnets can be independently controlled. More than 60 ports are available for diagnostics, with the sizes vary from Φ 50 mm to Φ 150 mm. Rectangular ports of 190 mm × 270 mm are also available. 12 ports looking at the sample holder are specially designed for ion beam injection, of which the axes are 25 to the chamber axis. The device is equipped with a LaB6 hot cathode plasma source, which is able to generate steady-state H/D/He plasmas with a diameter of Φ 100 mm, density of 1x1019 /m3 , and a particle flux of 1022 1023 n/m2 .s. The electron temperature is usually a few eV. Further, a Helicon RF plasma source is also planned for plasma transport studies. Int'l Sci & Tech Cooperation Program of China (No. 2015DFA61760).

  5. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  6. Acoustic-Levitation Chamber

    Science.gov (United States)

    Barmatz, M. B.; Granett, D.; Lee, M. C.

    1984-01-01

    Uncontaminated environments for highly-pure material processing provided within completely sealed levitation chamber that suspends particles by acoustic excitation. Technique ideally suited for material processing in low gravity environment of space.

  7. Design and fabrication of a chamber for the deposit of thin films by laser ablation; Diseno y fabricacion de una camara para el deposito de peliculas delgadas por ablacion laser

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Escobar A, I.; Camps C, E.; Garcia E, J.I. [Departamento de Fisica, ININ, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The laser ablation technique is an alternative for the obtention of thin films which is less expensive, more reliable, efficient and with some advantages with respect to conventional processes. On of the most important components which forms a laser ablation system is the vacuum chamber, that has as general purposes the following: a) To carry out studies about plasma such as optical emission spectroscopy and measurements by deflectometry. b) To carry out an In situ monitoring about the film growth through the reflectivity measurements of the combination substrate-film. c) To deposit thin films of different materials such as oxides, carbon, metals, etc. In this work it is showed how the vacuum chamber was designed and made to perform the store of thin films by laser ablation and for characterising the formed plasma as a result of the ablation process. The chamber design was enough versatile that will allow to add it more accessory just making it simple modifications. Its cost was very cheap more or less one twentieth of a commercial chamber. (Author)

  8. Software of data processing from the 2500-channel spectrometer with proportional chambers

    International Nuclear Information System (INIS)

    Maznyj, G.L.; Sitnik, I.M.; Strokovskij, E.A.

    1978-01-01

    A set of programs is developed for data processing from a multipurpose Alfa system, which mainly consists of proportional chambers. The set is intended for a step-by-step processing with further reduction and recording of data on a secondary tape. The programs are written mainly in FORTRAN, but to speed up the processing and decoding, compressing and decompressing subroutines are written in assembly language. A system of subprograms plotting distribution curves is also analysed from the viewpoint of its structure. Distribution plotting programs and decoders are adapted to the ES-1040 computer; monodimensional distribution programs can be run on CDC-6500 computer

  9. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability.

    Science.gov (United States)

    Struzzi, Claudia; Scardamaglia, Mattia; Hemberg, Axel; Petaccia, Luca; Colomer, Jean-François; Snyders, Rony; Bittencourt, Carla

    2015-01-01

    Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  10. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability

    Directory of Open Access Journals (Sweden)

    Claudia Struzzi

    2015-12-01

    Full Text Available Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  11. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  12. Tokamak plasma current disruption infrared control system

    International Nuclear Information System (INIS)

    Kugel, H.W.; Ulrickson, M.

    1987-01-01

    This patent describes a device for magnetically confining a plasma driven by a plasma current and contained within a toroidal vacuum chamber, the device having an inner toroidal limiter on an inside wall of the vacuum chamber and an arrangement for the rapid prediction and control in real time of a major plasma disruption. The arrangement is described which includes: scanning means sensitive to infrared radiation emanating from within the vacuum chamber, the infrared radiation indicating the temperature along a vertical profile of the inner toroidal limiter. The scanning means is arranged to observe the infrared radiation and to produce in response thereto an electrical scanning output signal representative of a time scan of temperature along the vertical profile; detection means for analyzing the scanning output signal to detect a first peaked temperature excursion occurring along the profile of the inner toroidal limiter, and to produce a detection output signal in repsonse thereto, the detection output signal indicating a real time prediction of a subsequent major plasma disruption; and plasma current reduction means for reducing the plasma current driving the plasma, in response to the detection output signal and in anticipation of a subsequent major plasma disruption

  13. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  14. LLNL large-area inductively coupled plasma (ICP) source: Experiments

    International Nuclear Information System (INIS)

    Richardson, R.A.; Egan, P.O.; Benjamin, R.D.

    1995-05-01

    We describe initial experiments with a large (76-cm diameter) plasma source chamber to explore the problems associated with large-area inductively coupled plasma (ICP) sources to produce high density plasmas useful for processing 400-mm semiconductor wafers. Our experiments typically use a 640-nun diameter planar ICP coil driven at 13.56 MHz. Plasma and system data are taken in Ar and N 2 over the pressure range 3-50 mtorr. RF inductive power was run up to 2000W, but typically data were taken over the range 100-1000W. Diagnostics include optical emission spectroscopy, Langmuir probes, and B probes as well as electrical circuit measurements. The B and E-M measurements are compared with models based on commercial E-M codes. Initial indications are that uniform plasmas suitable for 400-mm processing are attainable

  15. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  16. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  17. An investigation of transient pressures and plasma properties in a pinched plasma column. M.S. Thesis

    Science.gov (United States)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with several plasma diagnostics; they were: a rapid response pressure transducer, a magnetic field probe, a voltage probe, and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior: (1) strong axial pressure asymmetry noted early in plasma column lifetime, (2) followed by plasma heating in which there is a rapid rise in static pressure, and (3) a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating could be attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity.

  18. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  19. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  20. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  1. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  2. Adaptation of multiwire chambers to some recent experiments in elementary particle physics

    International Nuclear Information System (INIS)

    Comby, G.

    1977-01-01

    Three realizations of gas multiplication detectors are presented in the field of multiwire chambers. Le 'NEUTRINO' experiment is intended for investigating neutrino interactions at energies as high as possible with using drift chambers. The 'LEZARD' experiment is intended for investigating lepton and hadron production at high transverse momentum in hadron-nucleon collisions up to the higher energies attainable at SPS (use of a multiwire proportional chamber), the apparatus has the performance of a spectrometer. A spark chamber equipped with memories has been developed for controlling bubble chamber experiments. Emphasis is put on the evolution towards detectors associated with 'another thing' to obtain a more specific operation: such as the plasma memory and spark chamber association, some possible association with function gaps is pointed out (delay function, homothetic function) [fr

  3. Design Considerations in Capacitively Coupled Plasmas

    Science.gov (United States)

    Song, Sang-Heon; Ventzek, Peter; Ranjan, Alok

    2015-11-01

    Microelectronics industry has driven transistor feature size scaling from 10-6 m to 10-9 m during the past 50 years, which is often referred to as Moore's law. It cannot be overstated that today's information technology would not have been so successful without plasma material processing. One of the major plasma sources for the microelectronics fabrication is capacitively coupled plasmas (CCPs). The CCP reactor has been intensively studied and developed for the deposition and etching of different films on the silicon wafer. As the feature size gets to around 10 nm, the requirement for the process uniformity is less than 1-2 nm across the wafer (300 mm). In order to achieve the desired uniformity, the hardware design should be as precise as possible before the fine tuning of process condition is applied to make it even better. In doing this procedure, the computer simulation can save a significant amount of resources such as time and money which are critical in the semiconductor business. In this presentation, we compare plasma properties using a 2-dimensional plasma hydrodynamics model for different kinds of design factors that can affect the plasma uniformity. The parameters studied in this presentation include chamber accessing port, pumping port, focus ring around wafer substrate, and the geometry of electrodes of CCP.

  4. Containment of high temperature plasmas

    International Nuclear Information System (INIS)

    Bass, R.W.; Ferguson, H.R.P.; Fletcher, H. Jr.; Gardner, J.; Harrison, B.K.; Larsen, K.M.

    1973-01-01

    Apparatus is described for confining a high temperature plasma which comprises: 1) envelope means shaped to form a toroidal hollow chamber containing a plasma, 2) magnetic field line generating means for confining the plasma in a smooth toroidal shape without cusps. (R.L.)

  5. Studies on Charge Variation and Waves in Dusty Plasmas

    Science.gov (United States)

    Kausik, Siddhartha Sankar

    Plasma and dust grains are both ubiquitous ingredients of the universe. The interplay between them has opened up a new and fascinating research domain, that of dusty plasmas, which contain macroscopic particles of solid matter besides the usual plasma constituents. The research in dusty plasmas received a major boost in the early eighties with Voyager spacecraft observation on the formation of Saturn rings. Dusty plasmas are defined as partially or fully-ionized gases that contain micron-sized particles of electrically charged solid material, either dielectric or conducting. The physics of dusty plasmas has recently been studied intensively because of its importance for a number of applications in space and laboratory plasmas. This thesis presents the experimental studies on charge variation and waves in dusty plasmas. The experimental observations are carried out in two different experimental devices. Three different sets of experiments are carried out in two different experimental devices. Three different sets of experiments are carried out to study the dust charge variation in a filament discharge argon plasma. The dust grains used in these experiments are grains of silver. In another get of experiment, dust acoustic waves are studied in a de glow discharge argon plasma. Alumina dust grains are sprinkled in this experiment. The diagnostic tools used in these experiments are Langmuir probe and Faraday cup. The instruments used in these experiments are electrometer, He-Ne laser and charge coupled device (CCD) camera. Langmuir probe is used to measure plasma parameters, while Faraday cup and electrometer are used to measure very low current (~pA) carried by a collimated dust beam. He-Ne laser illuminates the dust grains and CCD camera is used to capture the images of dust acoustic waves. Silver dust grains are produced in the dust chamber by gas-evaporation technique. Due to differential pressure maintained between the dust and plasma chambers, the dust grains move

  6. Characteristics of a Novel Water Plasma Torch

    International Nuclear Information System (INIS)

    Guo-Hua, Ni; Yue-Dong, Meng; Cheng, Cheng; Yan, Lan

    2010-01-01

    Relying on heat generated by plasma arc heating liquid water into steam as a swirl gas, a water plasma torch has the distinctive steam generation structure, which has various applications such as in the treatment of organic waste and hydrogen production for fuel cells in future vehicles. The operational features of the water plasma torch and water phase change process in the discharge chamber are investigated based on the temporal evolution of the voltage and current. The optical emission spectrum measurement shows that the water molecule in the plasma is decomposed into H, OH and O radicals. As the electrodes do not require water-cooling, the thermal efficiency of the torch is very high, which is confirmed by analytical calculation and experimental measurement

  7. An investigation of transient pressure and plasma properties in a pinched plasma column. M.S. Thesis

    Science.gov (United States)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with the following plasma diagnostics: a special rapid response pressure transducer, a magnetic field probe, a voltage probe and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior; they were in temporal sequence: strong axial pressure asymmetry noted early in plasma column lifetime followed by plasma heating in which there is a rapid rise in static pressure and a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating is attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity. Turbulent heating arising from discharge current excitation of the ion acoustic wave instability is also considered a possible heating mechanism.

  8. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  9. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  10. Quasi-steady carbon plasma source for neutral beam injector

    International Nuclear Information System (INIS)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y.

    2014-01-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration

  11. Quasi-steady carbon plasma source for neutral beam injector.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2014-02-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration.

  12. MUSIC chamber for investigation of fusion processes with radioactive beams

    International Nuclear Information System (INIS)

    Petrascu, H.; Petrascu, M.; Tanihata, I.; Kobayashi, T.; Kumagai, H.

    1997-01-01

    An improved model of a Multiple Sampling Ionization Chamber (MUSIC) has been achieved. An outline of the chamber is presented. One can distinguish the 11 pads of the anode, the cage allowing to obtain a uniform electric field inside the chamber, the 5 x 5 cm 2 Silicon microstrip detector-target and the Veto silicon detector. The 11 channel preamplifier is coupled directly to the anode pads. Due to this arrangement, an important increase of the signal noise ratio was obtained. The preamplifier scheme is given also. The integrated circuit of the type AMP-3 has a special construction of hybrid type. The circuitry layout is also presented. (authors)

  13. Plasma-focused cyclic accelerators

    International Nuclear Information System (INIS)

    Mondelli, A.A.; Chernin, D.P.

    1985-01-01

    The use of ambient plasma to neutralize the transverse forces of an intense particle beam has been known for many years. Most recently, the so-called ion-focused regime (IFR) for beam propagation has been used as a means of focusing intense electron beams in linear accelerators and suggested for injecting an electron beam across magnetic field lines into a high-current cyclic accelerator. One technique for generating the required background plasma for IFR propagation is to use a laser to ionize ambient gas in the accelerator chamber. For cyclic accelerators a technique is required for carrying the plasma channel and the beam around a bend. Multiple laser-generated channels with dipole magnetic fields to switch the beam from one channel to the next have been tested at Sandia. This paper discusses an alternative means of plasma production for IFR, viz. by using rf breakdown. For this approach the accelerator chamber acts as a waveguide. With a suitable driving frequency, a waveguide mode can be driven which has its peak field intensity on the axis with negligible fields at the chamber walls. The plasma production and hence the beam propagation is thereby isolated from the walls. This technique is not limited to toroidal accelerators. It may be applied to any accelerator or recirculator geometry as well as for beam steering and for injection or extraction of beams in closed accelerator configurations

  14. Sleeve reaction chamber system

    Science.gov (United States)

    Northrup, M Allen [Berkeley, CA; Beeman, Barton V [San Mateo, CA; Benett, William J [Livermore, CA; Hadley, Dean R [Manteca, CA; Landre, Phoebe [Livermore, CA; Lehew, Stacy L [Livermore, CA; Krulevitch, Peter A [Pleasanton, CA

    2009-08-25

    A chemical reaction chamber system that combines devices such as doped polysilicon for heating, bulk silicon for convective cooling, and thermoelectric (TE) coolers to augment the heating and cooling rates of the reaction chamber or chambers. In addition the system includes non-silicon-based reaction chambers such as any high thermal conductivity material used in combination with a thermoelectric cooling mechanism (i.e., Peltier device). The heat contained in the thermally conductive part of the system can be used/reused to heat the device, thereby conserving energy and expediting the heating/cooling rates. The system combines a micromachined silicon reaction chamber, for example, with an additional module/device for augmented heating/cooling using the Peltier effect. This additional module is particularly useful in extreme environments (very hot or extremely cold) where augmented heating/cooling would be useful to speed up the thermal cycling rates. The chemical reaction chamber system has various applications for synthesis or processing of organic, inorganic, or biochemical reactions, including the polymerase chain reaction (PCR) and/or other DNA reactions, such as the ligase chain reaction.

  15. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  16. Proceedings of workshop on streamer chamber

    International Nuclear Information System (INIS)

    Itoh, Hidihiko; Takahashi, Kaoru; Hirose, Tachishige; Masaike, Akira

    1978-08-01

    For high accuracy observation of multiple-body reactions, a vertex detector of high efficiency is essential. A bubble chamber, though excellent for tracks detection, is problematic in statistics accuracy. The vertex detector with a wire chamber, while better in this respect, difficult in multiple-particle detection etc. The workshop has had several meetings on a streamer chamber as a detector combining features of both bubble chamber and counter, with emphasis on tracks observation in avalanche mode and recordings not using films. Contents are on streamer chamber gas, analytical photography, data processing, simulation program, etc. (Mori, K.)

  17. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  18. Optimization of spherical ionization chambers for neutron diagnostics in Tokamak plants

    International Nuclear Information System (INIS)

    Hoenen, F.

    1983-05-01

    For the investigation of neutron emission from fusion plasmas Pulse-Ion-Chamber are favored because of their high temporal resolution, the availability of results immedately after the discharge and their insensitivity to hard X-rays. However to measure ion temperatures below 2 keV with the aid of neutron spectroscopy the detectors have to be improved. Difficulties arise from the fact that in Pulse-Ion-Chambers the pulse height is a function of the position in the chamber where the ion pairs are produced (Induction effect). It will be shown that the induction effect is smaller in spherical ionisation chambers than in cylindrical ones. This means an increase in energy resolution so that neutrons from the D(D,n) 3 He reaction can be analysed with an energy resolution of better than 3% in spherical chambers. (orig./HP) [de

  19. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication

    International Nuclear Information System (INIS)

    Wang Langping; Huang Lei; Xie Zhiwen; Wang Xiaofeng; Tang Baoyin

    2008-01-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder

  20. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    Science.gov (United States)

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  1. Plasma driven neutron/gamma generator

    Science.gov (United States)

    Leung, Ka-Ngo; Antolak, Arlyn

    2015-03-03

    An apparatus for the generation of neutron/gamma rays is described including a chamber which defines an ion source, said apparatus including an RF antenna positioned outside of or within the chamber. Positioned within the chamber is a target material. One or more sets of confining magnets are also provided to create a cross B magnetic field directly above the target. To generate neutrons/gamma rays, the appropriate source gas is first introduced into the chamber, the RF antenna energized and a plasma formed. A series of high voltage pulses are then applied to the target. A plasma sheath, which serves as an accelerating gap, is formed upon application of the high voltage pulse to the target. Depending upon the selected combination of source gas and target material, either neutrons or gamma rays are generated, which may be used for cargo inspection, and the like.

  2. Field experiments and laboratory study of plasma turbulence and effects on EM wave propagation

    International Nuclear Information System (INIS)

    Lee, M.C.; Kuo, S.P.

    1990-01-01

    Both active experiments in space and laboratory experiments with plasma chambers have been planned to investigate plasma turbulence and effects on electromagnetic wave propagation. Plasma turbulence can be generated by intense waves or occur inherently with the production of plasmas. The turbulence effects to be singled out for investigation include nonlinear mode conversion process and turbulence scattering of electromagnetic waves by plasma density fluctuations. The authors have shown theoretically that plasma density fluctuations can render the nonlinear mode conversion of electromagnetic waves into lower hybrid waves, leading to anomalous absorption of waves in magnetoplasmas. The observed spectral broadening of VLF waves is the evidence of the occurrence of this process. Since the density fluctuations may have a broad range of scale lengths, this process is effective in weakening the electromagnetic waves in a wideband. In addition, plasma density fluctuations can scatter waves and diversify the electromagnetic energy. Schemes of generating plasma turbulence and the diagnoses of plasma effects are discussed

  3. Data-processing system for bubble-chamber photographs based on PUOS-4 measuring projectors and an ES-1045 computer

    International Nuclear Information System (INIS)

    Ermolov, P.F.; Kozlov, V.V.; Rukovichkin, V.P.

    1988-01-01

    A system is described that was developed at the Scientific-Research Institute of Nuclear Physics for processing of the data recorded on stereoscopic photographs from large bubble chambers and hybrid spectrometers using PUOS-4 measuring projectors, an Elektronika-60 microcomputer, and an ES-1045 computer. The system structure, the main programmable interfaces, and the intercomputer communications are examined. The mean-square error of the measuring channels of the system, determined from calibration measurements, is within 1.3-3.5 μm; the standard deviation of the coordinates of the measured points with respect to the track in the plane of the photograph is 6 μm. The system is widely used at the institute for analysis of data from experiments in high-energy physics performed with the European Hybrid Spectrometer and the Mirabel large bubble chamber. Approximately 80,000 stereoscopic photographs have been processed and the system is being prepared to process data from the Skat bubble chamber and a spectrometer with a vertex detector that is under construction

  4. Thin low Z coatings for plasma devices

    International Nuclear Information System (INIS)

    Norem, J.; Bowers, D.A.

    1978-05-01

    Coating the walls of the vacuum chamber with beryllium or some other low Z material has been proposed as a possible means of solving the problems of high Z influx into plasmas. We attempt to demonstrate that very thin, low Z coatings are compatible with the operation of plasma devices and beneficial to plasma performance. We determine that the thickness of coating material required is only about 10 monolayers. In a radiation environment, radiation-induced solute segregation should help to maintain the integrity of such thin coatings against diffusion and other processes. We discuss the properties of these thin coatings and possible means of in situ application and maintenance. Since deposition of plasma impurities on the walls will occur anyway, we discuss injection of solid pellets into the plasma as a direct way of introducing impurities which would ultimately serve as coating material

  5. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  6. Final report for NIF chamber dynamics studies

    International Nuclear Information System (INIS)

    Burnham, A; Peterson, P F; Scott, J M

    1998-01-01

    The National Ignition Facility (NIF), a 1.8 MJ, 192 laser beam facility, will have anticipated fusion yields of up to 20 MJ from D-T pellets encased in a gold hohlraum target. The energy emitted from the target in the form of x rays, neutrons, target debris kinetic energy, and target shrapnel will be contained in a 5 m. radius spherical target chamber. various diagnostics will be stationed around the target at varying distances from the target. During each shot, the target will emit x rays that will vaporize nearby target facing surfaces including those of the diagnostics, the target positioner, and other chamber structures. This ablated vapor will be transported throughout the chamber, and will eventually condense and deposit on surfaces in the chamber, including the final optics debris shields. The research at the University of California at Berkeley relates primarily to the NIF chamber dynamics. The key design issues are the ablation of the chamber structures, transport of the vapor through the chamber and the condensation or deposition processes of those vaporized materials. An understanding of these processes is essential in developing a concept for protecting the fina optics debris shields from an excessive coating (> 10 A) of target debris and ablated material, thereby prolonging their lifetime between change-outs. At Berkeley, we have studied the physical issues of the ablation process and the effects of varying materials, the condensation process of the vaporized material, and design schemes that can lower the threat posed to the debris shields by these processes. The work or portions of the work completed this year have been published in several papers and a dissertation [l-5

  7. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  8. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  9. Characterization of a Low Intensity Plasma Jet

    International Nuclear Information System (INIS)

    Urruchi, W. I.; Maciel, H.S.; Petraconi, G.; Otani, C.

    1999-01-01

    A source of streaming plasma having charged particles energies in the range of tens of electron volts has been built and characterized. The source is based on a dc glow discharge in a cylindrical tube which is connected to a vacuum chamber through a small orifice. A streaming plasma of conical shape emerging from the orifice is formed when the discharge is run between the cathode located inside the tube and the anode located in the vacuum chamber. The strong constriction of the discharge leads to the formation of a plasma sac, in the orifice region, between the positive column and the plasma beam. Properties of these plasmas were investigated using a movable Langmuir probe and an electrostatic energy analyzer. A mechanism based on the formation of double-layers (DL) between the ''plasma sac'' and the adjacent plasmas is proposed to explain the acceleration of the plasma jet charged particles. (author)

  10. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  11. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  12. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  13. Ion plasma electron gun

    International Nuclear Information System (INIS)

    Wakalopulos, G.

    1976-01-01

    In the disclosed electron gun positive ions generated by a hollow cathode plasma discharge in a first chamber are accelerated through control and shield grids into a second chamber containing a high voltage cold cathode. These positive ions bombard a surface of the cathode causing the cathode to emit secondary electrons which form an electron beam having a distribution adjacent to the cathode emissive surface substantially the same as the distribution of the ion beam impinging upon the cathode. After passing through the grids and the plasma discharge chamber, the electron beam exits from the electron gun via a foil window. Control of the generated electron beam is achieved by applying a relatively low control voltage between the control grid and the electron gun housing (which resides at ground potential) to control the density of the positive ions bombarding the cathode

  14. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  15. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  16. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  17. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  18. Ultrasonic cleaning of electrodes of wire chambers

    International Nuclear Information System (INIS)

    Krasnov, V.A.; Kurepin, A.B.; Razin, V.I.

    1980-01-01

    A technological process of cleaning electrodes and working volume surfaces of wire chambers from contaminations by the simultaneous mechanical action of the energy of ultrasonic oscillations and the chemical action of detergents is discussed. A device for cleaning wire electrodes of proportional chambers of 0.3x0.4 m is described. The device uses two ultrasonic generators with a total power of 0.5 kW. As a detergent use is made of a mixture of ethyl alcohol, gasoline and freon. In the process of cleaning production defects can be detected in the wire chambers which makes it possible to timely remove the defects. Measurements of the surface resistance of fiberglass laminate of printed drift chamber electrodes at a voltage of 2 kV showed that after completing the cleaning process the resistance increases 15-20%

  19. Analysis of plasma behavior and electro-magnetic interaction between plasma and device

    International Nuclear Information System (INIS)

    Kobayashi, Tomofumi

    1980-01-01

    A simulation program for the analysis of plasma behavior and the electromagnetic interaction between plasma and device has been developed. The program consists of a part for the analysis of plasma behavior (plasma system) and a part for the analysis of the electro-magnetic interaction between plasma and devices (circuit system). The parameters which connect the plasma system and the circuit system are the electric resistance of plasma, the internal inductance, and the plasma current. For the plasma system, the simultaneous equations which describe the density distribution of plasma particles, the temperature distribution of electrons and ions, and the space-time variation of current density distribution were derived. The one-dimensional plasma column in γ-direction was considered. The electric resistance and the internal inductance can be deduced. The circuit components are a current transformer, a vertical field coil, a quadrupole field coil, a vacuum chamber and others. An equation which describes plasma position and the shape of cross section is introduced. The plasma position can be known by solving the Mukhavatov's formula of equilibrium. By using this program, the build-up process of plasma current in JT-60 was analysed. It was found that the expansion of plasma sub radius and the control of current distribution by gas injection are the effective methods to obtain high temperature and high density plasma. The eddy current induced in a vacuum vessel shields 40 percent of magnetic field made in the plasma region by a vertical field coil. (Kato, T.)

  20. Drift chamber vertex detectors for SLC/LEP

    Energy Technology Data Exchange (ETDEWEB)

    Hayes, K G

    1988-03-01

    Factors influencing the design of drift chamber vertex detectors for SLC and LEP are discussed including global strategy, chamber gas, cell design, and signal processing. The designs of the vertex chambers for the L3 and OPAL experiments at LEP and the Mark II experiment at the SLC are described.

  1. Radon-daughter chamber instrumentation system reference manual

    International Nuclear Information System (INIS)

    Showalter, R.; Johnson, L.

    1985-01-01

    The radon-daughter chamber instrumentation system collects environmental data from the radon-daughter chamber. These data are then recorded on a Tandberg system tape cartridge and transmitted to the HP-1000 computer for processing. Generators which inject radon and condensation nuclei into the chamber are also included with the instrumentation system

  2. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  3. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  4. Micro plate fission chamber development

    International Nuclear Information System (INIS)

    Wang Mei; Wen Zhongwei; Lin Jufang; Jiang Li; Liu Rong; Wang Dalun

    2014-01-01

    To conduct the measurement of neutron flux and the fission rate distribution at several position in assemblies, the micro plate fission chamber was designed and fabricated. Since the requirement of smaller volume and less structure material was taken into consideration, it is convinient, commercial and practical to use fission chamber to measure neutron flux in specific condition. In this paper, the structure of fission chamber and process of fabrication were introduced and performance test result was presented. The detection efficiency is 91.7%. (authors)

  5. Plasma focusing in coaxial gun

    International Nuclear Information System (INIS)

    Soliman, H.M.; Masoud, M.M.; El-Khalafawy, T.

    1986-01-01

    A capacitor bank has been discharged between two coaxial electrodes of 6.6 cm outer diameter, 3.2 cm inner diameter and length of 31.5 cm. filled with hydrogen gas at pressure of 310 μHg. Results show that, the axial and radial plasma current reach a maximum value at a position adjacent to the gun muzzle, at which the plasma focus occurs. The measurement of the electron temperature and density and azimuthal electric field along the axis of the expansion chamber, gives a maximum value at z∼18 cm from the gun muzzle, while the axial plasma current and velocity has a minimum value at that position. These results indicate that a second point of a plasma focus has been formed at z∼18 cm from the gun muzzle, along the axis of the expansion chamber

  6. Decontamination chamber for the maintenance of DUPIC nuclear fuel fabrication and process equipment

    International Nuclear Information System (INIS)

    Kim, K. H.; Park, J. J.; Yang, M. S.; Lee, H. H.; Shin, J. M.

    2000-10-01

    This report presents the decontamination chamber of being capable of decontaminating and maintaining DUPIC nuclear fuel fabrication equipment contaminated in use. The decontamination chamber is a closed room in which contaminated equipment can be isolated from a hot-cell, be decontaminated and be reparired. This chamber can prevent contamination from spreading over the hot-cell, and it can also be utilized as a part of the hot-cell after maintenance work. The developed decontamination chamber has mainly five sub-modules - a horizontal module for opening and closing a ceil of the chamber, a vertical module for opening and closing a side of the chamber, a subsidiary door module for enforcing the vertical opening/closing module, a rotary module for rotating contaminated equipment, and a grasping module for holding a decontamination device. Such sub-modules were integrated and installed in the M6 hot-cell of the IMEF at the KAERI. The mechanical design considerations of each modules and the arrangement with hot-cell facility, remote operation and manipulation of the decontamination chamber are also described

  7. Decontamination chamber for the maintenance of DUPIC nuclear fuel fabrication and process equipment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, K. H.; Park, J. J.; Yang, M. S.; Lee, H. H.; Shin, J. M

    2000-10-01

    This report presents the decontamination chamber of being capable of decontaminating and maintaining DUPIC nuclear fuel fabrication equipment contaminated in use. The decontamination chamber is a closed room in which contaminated equipment can be isolated from a hot-cell, be decontaminated and be reparired. This chamber can prevent contamination from spreading over the hot-cell, and it can also be utilized as a part of the hot-cell after maintenance work. The developed decontamination chamber has mainly five sub-modules - a horizontal module for opening and closing a ceil of the chamber, a vertical module for opening and closing a side of the chamber, a subsidiary door module for enforcing the vertical opening/closing module, a rotary module for rotating contaminated equipment, and a grasping module for holding a decontamination device. Such sub-modules were integrated and installed in the M6 hot-cell of the IMEF at the KAERI. The mechanical design considerations of each modules and the arrangement with hot-cell facility, remote operation and manipulation of the decontamination chamber are also described.

  8. Investigation of magnetic drift on transport of plasma across magnetic field

    International Nuclear Information System (INIS)

    Hazarika, Parismita; Chakraborty, Monojit; Das, Bidyut; Bandyopadhyay, Mainak

    2015-01-01

    When a metallic body is inserted inside plasma chamber it is always associated with sheath which depends on plasma and wall condition. The effect of sheath formed in the magnetic drift and magnetic field direction on cross field plasma transport has been investigated in a double Plasma device (DPD). The drifts exist inside the chamber in the transverse magnetic field (TMF) region in a direction perpendicular to both magnetic field direction and axis of the DPD chamber. The sheath are formed in the magnetic drift direction in the experimental chamber is due to the insertion of two metallic plates in these directions and in the magnetic field direction sheath is formed at the surface of the TMF channels. These metallic plates are inserted in order to obstruct the magnetic drift so that we can minimised the loss of plasma along drift direction and density in the target region is expected to increase due to the obstruction. It ultimately improves the negative ion formation parameters. The formation of sheath in the transverse magnetic field region is studied by applying electric field both parallel and antiparallel to drift direction. Data are acquired by Langmuir probe in source and target region of our chamber. (author)

  9. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  10. PIII Plasma Density Enhancement by a New DC Power Source

    International Nuclear Information System (INIS)

    Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Munoz-Castro, A. E.; Valencia A, R.; Barocio, S. R.; Mercado-Cabrera, A.; Pena-Eguiluz, R.

    2006-01-01

    In practical terms, those plasmas produced by a DC voltage power supply do not attain densities above the 108 to 109 cm-3 band. Here we present a power supply, controlled in current and voltage, which has been successfully designed and constructed delivering plasma densities in the orders of 109 - 1010 cm-3. Its experimental performance test was conducted within one toroidal and one cylindrical chambers capable of 29 and 35 litres, respectively, using nitrogen gas. The DC plasma was characterized by a double electric probe. Several physical phenomena present in the PIII process have been keenly investigated including plasma sheath dynamics, interaction of plasma and surface, etc. In this paper we analyze the effect of the implantation voltage, plasma density and pulse time in the PIII average heating power and fluence density

  11. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  12. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  13. Bubble chamber: antiproton annihilation

    CERN Multimedia

    1971-01-01

    These images show real particle tracks from the annihilation of an antiproton in the 80 cm Saclay liquid hydrogen bubble chamber. A negative kaon and a neutral kaon are produced in this process, as well as a positive pion. The invention of bubble chambers in 1952 revolutionized the field of particle physics, allowing real tracks left by particles to be seen and photographed by expanding liquid that had been heated to boiling point.

  14. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  15. Particle porosity at plasma are spraying of metals

    International Nuclear Information System (INIS)

    Petrunichev, V.A.; Koroleva, E.B.; Pushilin, N.P.

    1985-01-01

    Quantitative dependences of porosity and character of pore distribution in particles of different materials on particle size and composition of atmosphere in a working chamber are studied experimentally as applied to the process of plasma wire sputtering. Wires 1.2 mm in diameter made of tungsten, molybdenum, Kh20N80 alloy, and zirconium served as sputtering materials. It is shown that pore size and character of their distribution in particles of powders obtained by the method of plasma wire sputtering are dependent on sizes of forming particles and determined by conditions of their cooling. Intensive porosity formation is characteristic of wire sputtering in argon plasma with nitrogen additions, but there are critical values of nitrogen concentration in plasma, above which intensive porosity formation in forming particles stops

  16. Apparatus for reading and recharging condenser ionization chambers

    International Nuclear Information System (INIS)

    McCall, R.C.

    1977-01-01

    A metering circuit for a condenser ionization chamber is disclosed for simultaneously recharging the ionization chamber and reading out the amount of charge required to recharge the chamber. During the recharging process, the amount of charge necessary to recharge the ionization chamber capacitor is placed on an integrating capacitor in the metering apparatus. The resultant voltage across the integrating capacitor is a measure of the radiation to which the ionization chamber was exposed. 9 claims, 1 figure

  17. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  18. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  19. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  20. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  1. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  2. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  3. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  4. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  5. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  6. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  7. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  8. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  9. Plasma igniter for internal combustion engine

    Science.gov (United States)

    Fitzgerald, D. J.; Breshears, R. R. (Inventor)

    1978-01-01

    An igniter for the air/fuel mixture used in the cylinders of an internal combustion engine is described. A conventional spark is used to initiate the discharge of a large amount of energy stored in a capacitor. A high current discharge of the energy in the capacitor switched on by a spark discharge produces a plasma and a magnetic field. The resultant combined electromagnetic current and magnetic field force accelerates the plasma deep into the combustion chamber thereby providing an improved ignition of the air/fuel mixture in the chamber.

  10. Experimental demonstration of ion extraction from magnetic thrust chamber for laser fusion rocket

    Science.gov (United States)

    Saito, Naoya; Yamamoto, Naoji; Morita, Taichi; Edamoto, Masafumi; Nakashima, Hideki; Fujioka, Shinsuke; Yogo, Akifumi; Nishimura, Hiroaki; Sunahara, Atsushi; Mori, Yoshitaka; Johzaki, Tomoyuki

    2018-05-01

    A magnetic thrust chamber is an important system of a laser fusion rocket, in which the plasma kinetic energy is converted into vehicle thrust by a magnetic field. To investigate the plasma extraction from the system, the ions in a plasma are diagnosed outside the system by charge collectors. The results clearly show that the ion extraction does not strongly depend on the magnetic field strength when the energy ratio of magnetic field to plasma is greater than 4.3, and the magnetic field pushes back the plasma to generate a thrust, as previously suggested by numerical simulation and experiments.

  11. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  12. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  13. Tokamak DEMO-FNS: Concept of magnet system and vacuum chamber

    Energy Technology Data Exchange (ETDEWEB)

    Azizov, E. A., E-mail: Azizov-EA@nrcki.ru; Ananyev, S. S. [National Research Center Kurchatov Institute (Russian Federation); Belyakov, V. A.; Bondarchuk, E. N.; Voronova, A. A. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); Golikov, A. A. [National Research Center Kurchatov Institute (Russian Federation); Goncharov, P. R. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Dnestrovskij, A. Yu. [National Research Center Kurchatov Institute (Russian Federation); Zapretilina, E. R. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); Ivanov, D. P. [National Research Center Kurchatov Institute (Russian Federation); Kavin, A. A.; Kedrov, I. V. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); Klischenko, A. V.; Kolbasov, B. N. [National Research Center Kurchatov Institute (Russian Federation); Krasnov, S. V. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); Krylov, A. I. [National Research Center Kurchatov Institute (Russian Federation); Krylov, V. A.; Kuzmin, E. G. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); Kuteev, B. V. [National Research Center Kurchatov Institute (Russian Federation); Labusov, A. N. [D.V. Efremov Scientific Research Institute of Electrophysical Apparatus (Russian Federation); and others

    2016-12-15

    The level of knowledge accumulated to date in the physics and technologies of controlled thermonuclear fusion (CTF) makes it possible to begin designing fusion—fission hybrid systems that would involve a fusion neutron source (FNS) and which would admit employment for the production of fissile materials and for the transmutation of spent nuclear fuel. Modern Russian strategies for CTF development plan the construction to 2023 of tokamak-based demonstration hybrid FNS for implementing steady-state plasma burning, testing hybrid blankets, and evolving nuclear technologies. Work on designing the DEMO-FNS facility is still in its infancy. The Efremov Institute began designing its magnet system and vacuum chamber, while the Kurchatov Institute developed plasma-physics design aspects and determined basic parameters of the facility. The major radius of the plasma in the DEMO-FNS facility is R = 2.75 m, while its minor radius is a = 1 m; the plasma elongation is k{sub 95} = 2. The fusion power is P{sub FUS} = 40 MW. The toroidal magnetic field on the plasma-filament axis is B{sub t0} = 5 T. The plasma current is I{sub p} = 5 MA. The application of superconductors in the magnet system permits drastically reducing the power consumed by its magnets but requires arranging a thick radiation shield between the plasma and magnet system. The central solenoid, toroidal-field coils, and poloidal-field coils are manufactured from, respectively, Nb{sub 3}Sn, NbTi and Nb{sub 3}Sn, and NbTi. The vacuum chamber is a double-wall vessel. The space between the walls manufactured from 316L austenitic steel is filled with an iron—water radiation shield (70% of stainless steel and 30% of water).

  14. Vacuum Chamber for the Booster Bending Magnets

    CERN Multimedia

    CERN PhotoLab

    1974-01-01

    To minimize eddy currents, induced by the rising magnetic field, the chamber was made from thin stainless steel of high specific electric resistance. For mechanical stength, it was corrugated in a hydro-forming process. The chamber is curved, to follow the beam's orbital path. Under vacuum, the chamber tends to staighten, the ceramic spacer along half of its length keeps it in place (see also 7402458).

  15. Process Diagnostics and Monitoring Using the Multipole Resonance Probe (MRP)

    Science.gov (United States)

    Harhausen, J.; Awakowicz, P.; Brinkmann, R. P.; Foest, R.; Lapke, M.; Musch, T.; Mussenbrock, T.; Oberrath, J.; Ohl, A.; Rolfes, I.; Schulz, Ch.; Storch, R.; Styrnoll, T.

    2011-10-01

    In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. Funded by the German Ministry for Education and Research (BMBF, Fkz. 13N10462).

  16. Plasma-focused cyclic accelerators

    International Nuclear Information System (INIS)

    Mondelli, A.A.; Chernin, D.P.

    1985-01-01

    The use of ambient plasma to neutralize the transverse forces of an intense particle beam has been known for many years. Most recently, the so-called ion-focused regime (IFR) for beam propagation has been used as a means of focusing intense electron beams in linear accelerators and suggested for injecting an electron beam across magnetic field lines into a high-current cyclic accelerator. One technique for generating the required background plasma for IFR propagation is to use a laser to ionize ambient gas in the accelerator chamber. This paper discusses an alternative means of plasma production for IFR, viz. by using RF breakdown. For this approach the accelerator chamber acts as a waveguide. This technique is not limited to toroidal accelerators. It may be applied to any accelerator or recirculator geometry as well as for beam steering and for injection or extraction of beams in closed accelerator configurations

  17. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  18. A small flat fission chamber

    International Nuclear Information System (INIS)

    Li Yijun; Wang Dalun; Chen Suhe

    1999-01-01

    With fission materials of depleted uranium, natural uranium, enriched uranium, 239 Pu, and 237 Np, the authors have designed and made a series of small flat fission chamber. The authors narrated the construction of the fission chamber and its technological process of manufacture, and furthermore, the authors have measured and discussed the follow correct factor, self-absorption, boundary effect, threshold loss factor, bottom scatter and or so

  19. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  20. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  1. Performance of the front-end signal processing electronics for the drift chambers of the Stanford Large Detector

    International Nuclear Information System (INIS)

    Honma, A.; Haller, G.M.; Usher, T.; Shypit, R.

    1990-10-01

    This paper reports on the performance of the front-end analog and digital signal processing electronics for the drift chambers of the Stanford Large Detector (SLD) detector at the Stanford Linear Collider. The electronics mounted on printed circuit boards include up to 64 channels of transimpedance amplification, analog sampling, A/D conversion, and associated control circuitry. Measurements of the time resolution, gain, noise, linearity, crosstalk, and stability of the readout electronics are described and presented. The expected contribution of the electronics to the relevant drift chamber measurement resolutions (i.e., timing and charge division) is given

  2. Micromachined filter-chamber array with passive valves for biochemical assays on beads.

    Science.gov (United States)

    Andersson, H; van der Wijngaart, W; Stemme, G

    2001-01-01

    The filter-chamber array presented here enables a real-time parallel analysis of three different samples on beads in a volume of 3 nL, on a 1 cm2 chip. The filter-chamber array is a system containing three filter-chambers, three passive valves at the inlet channels and a common outlet. The design enables parallel sample handling and time-controlled analysis. The device is microfabricated in silicon and sealed with a Pyrex lid to enable real-time analysis. Single nucleotide polymorphism analysis by using pyrosequencing has successfully been performed in single filter-chamber devices. The passive valves consist of plasma-deposited octafluorocyclobutane and show a much higher resistance towards water and surface-active solutions than previous hydrophobic patches. The device is not sensitive to gas bubbles, clogging is rare and reversible, and the filter-chamber array is reusable. More complex (bio)chemical reactions on beads can be performed in the devices with passive valves than in the devices without valves.

  3. Plasma metallization

    International Nuclear Information System (INIS)

    Crowther, J.M.

    1997-09-01

    Many methods are currently used for the production of thin metal films. However, all of these have drawbacks associated with them, for example the need for UHV conditions, high temperatures, exotic metal precursors, or the inability to coat complex shaped objects. Reduction of supported metal salts by non-isothermal plasma treatment does not suffer from these drawbacks. In order to produce and analyse metal films before they become contaminated, a plasma chamber which could be attached directly to a UHV chamber with XPS capability was designed and built. This allowed plasma treatment of supported metal salts and surface analysis by XPS to be performed without exposure of the metal film to the atmosphere. Non-equilibrium plasma treatment of Nylon 66 supported gold(lll) chloride using hydrogen as the feed gas resulted in a 95% pure gold film, the remaining 5% of the film being carbon. If argon or helium were used as the feed gases during plasma treatment the resultant gold films were 100% pure. Some degree of surface contamination of the films due to plasma treatment was observed but was easily removed by argon ion cleaning. Hydrogen plasma reduction of glass supported silver(l) nitrate and palladium(ll) acetate films reveals that this metallization technique is applicable to a wide variety of metal salts and supports, and has also shown the ability of plasma reduction to retain the complex 'fern-like' structures seen for spin coated silver(l) nitrate layers. Some metal salts are susceptible to decomposition by X-rays. The reduction of Nylon 66 supported gold(lll) chloride films by soft X-rays to produce nanoscopic gold particles has been studied. The spontaneous reduction of these X-ray irradiated support gold(lll) chloride films on exposure to the atmosphere to produce gold rich metallic films has also been reported. (author)

  4. ANAEROBIC DIGESTION MODEL ANALYSIS OF THE FERMENTATION PROCESS IN PSYCHROPHILIC AND MESOPHILIC CHAMBER IN ACCORDANCE WITH THE AMOUNT OF BIOGAS SOURCED

    Directory of Open Access Journals (Sweden)

    Dariusz Zdebik

    2015-03-01

    Full Text Available The paper presents problems concerning the modelling of anaerobic sludge stabilization, with the additional substrate (waste transported, dairy butchery sewage in psychrophilic fermentation conditions in the range 10–20 °C and mesophilic at 35 °C. Simulation test was conducted in the two digesters. Results of the study allowed to evaluate the effectiveness of conducting these processes in separate chambers, i.e. the psychrophilic and mesophilic chamber. During the simulations, terms of obtaining volatile fatty acids and biogas in conjunction with the operating conditions of the chambers indicated.

  5. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  6. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  7. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  8. Plasma edge and plasma-wall interaction modelling: Lessons learned from metallic devices

    Directory of Open Access Journals (Sweden)

    S. Wiesen

    2017-08-01

    Full Text Available Robust power exhaust schemes employing impurity seeding are needed for target operational scenarios in present day tokamak devices with metallic plasma-facing components (PFCs. For an electricity-producing fusion power plant at power density Psep/R>15MW/m divertor detachment is a requirement for heat load mitigation. 2D plasma edge transport codes like the SOLPS code as well as plasma-wall interaction (PWI codes are key to disentangle relevant physical processes in power and particle exhaust. With increased quantitative credibility in such codes more realistic and physically sound estimates of the life-time expectations and performance of metallic PFCs can be accomplished for divertor conditions relevant for ITER and DEMO. An overview is given on the recent progress of plasma edge and PWI modelling activities for (carbon-free metallic devices, that include results from JET with the ITER-like wall, ASDEX Upgrade and Alcator C-mod. It is observed that metallic devices offer an opportunity to progress the understanding of underlying plasma physics processes in the edge. The validation of models can be substantially improved by eliminating carbon from the experiment as well as from the numerical system with reduced degrees of freedom as no chemical sputtering from amorphous carbon layers and no carbon or hydro-carbon transport are present. With the absence of carbon as the primary plasma impurity and given the fact that the physics of the PWI at metallic walls is less complex it is possible to isolate the crucial plasma physics processes relevant for particle and power exhaust. For a reliable 2D dissipative plasma exhaust model these are: cross-field drifts, complete kinetic neutral physics, geometry effects (including main-chamber, divertor and sub-divertor structures, SOL transport reflecting also the non-diffusive nature of anomalous transport, as well as transport within the pedestal region in case of significant edge impurity radiation

  9. Plasma confinement system and methods for use

    Science.gov (United States)

    Jarboe, Thomas R.; Sutherland, Derek

    2017-09-05

    A plasma confinement system is provided that includes a confinement chamber that includes one or more enclosures of respective helicity injectors. The one or more enclosures are coupled to ports at an outer radius of the confinement chamber. The system further includes one or more conductive coils aligned substantially parallel to the one or more enclosures and a further set of one or more conductive coils respectively surrounding portions of the one or more enclosures. Currents may be provided to the sets of conductive coils to energize a gas within the confinement chamber into a plasma. Further, a heat-exchange system is provided that includes an inner wall, an intermediate wall, an outer wall, and pipe sections configured to carry coolant through cavities formed by the walls.

  10. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  11. Linear and nonlinear ion beam instabilities in a double plasma device

    International Nuclear Information System (INIS)

    Lee, S.G.; Diebold, D.; Hershkowitz, N.

    1994-01-01

    Ion beam instabilities in the double plasma device DOLI-1 were found to be quite sensitive to the difference between the source and target chamber plasma potentials when those potentials were within an electron temperature T e /e or so of each other. When the target chamber plasma potential of DOLI-1 was ≤ T e /e more positive than the source chamber plasma potential, a global ion beam-ion beam instability was observed. On the other hand, when the maximum target potential was between approximately 0.5 T e /e and 2.0 T e /e below the source potential, an ion-ion beam instability and a soliton associated with it were observed. This soliton is unique in that it is not launched but rather is self generated by the plasma and beam. When the target potential was less than source potential by more than two or so T e /e, the plasma was quite quiescent, which allowed small amplitude wave packet launched by Langmuir probe to be detected

  12. Application of EB welding to fabrication of vacuum chamber of heliotron E

    International Nuclear Information System (INIS)

    Kita, Hisanao; Wada, Tatsuji; Nakazaki, Takamitsu; Akutsu, Yoji; Kazawa, Yoshiaki

    1981-01-01

    Heliotron E, which is a large-scaled device of Heliotron series, is in operation in Kyoto University. Target plasma parameters of this machine are as follows; plasma density 1 x 10 20 m -3 , electron temperature 1 keV, ion temperature 0.8 keV and n tau 10 18 -- 10 19 sec/m 3 . Plasma confining magnetic fields are produced by the helical coil current. To assure the accuracy of the helical coils, the high level of accuracy was required to the profile of the vacuum chamber. The vacuum chamber twists around the torus by 9.5 times and its poloidal cross section shows a race-track shape in any toroidal position. Major radius is 2200 mm and minor radius is 215.5 mm. The material is the high-strength non-magnetic steel which has low permeability (μ = 40 kgf/mm 2 ) and special chemical composition (25Cr-12Ni-0.3N). The thickness of the wall is from 20 to 33 mm. To make the vacuum chamber, 190 pieces were joined together by EB welding after the hot press forming. A giant apparatus (6.5 m x 6.5 m x 3.5 m) was prepared and applied and a special re-focusing coil was used for the long beam welding. The profile accuracy of the vacuum chamber has been controlled as follows; major radius +-3 mm, minor radius sub(-1.5)sup(+4.5)mm, where minus means the inner side. X-ray and dye-penetrant quality of all welds was acceptable for ASME pressure vessel code and JIS. The laekage was less than 1.3 x 10 -8 Torr. 1/sec. The final pressure is 2 x 10 -8 Torr. (author)

  13. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  14. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  15. Rf probe technology for the next generation of technological plasmas

    International Nuclear Information System (INIS)

    Law, V.J.; Kenyon, A.J.; Thornhill, N.F.; Seeds, A.J.; Batty, I.

    2001-01-01

    We describe radio frequency (rf) analysis of technological plasmas at the 13.56 MHz fundamental drive frequency and integer narrow-band harmonics up to n = 9. In particular, we demonstrate the use of harmonic amplitude information as a process end-point diagnostic. Using very high frequency (vhf) techniques, we construct non-invasive ex situ remote-coupled probes: a diplexer, an equal-ratio-arm bridge, and a dual directional coupler used as a single directional device. These probes bolt into the plasma-tool 50 Ω transmission-line between the rf generator and matching network, and hence do not require modification of the plasma tool. The 50 Ω probe environment produces repeatable measurements of the chamber capacitance and narrow-band harmonic amplitude with an end-point detection sensitivity corresponding to a 2 dB change in the harmonic amplitude with the removal of 1 cm 2 of photoresist. The methodology and design of an instrument for the measurement of the plasma-tool frequency response, and the plasma harmonic amplitude and phase response are examined. The instrument allows the monitoring of the plasma phase delay, plasma-tool short- and long-term ageing, and process end-point prediction. (author)

  16. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  17. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  18. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  19. Experimental investigations of plasma lens focusing and plasma channel transport of heavy ion beams

    International Nuclear Information System (INIS)

    Tauschwitz, T.; Yu, S.S.; Eylon, S.; Reginato, L.; Leemans, W.; Rasmussen, J.O.; Bangerter, R.O.

    1995-04-01

    Final focusing of ion beams and propagation in a reactor chamber are crucial questions for heavy ion beam driven Fusion. An alternative solution to ballistic quadrupole focusing, as it is proposed in most reactor studies today, is the utilization of the magnetic field produced by a high current plasma discharge. This plasma lens focusing concept relaxes the requirements for low emittance and energy spread of the driver beam significantly and allows to separate the issues of focusing, which can be accomplished outside the reactor chamber, and of beam transport inside the reactor. For focusing a tapered wall-stabilized discharge is proposed, a concept successfully demonstrated at GSI, Germany. For beam transport a laser pre-ionized channel can be used

  20. Dependence of plasma characteristics on dc magnetron sputter parameters

    International Nuclear Information System (INIS)

    Wu, S.Z.

    2005-01-01

    Plasma discharge characteristics of a dc magnetron system were measured by a single Langmuir probe at the center axis of the dual-side process chamber. Plasma potential, floating potential, electron and ion densities, and electron temperature were extracted with varying dc power and gas pressure during sputter deposition of a metal target; strong correlations were shown between these plasma parameters and the sputter parameters. The electron density was controlled mostly by secondary electron generation in constant power mode, while plasma potential reflects the confinement space variation due to change of discharge voltage. When discharge pressure was varied, plasma density increases with the increased amount of free stock molecules, while electron temperature inversely decreased, due to energy-loss collision events. In low-pressure discharges, the electron energy distribution function measurements show more distinctive bi-Maxwellian distribution, with the fast electron temperature gradually decreases with increased gas pressure

  1. Modeling of plasma chemical processes in the artificial ionized layer in the upper atmosphere by the nanosecond corona discharge

    Science.gov (United States)

    Vikharev, A. L.; Gorbachev, A. M.; Ivanov, O. A.; Kolisko, A. L.; Litvak, A. G.

    1993-08-01

    The plasma chemical processes in the corona discharge formed in air by a series of high voltage pulses of nanosecond duration are investigated experimentally. The experimental conditions (reduced electric field, duration and repetition frequency of the pulses, gas pressure in the chamber) modeled the regime of creation of the artificial ionized layer (AIL) in the upper atmosphere by a nanosecond microwave discharge. It was found that in a nanosecond microwave discharge predominantly generation of ozone occurs, and that the production of nitrogen dioxide is not large. The energy expenditures for the generation of one O 3 molecule were about 15 eV. On the basis of the experimental results the prognosis of the efficiency of ozone generation in AIL was made.

  2. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  3. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  4. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  5. The HelCat basic plasma science device

    Science.gov (United States)

    Gilmore, M.; Lynn, A. G.; Desjardins, T. R.; Zhang, Y.; Watts, C.; Hsu, S. C.; Betts, S.; Kelly, R.; Schamiloglu, E.

    2015-01-01

    The Helicon-Cathode(HelCat) device is a medium-size linear experiment suitable for a wide range of basic plasma science experiments in areas such as electrostatic turbulence and transport, magnetic relaxation, and high power microwave (HPM)-plasma interactions. The HelCat device is based on dual plasma sources located at opposite ends of the 4 m long vacuum chamber - an RF helicon source at one end and a thermionic cathode at the other. Thirteen coils provide an axial magnetic field B >= 0.220 T that can be configured individually to give various magnetic configurations (e.g. solenoid, mirror, cusp). Additional plasma sources, such as a compact coaxial plasma gun, are also utilized in some experiments, and can be located either along the chamber for perpendicular (to the background magnetic field) plasma injection, or at one of the ends for parallel injection. Using the multiple plasma sources, a wide range of plasma parameters can be obtained. Here, the HelCat device is described in detail and some examples of results from previous and ongoing experiments are given. Additionally, examples of planned experiments and device modifications are also discussed.

  6. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  7. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  8. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  9. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  10. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  11. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  12. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  13. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  14. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  15. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  16. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  17. Recent developments in wire chamber tracking at SSC

    International Nuclear Information System (INIS)

    Ogren, H.

    1990-01-01

    All of the major SSC proposed detectors use wire chambers in their tracking systems. The feasibility of wire chambers in an SSC detector has now been established by a number of groups planning detectors at SSC. The major advances during the past year in understanding straw tube drift chambers are presented and several innovations in gaseous wire chambers are discussed. The R and D section will concentrate on progress in drift cell design, electronics and signal processing, and engineering aspects of the tracking designs

  18. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  19. Realistic modeling of chamber transport for heavy-ion fusion

    International Nuclear Information System (INIS)

    Sharp, W.M.; Grote, D.P.; Callahan, D.A.; Tabak, M.; Henestroza, E.; Yu, S.S.; Peterson, P.F.; Welch, D.R.; Rose, D.V.

    2003-01-01

    Transport of intense heavy-ion beams to an inertial-fusion target after final focus is simulated here using a realistic computer model. It is found that passing the beam through a rarefied plasma layer before it enters the fusion chamber can largely neutralize the beam space charge and lead to a usable focal spot for a range of ion species and input conditions

  20. Preliminary Calculation for Plasma Chamber Design of Pulsed Electron Source Based on Plasma

    International Nuclear Information System (INIS)

    Widdi Usada

    2009-01-01

    This paper described the characteristics of pulsed electron sources with anode-cathode distance of 5 cm, electrode diameter of 10 cm, driven by capacitor energy of 25 J. The preliminary results showed that if the system is operated with diode resistance is 1.6 Ω, plasma resistance is 0.14 Ω, and β is 0.94, the achieved of plasma voltage is 640 V, its current is 4.395 kA with its pulse width of 0.8 μsecond. According to breakdown voltage based on Paschen empirical formula, with this achieved voltage, this system could be operated for operation pressure of 1 torr. (author)

  1. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  2. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  3. Track photographing in 8-m streamer chamber

    International Nuclear Information System (INIS)

    Anisimova, N.Z.; Davidenko, V.A.; Kantserov, V.A.; Rybakov, V.G.; Somov, S.V.

    1981-01-01

    A system for obtaining data from a streamer chamber intended for measuring muon polarization is described. An optical scheme for photographing of tracks in the chamber is given. The photographing process is complicated at the expense of large dimensions and module structure of the chamber as well as due to insufficient for direct photographing brightness of streamers. The system described was tested during a long time in a physical experiment. More than 100 thousand photos have been taken by its means [ru

  4. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  5. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  6. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  7. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  8. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  9. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  10. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  11. The Honeycomb Strip Chamber

    International Nuclear Information System (INIS)

    Graaf, Harry van der; Buskens, Joop; Rewiersma, Paul; Koenig, Adriaan; Wijnen, Thei

    1991-06-01

    The Honeycomb Strip Chamber (HSC) is a new position sensitive detector. It consists of a stack of folded foils, forming a rigid honeycomb structure. In the centre of each hexagonal cell a wire is strung. Conducting strips on the foils, perpendicular to the wires, pick up the induced avalanche charge. Test results of a prototype show that processing the signals form three adjacent strips nearest to the track gives a spatial resolution better than 64 μm for perpendicular incident tracks. The chamber performance is only slightly affected by a magnetic field. (author). 25 refs.; 21 figs

  12. Ionization chamber

    International Nuclear Information System (INIS)

    Jilbert, P.H.

    1975-01-01

    The invention concerns ionization chambers with particular reference to air-equivalent ionization chambers. In order to ensure that similar chambers have similar sensitivities and responses the surface of the chamber bounding the active volume carries a conducting material, which may be a colloidal graphite, arranged in the form of lines so that the area of the conducting material occupies only a small proportion of the area of said surface. (U.S.)

  13. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  14. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  15. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  16. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  17. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  18. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  19. Cloud chamber photographs of the cosmic radiation

    CERN Document Server

    Rochester, George Dixon

    1952-01-01

    Cloud Chamber Photographs of the Cosmic Radiation focuses on cloud chamber and photographic emulsion wherein the tracks of individual subatomic particles of high energy are studied. The publication first offers information on the technical features of operation and electrons and cascade showers. Discussions focus on the relationship in time and space of counter-controlled tracks; techniques of internal control of the cloud chamber; cascade processes with artificially-produced electrons and photons; and nuclear interaction associated with an extensive shower. The manuscript then elaborates on

  20. USE OF GROWTH CHAMBERS FOR CABBAGE BREEDING

    Directory of Open Access Journals (Sweden)

    L. L. Bondareva

    2014-01-01

    Full Text Available Use of the growth chambers for cabbage breeding allows the reducing of certain stages of the breeding process and the growing biennial varieties of cabbage in a one-year cycle. In these growth chambers, the nutritional conditions, temperature, and lighting of plants are under control; the open pollination is eliminated.

  1. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  2. Glove box chamber

    International Nuclear Information System (INIS)

    Cox, M.E.; Cox, M.E.

    1975-01-01

    An environmental chamber is described which enables an operator's hands to have direct access within the chamber without compromising a special atmosphere within such chamber. A pair of sleeves of a flexible material are sealed to the chamber around associated access apertures and project outwardly from such chamber. Each aperture is closed by a door which is openable from within the sleeve associated therewith so that upon an operator inserting his hand and arm through the sleeve, the operator can open the door to have access to the interior of the chamber. A container which is selectively separable from the remainder of the chamber is also provided to allow objects to be transferred from the chamber without such objects having to pass through the ambient atmosphere. An antechamber permitting objects to be passed directly into the chamber from the ambient atmosphere is included. (auth)

  3. Plasma characteristics of a high power helicon discharge

    International Nuclear Information System (INIS)

    Ziemba, T; Euripides, P; Slough, J; Winglee, R; Giersch, L; Carscadden, J; Schnackenberg, T; Isley, S

    2006-01-01

    A new high power helicon (HPH) plasma system has been designed to provide input powers of several tens of kilowatts to produce a large area (0.5 m 2 ) of uniform high-density, of at least 5 x 10 17 m -3 , plasma downstream from the helicon coil. Axial and radial plasma characteristics show that the plasma is to a lesser extent created in and near the helicon coil and then is accelerated into the axial and equatorial regions. The bulk acceleration of the plasma is believed to be due to a coupling of the bulk of the electrons to the helicon field, which in turn transfers energy to the ions via ambipolar diffusion. The plasma beta is near unity a few centimetres away from the HPH system and Bdot measurements show ΔB perturbations in the order of the vacuum magnetic field magnitude. In the equatorial region, a magnetic separatrix is seen to develop roughly at the mid-point between the helicon and chamber wall. The magnetic perturbation develops on the time scale of the plasma flow speed and upon the plasma reaching the chamber wall decays to the vacuum magnetic field configuration within 200 μs

  4. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  5. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  6. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  7. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  8. wire chamber

    CERN Multimedia

    Proportional multi-wire chamber. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle. Proportional wire chambers allow a much quicker reading than the optical or magnetoscriptive readout wire chambers.

  9. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  10. Ultra-low mass drift chambers

    Science.gov (United States)

    Assiro, R.; Cappelli, L.; Cascella, M.; De Lorenzis, L.; Grancagnolo, F.; Ignatov, F.; L'Erario, A.; Maffezzoli, A.; Miccoli, A.; Onorato, G.; Perillo, M.; Piacentino, G.; Rella, S.; Rossetti, F.; Spedicato, M.; Tassielli, G.; Zavarise, G.

    2013-08-01

    We present a novel low mass drift chamber concept, developed in order to fulfill the stringent requirements imposed by the experiments for extremely rare processes, which require high resolutions (order of 100-200 keV/c) for particle momenta in a range (50-100 MeV/c) totally dominated by the multiple scattering contribution. We describe a geometry optimization procedure and a new wiring strategy with a feed-through-less wire anchoring system developed and tested on a drift chamber prototype under completion at INFN-Lecce .

  11. Plasma control and utilization

    International Nuclear Information System (INIS)

    Ensley, D.L.

    1976-01-01

    A plasma is confined and heated by a microwave field resonant in a cavity excited in a combination of the TE and TM modes while responding to the resonant frequency of the cavity as the plasma dimensions change to maintain operation at resonance. The microwave field is elliptically or circularly polarized as to prevent the electromagnetic confining field from going to zero. A high Q chamber having superconductive walls is employed to minimize wall losses while providing for extraction of thermonuclear energy produced by fusion of nuclei in the plasma. 24 claims, 15 figures

  12. Test chamber

    NARCIS (Netherlands)

    Leferink, Frank Bernardus Johannes

    2009-01-01

    A test chamber for measuring electromagnetic radiation emitted by an apparatus to be tested or for exposing an apparatus to be tested to an electromagnetic radiation field. The test chamber includes a reverberation chamber made of a conductive tent fabric. To create a statistically uniform field in

  13. Numerical simulation of thermal-hydraulic processes in the riser chamber of installation for clinker production

    Directory of Open Access Journals (Sweden)

    Borsuk Grzegorz

    2016-03-01

    Full Text Available Clinker burning process has a decisive influence on energy consumption and the cost of cement production. A new problem is to use the process of decarbonization of alternative fuels from waste. These issues are particularly important in the introduction of a two-stage combustion of fuel in a rotary kiln without the typical reactor-decarbonizator. This work presents results of numerical studies on thermal-hydraulic phenomena in the riser chamber, which will be designed to burn fuel in the system where combustion air is supplied separately from the clinker cooler. The mathematical model is based on a combination of two methods of motion description: Euler description for the gas phase and Lagrange description for particles. Heat transfer between particles of raw material and gas was added to the numerical calculations. The main aim of the research was finding the correct fractional distribution of particles. For assumed particle distribution on the first stage of work, authors noted that all particles were carried away by the upper outlet to the preheater tower, what is not corresponding to the results of experimental studies. The obtained results of calculations can be the basis for further optimization of the design and operating conditions in the riser chamber with the implementation of the system.

  14. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  15. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  16. Characteristics of the Plasma Source for Ground Ionosphere Simulation Surveyed by Disk-Type Langmuir Probe

    Science.gov (United States)

    Ryu, Kwangsun; Lee, Junchan; Kim, Songoo; Chung, Taejin; Shin, Goo-Hwan; Cha, Wonho; Min, Kyoungwook; Kim, Vitaly P.

    2017-12-01

    A space plasma facility has been operated with a back-diffusion-type plasma source installed in a mid-sized vacuum chamber with a diameter of 1.5 m located in Satellite Technology Research Center (SaTReC), Korea Advanced Institute of Science and Technology (KAIST). To generate plasma with a temperature and density similar to the ionospheric plasma, nickel wires coated with carbonate solution were used as filaments that emit thermal electrons, and the accelerated thermal electrons emitted from the heated wires collide with the neutral gas to form plasma inside the chamber. By using a disk-type Langmuir probe installed inside the vacuum chamber, the generation of plasma similar to the space environment was validated. The characteristics of the plasma according to the grid and plate anode voltages were investigated. The grid voltage of the plasma source is realized as a suitable parameter for manipulating the electron density, while the plate voltage is suitable for adjusting the electron temperature. A simple physical model based on the collision cross-section of electron impact on nitrogen molecule was established to explain the plasma generation mechanism.

  17. Laboratory and Cloud Chamber Studies of Formation Processes and Properties of Atmospheric Ice Particles

    Science.gov (United States)

    Leisner, T.; Abdelmonem, A.; Benz, S.; Brinkmann, M.; Möhler, O.; Rzesanke, D.; Saathoff, H.; Schnaiter, M.; Wagner, R.

    2009-04-01

    The formation of ice in tropospheric clouds controls the evolution of precipitation and thereby influences climate and weather via a complex network of dynamical and microphysical processes. At higher altitudes, ice particles in cirrus clouds or contrails modify the radiative energy budget by direct interaction with the shortwave and longwave radiation. In order to improve the parameterisation of the complex microphysical and dynamical processes leading to and controlling the evolution of tropospheric ice, laboratory experiments are performed at the IMK Karlsruhe both on a single particle level and in the aerosol and cloud chamber AIDA. Single particle experiments in electrodynamic levitation lend themselves to the study of the interaction between cloud droplets and aerosol particles under extremely well characterized and static conditions in order to obtain microphysical parameters as freezing nucleation rates for homogeneous and heterogeneous ice formation. They also allow the observation of the freezing dynamics and of secondary ice formation and multiplication processes under controlled conditions and with very high spatial and temporal resolution. The inherent droplet charge in these experiments can be varied over a wide range in order to assess the influence of the electrical state of the cloud on its microphysics. In the AIDA chamber on the other hand, these processes are observable under the realistic dynamic conditions of an expanding and cooling cloud- parcel with interacting particles and are probed simultaneously by a comprehensive set of analytical instruments. By this means, microphysical processes can be studied in their complex interplay with dynamical processes as for example coagulation or particle evaporation and growth via the Bergeron - Findeisen process. Shortwave scattering and longwave absorption properties of the nucleating and growing ice crystals are probed by in situ polarised laser light scattering measurements and infrared extinction

  18. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  19. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  20. Chamber transport of ''foot'' pulses for heavy-ion fusion

    Energy Technology Data Exchange (ETDEWEB)

    Sharp, W.M.; Callahan-Miller, D.A.; Tabak, M.; Yu, S.S.; Peterson, P.F.

    2002-02-20

    Indirect-drive targets for heavy-ion fusion must initially be heated by ''foot'' pulses that precede the main heating pulses by tens of nanoseconds. These pulses typically have a lower energy and perveance than the main pulses, and the fusion-chamber environment is different from that seen by later pulses. The preliminary particle-in-cell simulations of foot pulses here examine the sensitivity of the beam focusing to ion-beam perveance, background-gas density, and pre-neutralization by a plasma near the chamber entry port.

  1. Induction plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Bae, K. K.; Lee, J. W.; Kim, T. K.; Yang, M. S.

    1998-01-01

    A study on induction plasma deposition with ceramic materials, yttria-stabilized-zirconia ZrO 2 -Y 2 O 3 (m.p. 2640 degree C), was conducted with a view of developing a new method for nuclear fuel fabrication. Before making dense pellets of more than 96%T.D., the spraying condition was optimized through the process parameters, such as chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position, particle size and powders of different morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed a 97.11% theoretical density when the sheath gas flow rate was Ar/H 2 120/20 l/min, probe position 8cm, particle size -75 μm and spraying distance 22cm by AMDRY146 powder. The degree of influence of the main effects on density were powder morphology, particle size, sheath gas composition, plate power and spraying distance, in that order. Among the two parameter interactions, the sheath gas composition and chamber pressure affects density greatly. By using the multi-pellets mold of wheel type, the pellet density did not exceed 94%T.D., owing to the spraying angle

  2. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  3. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  4. Protective plasma envelope

    International Nuclear Information System (INIS)

    Bocharov, V.N.; Konstantinov, S.G.; Kudryavtsev, A.M.; Myskin, O.K.; Panasyuk, V.M.; Tsel'nik, F.A.

    1984-06-01

    A method of creating an annular plasma envelope used to protect the hot plasma from flows of impurities and gases from the walls of the vacuum chamber is described. The diameter of the envelope is 30 cm, the thickness of the wall is 1.5 cm, the length is 2.5 m, and its density is from 10 13 to 10 14 cm -3 . The envelope attenuates the incident (from outside) flow of helium 10-fold and the low of hydrogen 20-fold

  5. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  6. Emission of SNF-oscillations by the plasma - periodic decelerating structure system

    International Nuclear Information System (INIS)

    Antonov, A.N.; Gestrina, G.N.; Kovpik, O.F.; Kornilov, E.A.; Moiseev, S.S.

    1983-01-01

    Emission of SHF-oscillations by a magnetoactive plasma inside a decelerating structure (annular waveguide), which is excited by an electron beam, has been studied. The electron beam is formed by a diode electron gun. Pulse duration was 400 μs, beam energy = 10 keV, current - up to 5 A. The beam 1.8 cm in diameter is injected into a glass interaction chamber. The chamber diameter is 20 cm, the length is 1 m. The interaction chamber and electron gun chamber were placed in a homogeneous magnetic field with intensity up to 2.5x10 5 axm -1 . The periodic deceleration structure was located in the interaction chamber coaxially with the electron beam. The structure total length was 40 cm. The working gas, argon, was fed into the structure through a needle injector. It is shown that the three-dimensional waves appearing in the plasma can be transformed by the structure to those emited without plasma density gradients and magnetic field. Conditions of effective separation of the energy of SHF-oscillations from the system: plasm-beam-narrow-slit decelerating structure are found. The above system can be used for amplification and generation of monochromatic oscillations in the millimeter waves range. Results of experimental studies are compared with theoretical calculations

  7. Product surface hardening in non-self-sustained glow discharge plasma before synthesis of superhard coatings

    International Nuclear Information System (INIS)

    Krasnov, P S; Metel, A S; Nay, H A

    2017-01-01

    Before the synthesis of superhard coating, the product surface is hardened by means of plasma nitriding, which prevents the surface deformations and the coating brittle rupture. The product heating by ions accelerated from plasma by applied to the product bias voltage leads to overheating and blunting of the product sharp edges. To prevent the blunting, it is proposed to heat the products with a broad beam of fast nitrogen molecules. The beam injection into a working vacuum chamber results in filling of the chamber with quite homogeneous plasma suitable for nitriding. Immersion in the plasma of the electrode and heightening of its potential up to 50–100 V initiate a non-self-sustained glow discharge between the electrode and the chamber. It enhances the plasma density by an order of magnitude and reduces its spatial nonuniformity down to 5–10%. When a cutting tool is isolated from the chamber, it is bombarded by plasma ions with an energy corresponding to its floating potential, which is lower than the sputtering threshold. Hence, the sharp edges are sputtered only by fast nitrogen molecules with the same rate as other parts of the tool surface. This leads to sharpening of the cutting tools instead of blunting. (paper)

  8. High-speed photography application to pulsed hot plasma investigation

    International Nuclear Information System (INIS)

    Borov'etskij, M.; Koz'yarkevich, V.; Skrzhechanovskij, V.; Socha, R.

    1986-01-01

    Plasma focus is investigated using an electron-optical chamber for high-speed photography (KSK-1). Experimental devices for studying dynamics and structure of a plasma layer in the chosen interval, recording plasma spectra with time resolution as well as for studying the dynamics and structure of a plasma layer by Schlieren- and shadow methods are briefly described. Experimental results are presented

  9. Ultra-low mass drift chambers

    International Nuclear Information System (INIS)

    Assiro, R.; Cappelli, L.; Cascella, M.; De Lorenzis, L.; Grancagnolo, F.; Ignatov, F.; L'Erario, A.; Maffezzoli, A.; Miccoli, A.; Onorato, G.; Perillo, M.; Piacentino, G.; Rella, S.; Rossetti, F.; Spedicato, M.; Tassielli, G.

    2013-01-01

    We present a novel low mass drift chamber concept, developed in order to fulfill the stringent requirements imposed by the experiments for extremely rare processes, which require high resolutions (order of 100–200 keV/c) for particle momenta in a range (50–100 MeV/c) totally dominated by the multiple scattering contribution. We describe a geometry optimization procedure and a new wiring strategy with a feed-through-less wire anchoring system developed and tested on a drift chamber prototype under completion at INFN-Lecce

  10. Hall effect thruster with an AlN chamber

    International Nuclear Information System (INIS)

    Barral, S.; Jayet, Y.; Mazouffre, S.; Veron, E.; Echegut, P.; Dudeck, M.

    2005-01-01

    The plasma discharge of a Hall-effect thruster (SPT) is strongly depending of the plasma-insulated wall interactions. These interactions are mainly related to the energy deposition, potential sheath effect and electron secondary emission rate (e.s.e.). In usual SPT, the annular channel is made of BN-SiO 2 . The SPT100-ML (laboratory model will be tested with an AlN chamber in the French test facility Pivoine in the laboratoire d'Aerothermique (Orleans-France). The different parameters such as discharge current, thrust, plasma oscillations and wall temperature will studied for several operating conditions. The results will be compared with a fluid model developed in IPPT (Warsaw-Poland) taking into account electron emission from the internal and external walls and using previous experimental measurements of e.s.e. for AlN from ONERA (Toulouse-France). The surface state of AlN will be analysed before and after experiments by an Environmental Scanning Electron Microscope and by a Strength Electron Microscope. (author)

  11. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  12. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  13. A study on the particle melting by plasma spraying

    International Nuclear Information System (INIS)

    Jung, In Ha; Ji, C. G.; Bae, S. O.; Yoon, J. H.; Kwon, H. I.

    2001-12-01

    As a preliminary study for fabricating a thick and dense free standing type deposit, powder melting studies were carried out. Various morphologies and sizes of powder having the same chemical compositions were applied in particle melting experiments with varying systematic parameters. Through the study of powder melting by inductively coupled plasma, we can conclude as followings: Argon-hydrogen plasma gas with a higher plasma power gave good quality of splats and shown a higher density with a higher build-up rate. Reproducibility of the experiments appeared in the range of 99%. Degree of particle melting and its density just before impinging played a predominant role in the density of a deposit. Chamber pressure has an effect on degree of deformation of the splats, i.e. on the particle momentum. Completely melted particle showed a high deformation appearance. Build-up rate had a relation with a fraction of the fully melted particle, and this also closely associates with productivity and economical efficiency. For increasing the fraction of the fully melted particle, either increasing the power or limiting the particle size was recommended. Mean pore size and its distribution of a deposit seemed to have a relation with a viscosity of the melted powder, i.e. particle temperature, and also with a chamber pressure and spraying distances. Particle temperature may be governed by a plasma power, plasma gas property, probe position, and spraying distance in the present experimental range. Some results might be appeared with mutual interactions of the effects, for example, particle residence time and momentum with chamber pressure, particle temperature with chamber pressure, spraying distance and its size

  14. A study on the particle melting by plasma spraying

    Energy Technology Data Exchange (ETDEWEB)

    Jung, In Ha; Ji, C. G.; Bae, S. O.; Yoon, J. H.; Kwon, H. I

    2001-12-01

    As a preliminary study for fabricating a thick and dense free standing type deposit, powder melting studies were carried out. Various morphologies and sizes of powder having the same chemical compositions were applied in particle melting experiments with varying systematic parameters. Through the study of powder melting by inductively coupled plasma, we can conclude as followings: Argon-hydrogen plasma gas with a higher plasma power gave good quality of splats and shown a higher density with a higher build-up rate. Reproducibility of the experiments appeared in the range of 99%. Degree of particle melting and its density just before impinging played a predominant role in the density of a deposit. Chamber pressure has an effect on degree of deformation of the splats, i.e. on the particle momentum. Completely melted particle showed a high deformation appearance. Build-up rate had a relation with a fraction of the fully melted particle, and this also closely associates with productivity and economical efficiency. For increasing the fraction of the fully melted particle, either increasing the power or limiting the particle size was recommended. Mean pore size and its distribution of a deposit seemed to have a relation with a viscosity of the melted powder, i.e. particle temperature, and also with a chamber pressure and spraying distances. Particle temperature may be governed by a plasma power, plasma gas property, probe position, and spraying distance in the present experimental range. Some results might be appeared with mutual interactions of the effects, for example, particle residence time and momentum with chamber pressure, particle temperature with chamber pressure, spraying distance and its size.

  15. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  16. Comparison of hollow cathode discharge plasma configurations

    International Nuclear Information System (INIS)

    Farnell, Casey C; Farnell, Cody C; Williams, John D

    2011-01-01

    Hollow cathodes used in plasma contactor and electric propulsion devices provide electrons for sustaining plasma discharges and enabling plasma bridge neutralization. Life tests show erosion on hollow cathodes exposed to the plasma environment produced in the region downstream of these devices. To explain the observed erosion, plasma flow field measurements are presented for hollow cathode generated plasmas using both directly immersed probes and remotely located plasma diagnostics. Measurements on two cathode discharge configurations are presented: (1) an open, no magnetic field configuration and (2) a setup simulating the discharge chamber environment of an ion thruster. In the open cathode configuration, large amplitude plasma potential oscillations, ranging from 20 to 85 V within a 34 V discharge, were observed using a fast response emissive probe. These oscillations were observed over a dc potential profile that included a well-defined potential hill structure. A remotely located electrostatic analyzer (ESA) was used to measure the energy of ions produced within the plasma, and energies were detected that met, and in some cases exceeded, the peak oscillatory plasma potentials detected by the emissive probe. In the ion thruster discharge chamber configuration, plasma potentials from the emissive probe again agreed with ion energies recorded by the remotely located ESA; however, much lower ion energies were detected compared with the open configuration. A simplified ion-transit model that uses temporal and spatial plasma property measurements is presented and used to predict far-field plasma streaming properties. Comparisons between the model and remote measurements are presented.

  17. Multicusp plasma containment apparatus

    International Nuclear Information System (INIS)

    Limpaecher, R.

    1980-01-01

    It has been discovered that plasma containment by a chamber having multi-pole magnetic cusp reflecting walls in combination with electronic injection for electrostatic containment provides the means for generating magnetic field free quiescent plasmas for practical application in ion-pumps, electronic switches, and the like. 1250 ''alnico v'' magnets 1/2 '' X 1/2 '' X 1 1/2 '' provide containment in one embodiment. Electromagnets embodying toroidal funneling extend the principle to fusion apparatus

  18. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  19. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  20. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  1. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  2. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  3. Plasma-Induced, Self-Masking, One-Step Approach to an Ultrabroadband Antireflective and Superhydrophilic Subwavelength Nanostructured Fused Silica Surface.

    Science.gov (United States)

    Ye, Xin; Shao, Ting; Sun, Laixi; Wu, Jingjun; Wang, Fengrui; He, Junhui; Jiang, Xiaodong; Wu, Wei-Dong; Zheng, Wanguo

    2018-04-25

    In this work, antireflective and superhydrophilic subwavelength nanostructured fused silica surfaces have been created by one-step, self-masking reactive ion etching (RIE). Bare fused silica substrates with no mask were placed in a RIE vacuum chamber, and then nanoscale fluorocarbon masks and subwavelength nanostructures (SWSs) automatically formed on these substrate after the appropriate RIE plasma process. The mechanism of plasma-induced self-masking SWS has been proposed in this paper. Plasma parameter effects on the morphology of SWS have been investigated to achieve perfect nanocone-like SWS for excellent antireflection, including process time, reactive gas, and pressure of the chamber. Optical properties, i.e., antireflection and optical scattering, were simulated by the finite difference time domain (FDTD) method. Calculated data agree well with the experiment results. The optimized SWS show ultrabroadband antireflective property (up to 99% from 500 to 1360 nm). An excellent improvement of transmission was achieved for the deep-ultraviolet (DUV) range. The proposed low-cost, highly efficient, and maskless method was applied to achieve ultrabroadband antireflective and superhydrophilic SWSs on a 100 mm optical window, which promises great potential for applications in the automotive industry, goggles, and optical devices.

  4. Propulsion of plasma by magnetic means

    Energy Technology Data Exchange (ETDEWEB)

    Bostick, W H [Stevens Institute of Technology, Hoboken, NJ (United States)

    1958-07-01

    It has been demonstrated that a small button gun can project plasma consisting of metallic ions, deuterium ions, and electrons at speeds up to 2 x 10{sup 7} cm/sec. These speeds are measured in a vacuum chamber by time-of-flight methods, using a probe and an oscilloscope. From the point of view of projecting high speed plasma in a given direction these button guns suffer from the certain weaknesses. A more efficient arrangement is to accelerate a sample of plasma by passing a current through the plasma as it rides on rails. Series plasma motor and a combination series-shunt plasma motor are analysed.

  5. Measurement of toroidal plasma current in RF heated helical plasmas

    International Nuclear Information System (INIS)

    Besshou, Sakae

    1993-01-01

    This report describes the measurement of toroidal plasma current by a semiflexible Rogowski coil in a helical vacuum chamber. A Rogowski coil measures the toroidal plasma current with a resolution of 0.1 kA, frequency range of up to 1 kHz and sensitivity of 6.5 x 10 -9 V · s/A. We measured the spontaneous toroidal plasma current (from -1.2 to +1.2 kA) under electron cyclotron resonance heating at 0.94 T toroidal field in the Heliotron-E device. We found that the measured direction of toroidal plasma current changes its sign as in the predicted behavior of a neoclassical diffusion-driven bootstrap current, depending on the horizontal position of the plasma column. We explain the observed plasma currents in terms of the compound phenomenon of an ohmic current and a neoclassical diffusion-driven current. The magnitude of the neoclassical current component is smaller than the value predicted by a collisionless neoclassical theory. (author)

  6. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  7. An amplitude modulated radio frequency plasma generator

    Science.gov (United States)

    Lei, Fan; Li, Xiaoping; Liu, Yanming; Liu, Donglin; Yang, Min; Xie, Kai; Yao, Bo

    2017-04-01

    A glow discharge plasma generator and diagnostic system has been developed to study the effects of rapidly variable plasmas on electromagnetic wave propagation, mimicking the plasma sheath conditions encountered in space vehicle reentry. The plasma chamber is 400 mm in diameter and 240 mm in length, with a 300-mm-diameter unobstructed clear aperture. Electron densities produced are in the mid 1010 electrons/cm3. An 800 W radio frequency (RF) generator is capacitively coupled through an RF matcher to an internally cooled stainless steel electrode to form the plasma. The RF power is amplitude modulated by a waveform generator that operates at different frequencies. The resulting plasma contains electron density modulations caused by the varying power levels. A 10 GHz microwave horn antenna pair situated on opposite sides of the chamber serves as the source and detector of probe radiation. The microwave power feed to the source horn is split and one portion is sent directly to a high-speed recording oscilloscope. On mixing this with the signal from the pickup horn antenna, the plasma-induced phase shift between the two signals gives the path-integrated electron density with its complete time dependent variation. Care is taken to avoid microwave reflections and extensive shielding is in place to minimize electronic pickup. Data clearly show the low frequency modulation of the electron density as well as higher harmonics and plasma fluctuations.

  8. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  9. Plasma characteristics of a high power helicon discharge

    Energy Technology Data Exchange (ETDEWEB)

    Ziemba, T; Euripides, P; Slough, J; Winglee, R; Giersch, L; Carscadden, J; Schnackenberg, T; Isley, S [Box 351310, University of Washington, Seattle WA, 98195 (United States)

    2006-08-01

    A new high power helicon (HPH) plasma system has been designed to provide input powers of several tens of kilowatts to produce a large area (0.5 m{sup 2}) of uniform high-density, of at least 5 x 10{sup 17} m{sup -3}, plasma downstream from the helicon coil. Axial and radial plasma characteristics show that the plasma is to a lesser extent created in and near the helicon coil and then is accelerated into the axial and equatorial regions. The bulk acceleration of the plasma is believed to be due to a coupling of the bulk of the electrons to the helicon field, which in turn transfers energy to the ions via ambipolar diffusion. The plasma beta is near unity a few centimetres away from the HPH system and Bdot measurements show {delta}B perturbations in the order of the vacuum magnetic field magnitude. In the equatorial region, a magnetic separatrix is seen to develop roughly at the mid-point between the helicon and chamber wall. The magnetic perturbation develops on the time scale of the plasma flow speed and upon the plasma reaching the chamber wall decays to the vacuum magnetic field configuration within 200 {mu}s.

  10. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  11. Xenon bubble chamber image processing on the POISK installation in the man-machine dialog regime

    International Nuclear Information System (INIS)

    Avdeev, N.F.; Barylov, V.G.; Volkov, G.A.; Demidov, V.S.; Kalinina, G.V.; Kobzarev, K.K.; Sokolov, M.M.

    1980-01-01

    Procedure for image processing of xenon bubble chamber at POISK facilities operating in the dialog regime with the BESM-4 and BESM-6 computers is stated. Primary functions of the BESM-4 computer are step-by-step observations for the measurement process and control for experimental data transmitted by the communication line. The mathematical processing of events or massives of events is accomplished by the head BESM-6 computer. To realize the dialog regime of the facility operation with the BESM-4 and BESM-6 computers used are four VT-430 displays. This permits to exchange massives of alphabetic-numeric data of considerable volume with the computers. Directives and commands used with operators of the facilities during the work at the display keyboard are given [ru

  12. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  13. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  14. The Mobile Chamber

    Science.gov (United States)

    Scharfstein, Gregory; Cox, Russell

    2012-01-01

    A document discusses a simulation chamber that represents a shift from the thermal-vacuum chamber stereotype. This innovation, currently in development, combines the capabilities of space simulation chambers, the user-friendliness of modern-day electronics, and the modularity of plug-and-play computing. The Mobile Chamber is a customized test chamber that can be deployed with great ease, and is capable of bringing payloads at temperatures down to 20 K, in high vacuum, and with the desired metrology instruments integrated to the systems control. Flexure plans to lease Mobile Chambers, making them affordable for smaller budgets and available to a larger customer base. A key feature of this design will be an Apple iPad-like user interface that allows someone with minimal training to control the environment inside the chamber, and to simulate the required extreme environments. The feedback of thermal, pressure, and other measurements is delivered in a 3D CAD model of the chamber's payload and support hardware. This GUI will provide the user with a better understanding of the payload than any existing thermal-vacuum system.

  15. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  16. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  17. Plasma treatment for producing electron emitters

    Science.gov (United States)

    Coates, Don Mayo; Walter, Kevin Carl

    2001-01-01

    Plasma treatment for producing carbonaceous field emission electron emitters is disclosed. A plasma of ions is generated in a closed chamber and used to surround the exposed surface of a carbonaceous material. A voltage is applied to an electrode that is in contact with the carbonaceous material. This voltage has a negative potential relative to a second electrode in the chamber and serves to accelerate the ions toward the carbonaceous material and provide an ion energy sufficient to etch the exposed surface of the carbonaceous material but not sufficient to result in the implantation of the ions within the carbonaceous material. Preferably, the ions used are those of an inert gas or an inert gas with a small amount of added nitrogen.

  18. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  19. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  20. Diagnostics of discharge channels for neutralized chamber transport in heavy ion fusion

    International Nuclear Information System (INIS)

    Niemann, C.; Penache, D.; Tauschwitz, A.; Rosmej, F.B.; Neff, S.; Birkner, R.; Constantin, C.; Knobloch, R.; Presura, R.; Yu, S.S.; Sharp, W.M.; Ponce, D.M.; Hoffmann, D.H.H.

    2002-01-01

    The final beam transport in the reactor chamber for heavy ion fusion in preformed plasma channels offers many attractive advantages compared to other transport modes. In the past few years, experiments at the Gesellschaft fuer Schwerionenforschung (GSI) accelerator facility have addressed the creation and investigation of discharge plasmas, designed for the transport of intense ion beams. Stable, self-standing channels of 50 cm length with currents up to 55 kA were initiated in low-pressure ammonia gas by a CO 2 -laser pulse along the channel axis before the discharge is triggered. The channels were characterized by several plasma diagnostics including interferometry and spectroscopy. We also present first experiments on laser-guided intersecting discharges

  1. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  2. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  3. INVESTIGATION OF MAIN-CHAMBER AND DIVERTOR RECYCING IN DIII-D USING TANGENTIALLY VIEWING CID CAMERAS

    International Nuclear Information System (INIS)

    GROTH, M.; PORTER, G.D.; PETRIE, T.W.; FENSTERMACHER, M.E.; BROOKS, N.H.

    2003-01-01

    OAK-B135 Measurements of the D α emission profiles from the divertor and main chamber region in DIII-D, performed in low-density L-mode, and low and high-density ELMy H-mode plasmas imply that core plasma fueling occurs through the divertor channel. Emission profiles of carbon, combined with UEDGE modeling of the L-mode plasmas, also suggests that chemical sputtering of carbon from the flux surface adjacent to the inner divertor walls, and temperature gradient forces in the scrape-off layer, determine the carbon content of the inner scrape-off layer

  4. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  5. Multiple chamber ionization detector

    International Nuclear Information System (INIS)

    Solomon, E.E.

    1980-01-01

    A multi-chambered ionisation detector enables the amount of radiation entering each chamber from a single radioactive, eg β, source to be varied by altering the proportion of the source protruding into each chamber. Electrodes define chambers and an extended radioactive source is movable to alter the source length in each chamber. Alternatively, the source is fixed relative to outer electrodes but the central electrode may be adjusted by an attached support altering the chamber dimensions and hence the length of source in each. Also disclosed are a centrally mounted source tiltable towards one or other chamber and a central electrode tiltable to alter chamber dimensions. (U.K.)

  6. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  7. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  8. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  9. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  10. Dispersion relation of test waves in an electron beam plasma system

    International Nuclear Information System (INIS)

    Hayashi, N.; Tanaka, M.; Shinohara, S.; Kawai, Y.

    1994-01-01

    Test waves are propagated in an electron beam plasma system and the dispersion relation is measured. At the center of the experimental region a beam mode is excited. Near the chamber wall an electron plasma wave is excited and propagates from the chamber wall to the center of the experimental region. It is also found that observed unstable waves are standing wave which is formed by superposing the beam modes propagating in the opposite directions each other. (author). 6 refs, 6 figs

  11. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  12. The use of cold plasma generators in medicine

    Directory of Open Access Journals (Sweden)

    Kolomiiets R.O.

    2017-04-01

    Full Text Available Cold plasma treatment of wounds is a modern area of therapeutic medicine. We describe the physical mechanisms of cold plasma, the principles of therapeutic effects and design of two common types of cold plasma generators for medical use. This work aims at disclosing the basic principles of construction of cold atmospheric plasma generators in medicine and prospects for their further improvement. The purpose of this work is to improve the existing cold atmospheric plasma generators for use in medical applications. Novelty of this work consists in the application of new principles of construction of cold atmospheric plasmas medical apparatus, namely the combination of the gas discharge chamber, electrodes complex shape forming device and plasma flow in a single package. This helps to achieve a significant reduction in the size of the device, and a discharge chamber design change increases the therapeutic effect. The design of cold atmospheric plasma generator type «pin-to-hole», which is able to control parameters using the plasma current (modulation fluctuations in the primary winding and mechanically (using optional rotary electrode. It is also possible to combine some similar generators in the set, which will increase the surface area of the plasma treatment. We consider the basic principles of generating low atmospheric plasma flow, especially the formation of the plasma jet, changing its shape and modulation stream. The features of cold plasma generator design and information about prospects for further application, and opportunities for further improvement are revealed. The recommendations for further use of cold atmospheric plasma generators in medicine are formulated.

  13. Doriot Climatic Chambers

    Data.gov (United States)

    Federal Laboratory Consortium — The Doriot Climatic Chambers are two, 60-feet long, 11-feet high, 15-feet wide chambers that are owned and operated by NSRDEC. The Doriot Climatic Chambers are among...

  14. ELM-Induced Plasma Wall Interactions in DIII-D

    International Nuclear Information System (INIS)

    Rudakov, D.L.; Boedo, J.A.; Yu, J.H.; Brooks, N.H.; Fenstermacher, M.E.; Groth, M.; Hollmann, E.M.; Lasnier, C.J.; McLean, A.G.; Moyer, R.A.; Stangeby, P.C.; Tynan, G.R.; Wampler, W.R.; Watkins, J.G.; West, W.P.; Wong, C.C.; Zeng, L.; Bastasz, R.J.; Buchenauer, D.; Whaley, J.

    2008-01-01

    Intense transient fluxes of particles and heat to the main chamber components induced by edge localized modes (ELMs) are of serious concern for ITER. In DIII-D, plasma interaction with the outboard chamber wall is studied using Langmuir probes and optical diagnostics including a fast framing camera. Camera data shows that ELMs feature helical filamentary structures localized at the low field side of the plasma and aligned with the local magnetic field. During the nonlinear phase of an ELM, multiple filaments are ejected from the plasma edge and propagate towards the outboard wall with velocities of 0.5-0.7 km/s. When reaching the wall, filaments result in 'hot spots'--regions of local intense plasma-material interaction (PMI) where the peak incident particle and heat fluxes are up to 2 orders of magnitude higher than those between ELMs. This interaction pattern has a complicated geometry and is neither toroidally nor poloidally symmetric. In low density/collisionality H-mode discharges, PMI at the outboard wall is almost entirely due to ELMs. In high density/collisionality discharges, contributions of ELMs and inter-ELM periods to PMI at the wall are comparable. A Midplane Material Evaluation Station (MiMES) has been recently installed in order to conduct in situ measurements of erosion/redeposition at the outboard chamber wall, including those caused by ELMs

  15. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  16. Ionization chamber

    International Nuclear Information System (INIS)

    1977-01-01

    An improved ionization chamber type X-ray detector comprises a heavy gas at high pressure disposed between an anode and a cathode. An open grid structure is placed next to the anode and is maintained at a voltage intermediate between the cathode and anode potentials. The electric field which is produced by positive ions drifting towards the cathode is thus shielded from the anode. Current measuring circuits connected to the anode are, therefore, responsive only to electron current flow within the chamber and the recovery time of the chamber is shortened. The grid structure also serves to shield the anode from electrical currents which might otherwise be induced by mechanical vibrations in the ionization chamber structure

  17. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  18. Design of a scanning probe microscope with advanced sample treatment capabilities: An atomic force microscope combined with a miniaturized inductively coupled plasma source

    International Nuclear Information System (INIS)

    Hund, Markus; Herold, Hans

    2007-01-01

    We describe the design and performance of an atomic force microscope (AFM) combined with a miniaturized inductively coupled plasma source working at a radio frequency of 27.12 MHz. State-of-the-art scanning probe microscopes (SPMs) have limited in situ sample treatment capabilities. Aggressive treatments such as plasma etching or harsh treatments such as etching in aggressive liquids typically require the removal of the sample from the microscope. Consequently, time consuming procedures are required if the same sample spot has to be imaged after successive processing steps. We have developed a first prototype of a SPM which features a quasi in situ sample treatment using a modified commercial atomic force microscope. A sample holder is positioned in a special reactor chamber; the AFM tip can be retracted by several millimeters so that the chamber can be closed for a treatment procedure. Most importantly, after the treatment, the tip is moved back to the sample with a lateral drift per process step in the 20 nm regime. The performance of the prototype is characterized by consecutive plasma etching of a nanostructured polymer film

  19. Spectroscopic validation of the supersonic plasma jet model

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Sember, V.; Gravelle, D.V.; Boulos, M.I.

    2002-01-01

    Optical emission spectroscopy is applied to validate numerical simulations of supersonic plasma flow generated by induction torch with a convergent-divergent nozzle. The plasmas exhausting from the discharge tube with the pressure 0.4-1.4 atm. through two nozzle configurations (the outlet Mach number equals 1.5 and 3) into low-pressure (1.8 kPa) chamber are compared. Both modelling and experiments show that the effect of the nozzle geometry on physical properties of plasma jet is significant. The profiles of electron number density obtained from modeling and spectroscopy agree well and show the deviations from local thermodynamic equilibrium. Analysis of intercoupling between different sorts of nonequilibrium processes is performed. The results reveal that the ion recombination is more essential in the nozzle with the higher outlet number than in the nozzle with the lower outlet number. It is demonstrated that in the jets the axial electron temperature is quite low (3000-8000 K). For spectroscopic data interpretation we propose a method based on the definition of two excitation temperatures. We suppose that in mildly under expanded argon jets with frozen ion recombination the electron temperature can be defined by the electronic transitions from level 5p (the energy E=14.5 eV) to level 4p (E=13.116 eV). The obtained results are useful for the optimization of plasma reactors for plasma chemistry and plasma processing applications. (author)

  20. Evaluation of thermal stress in the anode chamber wall of a large volume magnetic bucket ion source

    International Nuclear Information System (INIS)

    Wells, Russell; Horiike, Hiroshi; Kuriyama, Masaaki; Ohara, Yoshihiro

    1984-02-01

    Thermal stress analysis was performed on the plasma chamber of the Large Volume Magnetic Multipole Bucket Ion Source (LVB) designed for use on the JT-60 NBI system. The energy absorbed by the walls of the plasma chambers of neutral beam injectors is of the order of 1% of the accelerator electrical drain power. A previous study indicates that a moderately high heat flux, of about 600W/cm 2 , is concentrated on the magnetic field cusp lines during normal full power operation. Abnormal arc discharges during conditioning of a stainless steel LVB produced localized melting of the stainless steel at several locations near the cusps lines. The power contained in abnormal arc discharges (arc spots) was estimated from the observed melting. Thermal stress analysis was performed numerically on representative sections of the copper LVB design for both stable and abnormal arc discharge conditions. Results show that this chamber should not fail due to thermal fatigue stesses arising from normal arc discharges. However, fatigue failure may occur after several hundred to a few thousand arc spots of 30mS duration at any one location. Limited arc discharge operation of the copper bucket was performed to partially verify the chamber's durability. (author)

  1. ECR plasma diagnostics with Langmuir probe

    International Nuclear Information System (INIS)

    Kenez, L.; Biri, S.; Valek, A.

    2000-01-01

    Complete text of publication follows. An Electron Cyclotron Resonance (ECR) Ion Source is a tool to generate highly charged ions. The ion beam is extracted from the plasma chamber of the ECRIS. Higher charge states and beam intensities are the main objectives of ECR research. The heart of an ion source is the confined plasma which should be well known to reach those objectives. Information about the plasma can be obtained by plasma diagnostics methods. Langmuir probes were successfully used in case of other plasmas, e.g. TOKAMAK. Until last year plasma diagnostics at the ATOMKI ECRIS was performed by X-ray and visible light measurements. While X-ray measurements give global information, the Langmuir probe method can give information on the local plasma parameters. This is an advantage because the local parameters are not known in detail. By Langmuir probe measurements it is possible to get information on plasma density, plasma potential and partly on the electron temperature. From the experimental point of view a Langmuir probe is very simple. However, the precise positioning of the probe in the plasma chamber (HV platform, strong magnetic field, RF waves) is a difficult task. Also the theory of probes is complicated: the ECR plasma is a special one because the confining magnetic field is inhomogeneous, beside hot electrons it contains cold ions with different charge states and it is heated with high frequency EM waves. What can be measured with a probe is a voltage-current (U-I) characteristics. Figure 1 shows a typical U-I curve measured in our lab. As it can be seen in the figure the diagram has three main parts. An ion saturation current region (I.), an electron saturation current region (III.) and a transition region (II.) between them. These measurements were performed using two different power supplies to bias the probe to positive and negative voltage. To perform more precise U-I measurements we need a special power supply which is presently being built in

  2. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  3. A feature of negative hydrogen ion production in the Uramoto-type sheet plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jimbo, Kouichi [Kyoto Univ., Uji (Japan). Inst. of Atomic Energy

    1997-02-01

    It seems that negative hydrogen ions H{sup -} are formed directly from atomic hydrogens H. When the chamber was biased more negative against the anode potential at constant are power, forming a much deeper electrostatic well in the Uramoto-type sheet plasma negative ion source, more negative hydrogen ion currents were extracted. The chamber potential V{sub B} was biased down to -100V in the 150V discharge. The negative ion current J{sup -} was evaluated by the JAERI-probe measurement. J{sup -} increases linearly with the chamber current I{sub B}. The largest J{sup -} value was obtained at absolute value of |V{sub prob,f}|=15V and absolute value of |V{sub B}|=100V; the discharge was not operated for absolute value of |V{sub B}|>100V. We speculate the following collisional (three-body) electron attachment to H as a possible production process for H{sup -}; e+e+H{yields}e+H{sup -}. This process may explain the linear increase of J{sup -} with absolute value of |V{sub prob,f}|. (S.Y.)

  4. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  5. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  6. Laboratory Studies on the Charging of Dust Grains in a Plasma

    Science.gov (United States)

    Xu, Wenjun

    1993-01-01

    The charging of dust grains by the surrounding plasma is studied in a dusty plasma device (DPD) (Xu, W., B. Song, R. L. Merlino, and N. D'Angelo, Rev. Sci. Instrum., 63, 5266, 1992). The dusty plasma device consists of a rotating-drum dust dispersal device used in conjunction with an existing Q-machine, to produce extended, steady state, magnetized plasma columns. The dust density in the dust chamber is controlled by the drum rotation speed. The device is capable of generating a dusty plasma in which as much as about 90% of the negative charge is attached to the dust grains of 1-10mu m size. Measurements of the dust parameter eta, the percentage of negative charge on free electrons in the dusty plasma, are presented. The dust parameter eta is found to depend on the rotational speed of the dust chamber, plasma density and the type and size of different dust. The dust parameter eta is calculated from a pair of Langmuir curves taken with and without dust under the same conditions. The operation of the dust chamber as described above has been confirmed by the agreement between the measurements of eta and the direct mechanical measurements consisting of weighing dust samples collected within the rotation dust chamber, at different rotation rates. By varying the ratio d/lambda_ {rm D} between the intergrain distance and the plasma Debye length, the effects predicted by Goertz and Ip (Goertz, C. K., and W-H. Ip, Geophys. Res. Lett., 11, 349, 1984), and subsequently reanalyzed in a more general fashion by Whipple et al. (Whipple, E. C., T. G. Northrop, and D. A. Mendis, J. Geophys. Rev., 90, 7405, 1985), as "isolated" dust grains become "closely packed" grains, have been demonstrated experimentally (Xu, W., N. D'Angelo, and R. L. Merlino, J. Geophys. Rev., 98, 7843, 1993). Similar results are presented and compared for two types of dust, kaolin and Al_2O _3, which have been studied in the experiment.

  7. Observation of plasma-facing-wall via high dynamic range imaging

    International Nuclear Information System (INIS)

    Villamayor, Michelle Marie S.; Rosario, Leo Mendel D.; Viloan, Rommel Paulo B.

    2013-01-01

    Pictures of plasmas and deposits in a discharge chamber taken by varying shutter speeds have been integrated into high dynamic range (HDR) images. The HDR images of a graphite target surface of a compact planar magnetron (CPM) discharge device have clearly indicated the erosion pattern of the target, which are correlated to the light intensity distribution of plasma during operation. Based upon the HDR image technique coupled to colorimetry, a formation history of dust-like deposits inside of the CPM chamber has been recorded. The obtained HDR images have shown how the patterns of deposits changed in accordance with discharge duration. Results show that deposition takes place near the evacuation ports during the early stage of the plasma discharge. Discoloration of the plasma-facing-walls indicating erosion and redeposition eventually spreads at the periphery after several hours of operation. (author)

  8. Study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    International Nuclear Information System (INIS)

    Wright, K.H. Jr.

    1988-02-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory

  9. Bioelectricity generation using two chamber microbial fuel cell treating wastewater from food processing.

    Science.gov (United States)

    Mansoorian, Hossein Jafari; Mahvi, Amir Hossein; Jafari, Ahmad Jonidi; Amin, Mohammad Mehdi; Rajabizadeh, Ahmad; Khanjani, Narges

    2013-05-10

    Electricity generation from microbial fuel cells which treat food processing wastewater was investigated in this study. Anaerobic anode and aerobic cathode chambers were separated by a proton exchange membrane in a two-compartment MFC reactor. Buffer solutions and food industry wastewater were used as electrolytes in the anode and cathode chambers, respectively. The produced voltage and current intensity were measured using a digital multimeter. Effluents from the anode compartment were tested for COD, BOD5, NH3, P, TSS, VSS, SO4 and alkalinity. The maximum current density and power production were measured 527mA/m(2) and 230mW/m(2) in the anode area, respectively, at operation organic loading (OLR) of 0.364g COD/l.d. At OLR of 0.182g COD/l.d, maximum voltage and columbic efficiency production were recorded 0.475V and 21%, respectively. Maximum removal efficiency of COD, BOD5, NH3, P, TSS, VSS, SO4 and alkalinity were 86, 79, 73, 18, 68, 62, 30 and 58%, respectively. The results indicated that catalysts and mediator-less microbial fuel cells (CAML-MFC) can be considered as a better choice for simple and complete energy conversion from the wastewater of such industries and also this could be considered as a new method to offset wastewater treatment plant operating costs. Copyright © 2013 Elsevier Inc. All rights reserved.

  10. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  11. Prototype Design of Plasma-Nitriding Apparatus for Components of Industries

    International Nuclear Information System (INIS)

    Bandriyana, B.; Tutun Nugraha; Silakhuddin

    2003-01-01

    An apparatus to carry-out plasma-nitriding surface treatment has been designed. The construction was planned as a prototype for a larger system at industrial scale. The design was based on a similar apparatus currently operating at the Accelerator Laboratory at the P3TM-BATAN, in Yogyakarta. The system consists of a main vacuum chamber from steel SS-304, 45 cm OD, 55 cm height and is equipped with a nitriding chamber in the inner part that also functions as a plasma container (Quartz, cylindrical, 38 cm OD, 40 cm height). The system utilized an anode-cathode pair to generate nitrogen plasma, as well as to accelerate and direct the positively-charged-plasma toward the surface of the material to be treated. The pressure inside the chamber is designed to be in the region of 10 -3 mb with a temperature between 350-590 o C. Pulsated DC high voltage can be set at 1-50 kV at a frequency between 100-1000 Hz and current 1- 50 mA. The safety and reliability features have been designed to obtain nitriding results that are in accordance with the required technical specification as well as economical constrain. It is hoped that this device can become a prototype for future development of an industrial scale plasma-nitriding apparatus. (author)

  12. Evacuation of the NET vacuum chamber

    International Nuclear Information System (INIS)

    Muller, R.A.

    1987-01-01

    Parametric calculations of the evacuation process were carried out for the NET-vacuum chamber involving two blanket designs. The results show that with an acceptable vacuum pumping capacity the required start vacuum conditions can be realized within reasonable time. The two blanket concepts do not differ remarkably in their evacuation behaviour. The remaining large pressure differences between the different locations of the vacuum chamber can be reduced if approximately 30% of the total gas flow is extracted from the heads of the blanket replacement ports

  13. Evacuation of the NET vacuum chamber

    International Nuclear Information System (INIS)

    Mueller, R.

    1986-01-01

    Parametric calculations of the evacuation process were carried out for the NET-vacuum chamber involving two blanket designs. The results show that with an acceptable vacuum pumping capacity the required start vacuum conditions can be realized within reasonable time. The two blanket concepts do not differ remarkably in their evacuation behaviour. The remaining large pressure differences between the different locations of the vacuum chamber can be reduced if approximately 30% of the total gas flow is extracted from the heads of the blanket replacement ports. (author)

  14. Ionization chamber circuit arrangement for counterbalancing long-term aging processes

    International Nuclear Information System (INIS)

    Fischer, H.; Goeldner, R.; Grosse, H.J.; Reinhardt, K.

    1985-01-01

    The described circuit arrangement changes the amplification of the output signal during the lifetime of the ionization chamber in such a way that the sensitivity of the detector becomes independent of the decreasing activity of the radiation source. It is suitable for ionization flue gas detectors

  15. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  16. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University.

    Science.gov (United States)

    Ren, H T; Peng, S X; Xu, Y; Zhao, J; Lu, P N; Chen, J; Zhang, A L; Zhang, T; Guo, Z Y; Chen, J E

    2014-02-01

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ&SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D(+), 10 mA of O(+), 10 mA of He(+), and 50 mA of H(+)). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  17. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Peng, S. X., E-mail: sxpeng@pku.edu.cn; Xu, Y.; Zhao, J.; Lu, P. N.; Chen, J.; Zhang, A. L.; Zhang, T.; Guo, Z. Y.; Chen, J. E. [State Key Laboratory of Nuclear Physics and Technology, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2014-02-15

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ and SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D{sup +}, 10 mA of O{sup +}, 10 mA of He{sup +}, and 50 mA of H{sup +}). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  18. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  19. Plasma property and performance prediction for mercury ion thrusters

    Science.gov (United States)

    Longhurst, G. R.; Wilbur, P. J.

    1979-01-01

    The discharge chambers of mercury ion thrusters are modelled so the principal effects and processes which govern discharge plasma properties and thruster performance are described. The conservation relations for mass, charge and energy when applied to the Maxwellian electron population in the ion production region yield equations which may be made one-dimensional by the proper choice of coordinates. Solutions to these equations with the appropriate boundary conditions give electron density and temperature profiles which agree reasonably well with measurements. It is then possible to estimate plasma properties from thruster design data and those operating parameters which are directly controllable. By varying the operating parameter inputs to the computer code written to solve these equations, perfromance curves are obtained which agree quite well with measurements.

  20. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  1. Thermal Plasma Decomposition Of Nickel And Cobalt Compounds

    Directory of Open Access Journals (Sweden)

    Woch M.

    2015-06-01

    Full Text Available The paper presents the study on manufacturing of nickel and cobalt powders by thermal plasma decomposition of the carbonates of these metals. It was shown the dependence of process parameters and grain size of initial powder on the composition of final product which was ether metal powder, collected in the container as well as the nanopowder with crystallite size of 70 - 90 nm, collected on the inner wall of the reaction chamber. The occurrence of metal oxides in the final products was confirmed and discussed.

  2. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  3. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  4. Plasma-Assisted ALD TiN/Al2O3 stacks for MIMIM Trench Capacitor Applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Voogt, F.C.; Besling, W.F.A.; Lamy, Y.; Roozeboom, F.; Sanden, van de M.C.M.; Kessels, W.M.M.; Gendt, de S.

    2009-01-01

    In this paper we report on the overall plasma-assisted ALD processes of Al2O3 and TiN conducted in a single reactor chamber and at a single temperature (340 oC). The individual Al2O3 and TiN films in the stack were consecutively deposited in such a way that they were separated by purge intervals

  5. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  6. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  7. Properties of low-pressure drift chambers

    International Nuclear Information System (INIS)

    Breskin, A.; Trautner, N.

    1976-01-01

    Drift chambers operated with methylal vapour or ethylene at pressures in the range of 10-110 torr are described. A systematic study of position resolution, pulse height and rise time shows that especially for ethylene they are strongly influenced by electron diffusion. Intrinsic position resolution was found to be at least as good as found at atmospheric pressure. A relative pulse height resolution of 10% was obtained with 5.5 MeV alpha-particles. A simple mathematical model which can describe the processes in the drift chamber is presented. (Auth.)

  8. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  9. NEG coating of the non-standard LSS vacuum chambers

    CERN Document Server

    Costa-Pinto, P

    2005-01-01

    The vacuum chambers of nearly all the warm magnets of the LHC (MBXW, MQW, MSI, MSD, etc…) will be coated with a Ti-Zr-V thin film by magnetron sputtering. The NEG coating is necessary to provide uniform pumping speed along the chambers and to suppress electron cloud instabilities and dynamic outgassing. The about 300 chambers will be coated using the existing facility, developed for the production of the standard LSS chambers, after minor modifications mainly due to the different cross sections. In order to cope with the present installation schedule, the production planning will allow processing of different families of chambers in parallel by using two or three coating systems simultaneously. After a brief introduction to the Ti-Zr-V characteristics and performances, the coating facility and strategy will be illustrated as well as the possible conflicts due to uncertainties in the planning of the experimental beam pipes and the standard LSS chambers.

  10. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  11. Plasma and neutralization effects: summary

    International Nuclear Information System (INIS)

    Tidman, D.A.

    1978-01-01

    The plasma working group considered the question of whether an intense heavy ion beam could be transported and accurately focussed across a target chamber radius of approximately 10 m on to a pellet of radius approximately 0.1 cm at the center of the chamber (a typical beam was taken as 3 kA, 40 GeV uranium injected into the reactor vessel with initial beam radius approximately 10 cm). Here we give a brief summary of our considerations. The conclusions were that focussing through relatively dense reactor chamber gases appears to be possible. Instabilities, if they arise, are expected only within the last few 10's of cm from the pellet, by which time they are unlikely to significantly degrade the beam focussing

  12. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  13. Peltier-based cloud chamber

    Science.gov (United States)

    Nar, Sevda Yeliz; Cakir, Altan

    2018-02-01

    Particles produced by nuclear decay, cosmic radiation and reactions can be identified through various methods. One of these methods that has been effective in the last century is the cloud chamber. The chamber makes visible cosmic particles that we are exposed to radiation per second. Diffusion cloud chamber is a kind of cloud chamber that is cooled by dry ice. This traditional model has some application difficulties. In this work, Peltier-based cloud chamber cooled by thermoelectric modules is studied. The new model provided uniformly cooled base of the chamber, moreover, it has longer lifetime than the traditional chamber in terms of observation time. This gain has reduced the costs which spent each time for cosmic particle observation. The chamber is an easy-to-use system according to traditional diffusion cloud chamber. The new model is portable, easier to make, and can be used in the nuclear physics experiments. In addition, it would be very useful to observe Muons which are the direct evidence for Lorentz contraction and time expansion predicted by Einsteins special relativity principle.

  14. A DOE/Fusion Energy Sciences Research/Education Program at PVAMU Study of Rotamak Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Tian-Sen [Prairie View A& M Univ., Prairie View, TX (United States); Saganti, Premkumar [Prairie View A& M Univ., Prairie View, TX (United States)

    2017-02-17

    During recent years (2004-2015), with DOE support, the PVAMU plasma research group accomplished new instrumentation development, conducted several new plasma experiments, and is currently poised to advance with standing-wave microwave plasma propulsion research. On the instrumentation development, the research group completed: (i) building a new plasma chamber with metal CF flanges, (ii) setting up of a 6kW/2450MHz microwave input system as an additional plasma heating source at our rotamak plasma facility, (iii) installation of one programmatic Kepco ATE 6-100DMG fast DC current supply system used in rotamak plasma shape control experiment, built a new microwave, standing-wave experiment chamber and (iv) established a new plasma lab with field reversal configuration capability utilizing 1MHz/200kW RF (radio frequency) wave generator. Some of the new experiments conducted in this period also include: (i) assessment of improved magnetic reconnection at field-reversed configuration (FRC) plasma, (ii) introduction of microwave heating experiments, and (iii) suppression of n = 1 tilt instability by one coil with a smaller current added inside the rotamak’s central pipe. These experiments led to publications in Physical Review Letters, Reviews of Scientific Instruments, Division of Plasma Physics (DPP) of American Physical Society (APS) Reports, Physics of Plasmas Controlled Fusion, and Physics of Plasmas (between 2004 and 2015). With these new improvements and advancements, we also initiated and accomplished design and fabrication of a plasma propulsion system. Currently, we are assembling a plasma propulsion experimental system that includes a 5kW helicon plasma source, a 25 cm diameter plasma heating chamber with 1MHz/200kW RF power rotating magnetic field, and a 60 cm diameter plasma exhaust chamber, and expect to achieve a plasma mass flow of 0.1g/s with 60km/s ejection. We anticipate several propulsion applications in near future as we advance our capabilities

  15. System of multiwire proportional chambers at the separated particle channel for the Mirabelle bubble chamber

    International Nuclear Information System (INIS)

    Bryukhanov, N.S.; Galyaev, N.A.; Kotov, V.I.; Prosin, B.V.; Romanov, Yu.A.; Khodyrev, Yu.S.

    1980-01-01

    A system of multiwire proportional chambers (MPC) operating on-line with a M-6000 computer used during tuning and monitoring of beam parameters in a separated particle channel for the Mirabelle bubble chamber is described. Peculiarities of the construction and main characteristics of two MPC versions are considered. The first version is intended for placing in a vacuum at the edges of high-frequency separator deflector, the second one - for placing outside a vacuum in ionoguide gaps. Power supply of the proportional chambers is carried out from local compact (160x95x50 mm) high-voltage sources remotely controlled from a channel panel by low voltage. A MPC position diagram in the accelerator channel, flowsheet of registering electronics for the MPC system, main circuits of high-voltage power source, analog-to-digital converter of a code and trunk amplifier are given. A graph of amplifier signal amplitude dependence on high voltage of a chamber for a different composition of a gaseous mixture is presented. It is noted that the used elements of the electronic system provide the reliable processing of data and its transmission for a great distance (approximately 400 m). It is underlined that the MPC system operation for a long time has shown its high efficiency and reliability

  16. A study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    Science.gov (United States)

    Wright, Kenneth Herbert, Jr.

    1988-01-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory.

  17. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  18. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  19. Lyophilization Cycle Design for Dual Chamber Cartridges and a Method for Online Process Control: The "DCC LyoMate" Procedure.

    Science.gov (United States)

    Korpus, Christoph; Friess, Wolfgang

    2017-08-01

    Freeze-drying process design is a challenging task that necessitates a profound understanding of the complex interrelation among critical process parameters (e.g., shelf temperature and chamber pressure), heat transfer characteristics of the involved materials (e.g., product containers and holder devices), and critical quality attributes of the product (e.g., collapse temperatures). The Dual Chamber Cartridge "(DCC) LyoMate" (from lyophilization and automated) is a manometric temperature measurement-based process control strategy that was developed within this study to streamline this complicated task. It was successfully applied using 5% sucrose formulations with 0.5 and 1 mL fill volumes. The system was further challenged using 2, 20, and 100 mg/mL monoclonal antibody formulations. The DCC LyoMate method did not only produce pharmaceutically acceptable cakes but was also able to maintain the desired product temperature irrespective of formulation and protein content. It enabled successful process design even at high protein concentrations and aided the design and online control of the lyophilization process for drying in DCCs within a single development run. Thus, it helps to reduce development cost and the DCC LyoMate can also be easily installed on every freeze-dryer capable of performing a manometric temperature measurement, without the need for hardware modification. Copyright © 2017 American Pharmacists Association®. Published by Elsevier Inc. All rights reserved.

  20. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  1. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  2. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  3. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  4. Electron density and plasma dynamics of a colliding plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Wiechula, J., E-mail: wiechula@physik.uni-frankfurt.de; Schönlein, A.; Iberler, M.; Hock, C.; Manegold, T.; Bohlender, B.; Jacoby, J. [Plasma Physics Group, Institute of Applied Physics, Goethe University, 60438 Frankfurt am Main (Germany)

    2016-07-15

    We present experimental results of two head-on colliding plasma sheaths accelerated by pulsed-power-driven coaxial plasma accelerators. The measurements have been performed in a small vacuum chamber with a neutral-gas prefill of ArH{sub 2} at gas pressures between 17 Pa and 400 Pa and load voltages between 4 kV and 9 kV. As the plasma sheaths collide, the electron density is significantly increased. The electron density reaches maximum values of ≈8 ⋅ 10{sup 15} cm{sup −3} for a single accelerated plasma and a maximum value of ≈2.6 ⋅ 10{sup 16} cm{sup −3} for the plasma collision. Overall a raise of the plasma density by a factor of 1.3 to 3.8 has been achieved. A scaling behavior has been derived from the values of the electron density which shows a disproportionately high increase of the electron density of the collisional case for higher applied voltages in comparison to a single accelerated plasma. Sequences of the plasma collision have been taken, using a fast framing camera to study the plasma dynamics. These sequences indicate a maximum collision velocity of 34 km/s.

  5. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  6. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  7. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  8. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  9. Dual ionization chamber

    International Nuclear Information System (INIS)

    Mallory, J.; Turlej, Z.

    1981-01-01

    Dual ionization chambers are provided for use with an electronic smoke detector. The chambers are separated by electrically-conductive partition. A single radiation source extends through the partition into both chambers, ionizing the air in each. The mid-point current of the device may be balanced by adjusting the position of the source

  10. A high sensitivity momentum flux measuring instrument for plasma thruster exhausts and diffusive plasmas.

    Science.gov (United States)

    West, Michael D; Charles, Christine; Boswell, Rod W

    2009-05-01

    A high sensitivity momentum flux measuring instrument based on a compound pendulum has been developed for use with electric propulsion devices and radio frequency driven plasmas. A laser displacement system, which builds upon techniques used by the materials science community for surface stress measurements, is used to measure with high sensitivity the displacement of a target plate placed in a plasma thruster exhaust. The instrument has been installed inside a vacuum chamber and calibrated via two different methods and is able to measure forces in the range of 0.02-0.5 mN with a resolution of 15 microN. Measurements have been made of the force produced from the cold gas flow and with a discharge ignited using argon propellant. The plasma is generated using a Helicon Double Layer Thruster prototype. The instrument target is placed about 1 mean free path for ion-neutral charge exchange collisions downstream of the thruster exit. At this position, the plasma consists of a low density ion beam (10%) and a much larger downstream component (90%). The results are in good agreement with those determined from the plasma parameters measured with diagnostic probes. Measurements at various flow rates show that variations in ion beam velocity and plasma density and the resulting momentum flux can be measured with this instrument. The instrument target is a simple, low cost device, and since the laser displacement system used is located outside the vacuum chamber, the measurement technique is free from radio frequency interference and thermal effects. It could be used to measure the thrust in the exhaust of other electric propulsion devices and the momentum flux of ion beams formed by expanding plasmas or fusion experiments.

  11. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  12. Plasma-oxidation of Ge(100)-surfaces characterized by MIES, UPS and XPS

    Energy Technology Data Exchange (ETDEWEB)

    Wegewitz, Lienhard; Dahle, Sebastian; Maus-Friedrichs, Wolfgang [Institut fuer Energieforschung und Physikalische Technologien, Technische Universitaet Clausthal, Leibnizstr. 4, 38678 Clausthal-Zellerfeld (Germany); Hoefft, Oliver; Endres, Frank [Institut fuer Mechanische Verfahrenstechnik, Technische Universitaet Clausthal, Arnold-Sommerfeld-Str. 6, 38678 Clausthal-Zellerfeld (Germany); Vioel, Wolfgang [HAWK Goettingen, Fakultaet Naturwissenschaften und Technik, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2011-07-01

    Cleaning and passivation of Germanium surfaces is of tremendous technological interest. Germanium has various applications, for example in complementary metal-oxide-semiconductor elements. It turned out to be difficult to prepare contamination free Germanium surfaces by methods of wet chemistry. Several attempts have been made preparing such surfaces by different plasma treatments. We report cleaning and passivation of Ge(100)-surfaces by dielectric barrier discharge plasma at ambient temperature in oxygen and in air studied by Metastable Induced Electron Spectroscopy (MIES) and Photoelectron Spectroscopy (UPS(He I) and XPS). The plasma treatment is carried out in a special high-vacuum chamber which operates up to ambient pressure and is directly connected to the ultra-high vacuum chamber including the analysis equipment. In summary the air plasma treatment as well as the oxygen plasma treatment result in contamination free GeO{sub 2} covered surfaces.

  13. Research on insulating material affecting the property of gas ionization chamber

    International Nuclear Information System (INIS)

    Wang Liqiang; Wang Zhentao; Zheng Jian

    2014-01-01

    The insulating material in ionization chamber affects the internal gas pressure and ionic pulse shape in the research process of the ion drift velocity in high pressure gas ionization chamber. It will affect the ion drift velocity measurement. It is required to isolate by insulating material between electrode to electrode and between electrodes to the shell of gas ionization chamber. Insulating material in gas ionization chamber is indispensable. Therefore it needs to carefully study the insulating material affecting the performance of gas ionization chamber. First of all, it is found that Teflon can slowly adsorb the working gas in ionization chamber, and the gas pressure in it is reduced when we measure the sensitivity of gas ionization chamber over time. It is verified by experiment that insulating materials absorbing and releasing gas is dynamically reversible process. Then the adsorbing gas property of 95% aluminium oxide ceramic and Teflon is studied through experimental comparision. Gas adsorption equilibrium time of ceramic material is faster, generally it is about a few hours, and the gas adsorption capacity is relatively less. Gas adsorption equilibrium time of Teflon is slower, it is about a few days, and the gas adsorption capacity is relatively more. It is found that Teflon will release part of the gas at higher temperature through experimental research on the influence of Teflon adsorbing gas. Finally it is studied that the distribution of insulation in ionization chamber affects the time response speed of ionization chamber by measuring the signal pulse shape of ionization chamber under the pulse X-ray. Through these experimental research, it is presented that it need to pay attention to select insulation material and to design the internal structure and arrangement of insulating material when we design gas ionization chamber. (authors)

  14. Simulations of intense heavy ion beams propagating through a gaseous fusion target chamber

    International Nuclear Information System (INIS)

    Welch, D.R.; Rose, D.V.; Oliver, B.V.; Genoni, T.C.; Clark, R.E.; Olson, C.L.; Yu, S.S.

    2002-01-01

    In heavy-ion inertial confinement fusion (HIF), an ion beam is transported several meters through the reactor chamber to the target. This standoff distance mitigates damage to the accelerator from the target explosion. For the high perveance beams and millimeter-scale targets under consideration, the transport method is largely determined by the degree of ion charge and current neutralization in the chamber. This neutralization becomes increasingly difficult as the beam interacts with the ambient chamber environment and strips to higher charge states. Nearly complete neutralization permits neutralized-ballistic transport (main-line HIF transport method), where the ion beam enters the chamber at roughly 3-cm radius and focuses onto the target. In the backup pinched-transport schemes, the beam is first focused outside the chamber before propagating at small radius to the target. With nearly complete charge neutralization, the large beam divergence is contained by a strong magnetic field resulting from roughly 50-kA net current. In assisted-pinched transport, a preformed discharge channel provides the net current and the discharge plasma provides nearly complete charge and current neutralization of the beam. In self-pinched transport, the residual net current results solely from the beam-driven breakdown of the ambient gas. Using hybrid particle-in-cell simulation codes, the behavior of HIF driver-scale beams in these three transport modes is examined. Simulations of neutralized ballistic transport, at a few-mTorr flibe pressure, show excellent neutralization given a preformed or photoionized (from the heated target) plasma. Two- and three-dimensional simulations of assisted-pinch transport in roughly 1-Torr Xe show the importance of attaining >1-μs magnetic diffusion time to limit self-field effects and achieve good transport efficiency. For Xe gas pressures ranging from 10-150 mTorr, calculations predict a robust self-magnetic force sufficient for self

  15. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  16. An analytical theory of corona discharge plasmas

    International Nuclear Information System (INIS)

    Uhm, H.S.; Lee, W.M.

    1997-01-01

    In this paper we describe an analytical investigation of corona discharge systems. Electrical charge and the energy transfer mechanism are investigated based on the circuit analysis. Efficient delivery of electrical energy from the external circuit to the reactor chamber is a major issue in design studies. The optimum condition obtained in this paper ensures 100% energy transfer. Second-order coupled differential equations are numerically solved. All the analytical results agree remarkably well with numerical data. The reactor capacitor plays a pivotal role in circuit performance. The voltage profile is dominated by the reactor capacitor. Corona discharge properties in the reactor chamber are also investigated, assuming that a specified voltage profile V(t) is fed through the inner conductor. The analytical description is based on the electron moment equation. Defining the plasma breakdown parameter u=V/R c p, plasma is generated for a high-voltage pulse satisfying u>u c , where u c is the critical breakdown parameter defined by geometrical configuration. Here, u is in units of a million volts per m per atm, and R c is the outer conductor radius. It is found that the plasma density profile generated inside the reactor chamber depends very sensitively on the system parameters. A small change of a physical parameter can easily lead to a density change in one order of magnitude

  17. Transfer of a cold atmospheric pressure plasma jet through a long flexible plastic tube

    International Nuclear Information System (INIS)

    Kostov, Konstantin G; Prysiazhnyi, Vadym; Honda, Roberto Y; Machida, Munemasa

    2015-01-01

    This work proposes an experimental configuration for the generation of a cold atmospheric pressure plasma jet at the downstream end of a long flexible plastic tube. The device consists of a cylindrical dielectric chamber where an insulated metal rod that serves as high-voltage electrode is inserted. The chamber is connected to a long (up to 4 m) commercial flexible plastic tube, equipped with a thin floating Cu wire. The wire penetrates a few mm inside the discharge chamber, passes freely (with no special support) along the plastic tube and terminates a few millimeters before the tube end. The system is flushed with Ar and the dielectric barrier discharge (DBD) is ignited inside the dielectric chamber by a low frequency ac power supply. The gas flow is guided by the plastic tube while the metal wire, when in contact with the plasma inside the DBD reactor, acquires plasma potential. There is no discharge inside the plastic tube, however an Ar plasma jet can be extracted from the downstream tube end. The jet obtained by this method is cold enough to be put in direct contact with human skin without an electric shock. Therefore, by using this approach an Ar plasma jet can be generated at the tip of a long plastic tube far from the high-voltage discharge region, which provides the safe operation conditions and device flexibility required for medical treatment. (paper)

  18. Contributions to the methodology of multiwire proportional chambers

    International Nuclear Information System (INIS)

    Petrascu, H.

    1993-01-01

    The Ph.D. thesis presents first the realization, testing, optimization, and use of detection equipment based on position sensitive multiwire proportional chambers (MWPC), high resolution proportional counters, and of ΔE,E ionization chambers. In the second chapter it is presented the realization MWPC, in which the coordinate information is obtained by means of LC-delay lines, containing many original constructive elements. By using a system of three MWPC in coincidence, an experimental testing of the theoretically predicted pion generation in the 235 U fission process, was performed. An upper limit of 10 -11 for this process was found. In the 3-rd chapter there are presented the developments of high resolution proportional counters for X-ray spectrometry. Various penning mixtures of high purity gases were studied. The purity of gases was assured by a technology described in a Romanian patents. These counters are currently use in various applications as a rapid analysis of steel marks and in the mining industry. the 4-th chapter is dedicated to the construction and using of ΔE,E closed, high resolution ionization chambers. With these chambers the multinucleon transfer in the 27 Al( 14 M, X) reaction at 116 MeV bombarding energy was investigated. Also this type of chambers was used for the elaboration of an absolute method for analysis and profiling of impurities in silicon wafers. This method is described in the last part of the chapter. (Author) 117 Figs., 8 Tabs., 55 Refs

  19. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  20. Cold plasma brush generated at atmospheric pressure

    International Nuclear Information System (INIS)

    Duan Yixiang; Huang, C.; Yu, Q. S.

    2007-01-01

    A cold plasma brush is generated at atmospheric pressure with low power consumption in the level of several watts (as low as 4 W) up to tens of watts (up to 45 W). The plasma can be ignited and sustained in both continuous and pulsed modes with different plasma gases such as argon or helium, but argon was selected as a primary gas for use in this work. The brush-shaped plasma is formed and extended outside of the discharge chamber with typical dimension of 10-15 mm in width and less than 1.0 mm in thickness, which are adjustable by changing the discharge chamber design and operating conditions. The brush-shaped plasma provides some unique features and distinct nonequilibrium plasma characteristics. Temperature measurements using a thermocouple thermometer showed that the gas phase temperatures of the plasma brush are close to room temperature (as low as 42 deg. C) when running with a relatively high gas flow rate of about 3500 ml/min. For an argon plasma brush, the operating voltage from less than 500 V to about 2500 V was tested, with an argon gas flow rate varied from less than 1000 to 3500 ml/min. The cold plasma brush can most efficiently use the discharge power as well as the plasma gas for material and surface treatment. The very low power consumption of such an atmospheric argon plasma brush provides many unique advantages in practical applications including battery-powered operation and use in large-scale applications. Several polymer film samples were tested for surface treatment with the newly developed device, and successful changes of the wettability property from hydrophobic to hydrophilic were achieved within a few seconds

  1. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  2. Software of the BESM-6 computer for automatic image processing from liquid-hydrogen bubble chambers

    International Nuclear Information System (INIS)

    Grebenikov, E.A.; Kiosa, M.N.; Kobzarev, K.K.; Kuznetsova, N.A.; Mironov, S.V.; Nasonova, L.P.

    1978-01-01

    A set of programs, which is used in ''road guidance'' mode on the BESM-6 computer to process picture information taken in liquid hydrogen bubble chambers is discussed. This mode allows the system to process data from an automatic scanner (AS) taking into account the results of manual scanning. The system hardware includes: an automatic scanner, an M-6000 mini-controller and a BESM-6 computer. Software is functionally divided into the following units: computation of event mask parameters and generation . of data files controlling the AS; front-end processing of data coming from the AS; filtering of track data; simulation of AS operation and gauging of the AS reference system. To speed up the overall performance, programs which receive and decode data, coming from the AS via the M-6000 controller and the data link to the BESM-6 computer, are written in machine language

  3. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  4. Wire Chamber

    CERN Multimedia

    Magnetoscriptive readout wire chamber. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  5. Wire chamber

    CERN Multimedia

    1967-01-01

    Magnetoscriptive readout wire chamber.Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  6. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  8. Structure of the automatic system for plasma equilibrium position control

    International Nuclear Information System (INIS)

    Gubarev, V.F.; Krivonos, Yu.G.; Samojlenko, Yu.I.; Snegur, A.A.

    1978-01-01

    Considered are the principles of construction of the automatic system for plasma filament equilibrium position control inside the discharge chamber for the installation of a tokamak type. The combined current control system in control winding is suggested. The most powerful subsystem creates current in the control winding according to the program calculated beforehand. This system provides plasma rough equilibrium along the ''big radius''. The subsystem performing the current change in small limits according to the principle of feed-back coupling is provided simultaneously. The stabilization of plasma position is achieved in the discharge chamber. The advantage of construction of such system is in decreasing of the automatic requlator power without lowering the requirements to the accuracy of equilibrium preservation. The subsystem of automatic control of plasma position over the vertical is put into the system. Such an approach to the construction of the automatic control system proves to be correct; it is based on the experience of application of similar devices for some existing thermonuclear plants

  9. Heat loads on plasma facing components during disruptions on JET

    International Nuclear Information System (INIS)

    Arnoux, G.; Riccardo, V.; Fundamenski, W.; Loarte, A.; Huber, A.

    2009-01-01

    For the first time, fast measurements of heat loads on the main chamber plasma facing components (about 1 ms time resolution) during disruptions are taken on JET. The timescale of energy deposition during the thermal quench is estimated and compared with the timescale of the core plasma collapse measured with soft x-ray diagnostic. The energy deposition time is 3-8 times longer than the plasma energy collapse during density limit disruptions or radiative limit disruptions. This factor is rather in the range 1.5-4 for vertical displacement events. The heat load profiles measured during the thermal quench show substantial broadening of the power footprint on the upper dump plate. The scrape-off layer power width is increased by a factor of 3 for the density limit disruptions. The far scrape-off layer is characterized by a steeper gradient which could be explained by shadowing of the dump plate by other main chamber plasma facing components such as the outer limiter.

  10. Production of a large area diffuse arc plasma with multiple cathode

    International Nuclear Information System (INIS)

    Wang Cheng; Cui Hai-Chao; Li Wan-Wan; Liao Meng-Ran; Xia Wei-Dong; Xia Wei-Luo

    2017-01-01

    An arc channel at atmospheric pressure tends to shrink generally. In this paper, a non-transferred DC arc plasma device with multiple cathode is introduced to produce a large area arc plasma at atmospheric pressure. This device is comprised of a 42-mm diameter tubular chamber, multiple cathode which is radially inserted into the chamber, and a tungsten anode with a nozzle in its center. In argon/helium atmosphere, a large area and circumferential homogenous diffuse arc plasma, which fills the entire cross section surrounded by the cathode tips, is observed. Results show that the uniformity and stability of diffuse arc plasma are strongly related to the plasma forming gas. Based on these experimental results, an explanation to the arc diffusion is suggested. Moreover, the electron excitation temperature and electron density measured in diffuse helium plasma are much lower than those of constricted arc column, which indicates the diffuse helium plasma probably deviates from the local thermodynamic equilibrium state. Unlike the common non-transferred arc plasma devices, this device can provide a condition for axial-fed feedstock particles. The plasma device is attempted to spheroidize alumina powders by using the central axis to send the powder. Results show that the powder produced is usually a typical hollow sphere. (paper)

  11. The analysis of track chamber photographs using flying spot digitizers

    CERN Multimedia

    Powell, Brian W

    1966-01-01

    A vast quantity of data pours from the experiments on particle accelerators throughout the world. For example, over 300 000 photographs per week came from the three bubble chambers operating on the CERN PS at the end of 1965. The conventional method of processing these bubble chamber photographs is for each one of them to be examined ('scanned') to see whether it records an interesting particle interaction. The interesting photographs are then passed to hand operated measuring machines to obtain precise measurements of the particle trajectories recorded on the film. Similar measurements are carried out on photographs taken in film spark chamber experiments. This article on the Flying Spot Digitizers at CERN describes one of the most fruitful attempts to speed and make more accurate the process of analysis of bubble and spark chamber photographs. There are two types of Flying Spot Digitizer at CERN — the HPD or Hough Powell Device (named after Professor Hough and the author who, together, initiated the devel...

  12. Theoretical investigations on plasma centrifuges

    International Nuclear Information System (INIS)

    Hong, S.H.

    1978-01-01

    The theoretical analysis of the steady-state dynamics of plasma centrifuges is dealt with to understand the physics of rotating plasmas and their feasibility for isotope separation. The centrifuge systems under consideration employ cylindrical gas discharge chambers with externally-applied axial magnetic fields. The cathode and anode are symmetric about the cylinder axis and arranged in such a way for each system, i.e., (1) two ring electrodes of different radii in the chamber end plates or (2) two ring electrodes embedded in the mantle of the cylinder. They produce converging and/or diverging current density field lines, which intersect the external magnetic field under a nonvanishing angle. The associated Lorentz forces set the plasma, which is produced through an electrical discharge, into rotation around the cylinder axis. Three boundary-value problems for the coupled partial differential equations of the centrifuge fields are formulated, respectively, on the basis of the magnetogasdynamic equations. The electric field, electrostatic potential, current density, induced magnetic field, and velocity distributions are discussed in terms of the Hartmann number, the Hall coefficient, and the magnetic Reynolds number. The plasma centrifuge analyses presented show that the speeds of plasma rotation up to the order of 10 4 m/sec are achievable at typical conditions. The associated centrifugal forces produce a significant spatial isotope separation, which is somewhat reduced in the viscous boundary layers at the centrifuge walls. The speeds of plasma rotation increase with increasing Hartmann number and Hall coefficient. For small Hall coefficient, the induced azimuthal magnetic field does not affect the plasma rotation. For large volumes of rotating isotope mixtures, a multidischarge centrifuge can be constructed by setting up a large number of centrifuge systems in series

  13. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  14. Investigation of Sterilization Mechanism for Geobacillus stearothermophilus Spores with Plasma-Excited Neutral Gas

    Science.gov (United States)

    Matsui, Kei; Ikenaga, Noriaki; Sakudo, Noriyuki

    2015-09-01

    We investigate the mechanism of the sterilization with plasma-excited neutral gas that uniformly sterilizes both the space and inner wall of the reactor chamber at atmospheric pressure. Only reactive neutral species such as plasma-excited gas molecules and radicals are separated from the plasma and sent to the reactor chamber for chemical sterilization. The plasma source gas uses humidified mixture of nitrogen and oxygen. Geobacillus stearothermophilus spores and tyrosine which is amino acid are treated by the plasma-excited neutral gas. Shape change of the treated spore is observed by SEM, and chemical modification of the treated tyrosine is analyzed by HPLC. As a result, the surface of the treated spore shows depression. Hydroxylation and nitration of tyrosine are shown after the treatment. For these reasons, we believe that the sterilization with plasma-excited neutral gas results from the deformation of spore structure due to the chemical modification of amino acid.

  15. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  16. Research on mutual influence of Cherenkov-type probes within the ISTTOK tokamak chamber

    Energy Technology Data Exchange (ETDEWEB)

    Jakubowski, L., E-mail: lech.jakubowski@ncbj.gov.pl [National Centre for Nuclear Research (NCBJ), 05-400 Otwock (Poland); Plyusnin, V.V. [Association Euratom/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Malinowski, K.; Sadowski, M.J.; Zebrowski, J.; Rabinski, M. [National Centre for Nuclear Research (NCBJ), 05-400 Otwock (Poland); Fernandes, H.; Silva, C.; Figueiredo, H. [Association Euratom/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Jakubowski, M.J. [National Centre for Nuclear Research (NCBJ), 05-400 Otwock (Poland)

    2014-12-11

    The paper describes an influence of a Cherenkov-type probe, which is used for measurements of fast electron streams inside the ISTTOK chamber, on other probes and behaviour of a plasma ring. The reported study shows that such a probe situated near the plasma column has a strong influence on signals from another Cherenkov probe, and can cause a considerable reduction of electron-induced signals. This effect does not depend on positions of the probes in relation to the limiter. Measurements of hard X-ray (HXR) emission show that the deeply immersed Cherenkov probe can also influence on the limiter . Under specific experimental conditions such a Cherenkov probe can play the role of a new limiter and change the plasma configuration.

  17. Ionization chambers

    International Nuclear Information System (INIS)

    Boag, J.W.

    1987-01-01

    Although a variety of solid-state and chemical methods for measuring radiation dose have been developed in recent decades and calorimetry can now provide an absolute standard of reference, ionization dosimetry retains its position as the most widely used, most convenient, and, in most situations, most accurate method of measuring either exposure or absorbed dose. The ionization chamber itself is the central element in this system of dosimetry. In this chapter the principles governing the construction and operation of ionization chambers of various types are examined. Since the ionization chambers now in general use are nearly all of commercial manufacture, the emphasis is on operating characteristics and interpretation of measurements rather than on details of construction, although some knowledge of the latter is often required when applying necessary corrections to the measured quantities. Examples are given of the construction of typical chambers designed for particular purposes, and the methods of calibrating them are discussed

  18. Physics of the Advanced Plasma Source: a review of recent experimental and modeling approaches

    International Nuclear Information System (INIS)

    Brinkmann, R P; Schröder, B; Lapke, M; Storch, R; Styrnoll, T; Awakowicz, P; Harhausen, J; Foest, R; Hannemann, M; Loffhagen, D; Ohl, A

    2016-01-01

    The Advanced Plasma Source (APS), a gridless hot cathode glow discharge capable of generating an ion beam with an energy of up to 150 eV and a flux of 10 19 s −1 , is a standard industrial tool for the process of plasma ion-assisted deposition (PIAD). This manuscript details the results of recent experimental and modeling work aimed at a physical understanding of the APS. A three-zone model is proposed which consists of (i) the ionization zone (the source itself) where the plasma is very dense, hot, and has a high ionization rate, (ii) the acceleration zone (of  ∼20 cm extension) where a strong outward-directed electric field accelerates the primary ions to a high kinetic energy, and (iii) a drift zone (the rest of the process chamber) where the emerging plasma beam is further modified by resonant charge exchange collisions that neutralize some of the energetic ions and generate, at the same time, a flux of slow ions. (paper)

  19. Production of a large, quiescent, magnetized plasma

    Science.gov (United States)

    Landt, D. L.; Ajmera, R. C.

    1976-01-01

    An experimental device is described which produces a large homogeneous quiescent magnetized plasma. In this device, the plasma is created in an evacuated brass cylinder by ionizing collisions between electrons emitted from a large-diameter electron gun and argon atoms in the chamber. Typical experimentally measured values of the electron temperature and density are presented which were obtained with a glass-insulated planar Langmuir probe. It is noted that the present device facilitates the study of phenomena such as waves and diffusion in magnetized plasmas.

  20. Measurements of line-averaged electron density of pulsed plasmas using a He-Ne laser interferometer in a magnetized coaxial plasma gun device

    Science.gov (United States)

    Iwamoto, D.; Sakuma, I.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    In next step of fusion devices such as ITER, lifetime of plasma-facing materials (PFMs) is strongly affected by transient heat and particle loads during type I edge localized modes (ELMs) and disruption. To clarify damage characteristics of the PFMs, transient heat and particle loads have been simulated by using a plasma gun device. We have performed simulation experiments by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The line-averaged electron density measured by a He-Ne interferometer is 2x10^21 m-3 in a drift tube. The plasma velocity measured by a time of flight technique and ion Doppler spectrometer was 70 km/s, corresponding to the ion energy of 100 eV for helium. Thus, the ion flux density is 1.4x10^26 m-2s-1. On the other hand, the MCPG is connected to a target chamber for material irradiation experiments. It is important to measure plasma parameters in front of target materials in the target chamber. In particular, a vapor cloud layer in front of the target material produced by the pulsed plasma irradiation has to be characterized in order to understand surface damage of PFMs under ELM-like plasma bombardment. In the conference, preliminary results of application of the He-Ne laser interferometer for the above experiment will be shown.