WorldWideScience

Sample records for plasma assisted mbe

  1. Specific features of NH3 and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    International Nuclear Information System (INIS)

    Alexeev, A. N.; Krasovitsky, D. M.; Petrov, S. I.; Chaly, V. P.; Mamaev, V. V.; Sidorov, V. G.

    2015-01-01

    The specific features of how nitride HEMT heterostructures are produced by NH 3 and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10 8 −1 × 10 9 cm −2 . The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH 3 -MBE with an extremely high ammonia flux are demonstrated

  2. Specific features of NH{sub 3} and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, A. N. [NTO ZAO (Russian Federation); Krasovitsky, D. M. [Svetlana-Rost ZAO (Russian Federation); Petrov, S. I., E-mail: petrov@semiteq.ru [NTO ZAO (Russian Federation); Chaly, V. P.; Mamaev, V. V. [Svetlana-Rost ZAO (Russian Federation); Sidorov, V. G. [St. Petersburg State Polytechnic University (Russian Federation)

    2015-01-15

    The specific features of how nitride HEMT heterostructures are produced by NH{sub 3} and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10{sup 8}−1 × 10{sup 9} cm{sup −2}. The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH{sub 3}-MBE with an extremely high ammonia flux are demonstrated.

  3. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  4. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  5. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  6. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  7. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  8. Growth of ZnO heterostructures in an ultra compact MBE system

    Energy Technology Data Exchange (ETDEWEB)

    Ruth, Marcel [University of Duisburg-Essen, Institute of Experimental Physics, Duisburg (Germany); University of Paderborn (Germany). Group Nanophotonics and Nanomaterials; Meier, Cedrik [University of Paderborn (Germany). Group Nanophotonics and Nanomaterials

    2009-07-01

    Due to its unique properties such as the large direct bandgap of 3.37 eV and its high exciton binding energy, zinc oxide (ZnO) is a very promising semiconductor for optoelectronic and photonic applications even at room temperature. By adding cadmium (Cd) or magnesium (Mg) the bandgap can be tuned between 3.0 eV and 4.0 eV. It has already been shown that plasma assisted molecular beam epitaxy (PA-MBE) is a very suitable technique for growing high-quality epilayers of ZnO. Especially for research issues small samples are often sufficient. By using ultra compact MBE-systems the running costs can be kept down. However, the special system geometry and the very compact design lead to high requirements on the system. It is not trivial that in such a system stoichiometric and homogeneous growth conditions be achieved anyway. Furthermore, very high growth-rates can be obtained. By working in the zinc- (Zn) or oxygen-rich (O) regime completely different surface morphologies free of any metallic clusters are created. We present a systematic study on the growth conditions in such a compact system. Especially, the determination of the flux is discussed, and the grown heterostructures are characterised for their usability for nanophotonic devices.

  9. Kinetics of self-assembled InN quantum dots grown on Si (111) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Roul, Basanta; Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Sinha, Neeraj; Kalghatgi, A. T.; Krupanidhi, S. B.

    2011-01-01

    One of the scientific challenges of growing InN quantum dots (QDs), using Molecular beam epitaxy (MBE), is to understand the fundamental processes that control the morphology and distribution of QDs. A systematic manipulation of the morphology, optical emission, and structural properties of InN/Si (111) QDs is demonstrated by changing the growth kinetics parameters such as flux rate and growth time. Due to the large lattice mismatch, between InN and Si (∼8%), the dots formed from the Strannski–Krastanow (S–K) growth mode are dislocated. Despite the variations in strain (residual) and the shape, both the dot size and pair separation distribution show the scaling behavior. We observed that the distribution of dot sizes, for samples grown under varying conditions, follow the scaling function.

  10. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    Science.gov (United States)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  11. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  12. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee; Gasim, Anwar; Cha, Dong Kyu; Janjua, Bilal; Yang, Yang; Jahangir, Shafat; Zhao, Chao; Bhattacharya, Pallab; Ooi, Boon S.

    2014-01-01

    sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further

  13. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  14. Self-organised growth of InN-nanocolumns by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Malindretos, Joerg; Schuhmann, Henning; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut and Virtual Institute of Spin Electronics (VISel), Georg-August Universitaet Goettingen, 37077 Goettingen (Germany); Garro, Nuria; Cantarero, Andres [Material Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain)

    2007-07-01

    InN nanocolumns (NCs) are an attractive system for light harvesting applications. Our aim is to investigate the optical and electrical properties of nanorod ensembles and of single objects. An electron accumulation layer is known to be formed at the surface of epitaxial thin layers due to Fermi level pinning and should therefore facilitate the electrical contacting of the nanorods. InN-NCs were grown on p-Si(111) by plasma assisted MBE. In dependence on the growth parameters four different growth regimes were identified, according to the final shape of the NCs: broadened, tapered, uniform, tapered as well as long uniform rods. The early stages of nucleation have been analysed and correlated to the final shape distribution of the NCs. Nanorods with diameters of 20-250 nm and lengths up to 1.75 {mu}m have been grown. The aspect ratio (length-to-diameter) reaches values of 45. HR-TEM images show a perfect crystal quality. Additionally we will present I-U-characteristics of a single NC contacted by Focused Ion Beam and e-beam lithography. First results show a resistance of a single object in the order of k{omega}. Micro-Raman and micro-PL measurements will provide an insight into the optical properties of InN-NC-ensembles and single objects.

  15. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  16. Structural, optical, and hydrogenation properties of ZnO nanowall networks grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Su, S.C.; Lu, Y.M.; Zhang, Z.Z.; Li, B.H.; Shen, D.Z.; Yao, B.; Zhang, J.Y.; Zhao, D.X.; Fan, X.W.

    2008-01-01

    ZnO nanowall networks were grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy (P-MBE) without using catalysts. Scanning electronic microscopy (FE-SEM) confirmed the formation of nanowalls with a thickness of about 10-20 nm. X-ray diffraction (XRD) showed that the ZnO nanowall networks were crystallized in a wurtzite structure with their height parallel to the direction. Photoluminescence (PL) of the ZnO nanowall networks exhibited free excitons (FEs), donor-bound exciton (D 0 X), donor-acceptor pair (DAP), and free exciton to acceptor (FA) emissions. The growth mechanism of the ZnO nanowall networks was discussed, and their hydrogenation was also studied

  17. Modeling of Plasma Assisted Combustion

    Science.gov (United States)

    Akashi, Haruaki

    2012-10-01

    Recently, many experimental study of plasma-assisted combustion has been done. However, numerous complex reactions in combustion of hydrocarbons are preventing from theoritical study for clarifying inside the plasma-assisted combustion, and the effect of plasma-assist is still not understood. Shinohara and Sasaki [1,2] have reported that the shortening of flame length by irradiating microwave without increase of gas temperature. And they also reported that the same phenomena would occur when applying dielectric barrier discharges to the flame using simple hydrocarbon, methane. It is suggested that these phenomena may result by the electron heating. To clarify this phenomena, electron behavior under microwave and DBD was examined. For the first step of DBD plasma-assisted combustion simulation, electron Monte Carlo simulation in methane, oxygen and argon mixture gas(0.05:0.14:0.81) [2] has been done. Electron swarm parameters are sampled and electron energy distribution function (EEDF)s are also determined. In the combustion, gas temperature is higher(>1700K), so reduced electric field E/N becomes relatively high(>10V/cm/Torr). The electrons are accelerated to around 14 eV. This result agree with the optical emission from argon obtained by the experiment of reference [2]. Dissociation frequency of methane and oxygens are obtained in high. This might be one of the effect of plasma-assist. And it is suggested that the electrons should be high enough to dissociate methane, but plasma is not needed.[4pt] [1] K. Shinohara et al, J. Phys. D:Appl. Phys., 42, 182008 (1-7) (2009).[0pt] [2] K. Sasaki, 64th Annual Gaseous Electronic Conference, 56, 15 CT3.00001(2011).

  18. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  19. Performance of MBE-4

    International Nuclear Information System (INIS)

    Warwick, A.I.; Fessenden, T.J.; Keefe, D.; Kim, C.H.; Meuth, H.

    1988-01-01

    This paper reports on an experimental induction linac, called MBE-4, constructed to demonstrate acceleration and current amplification of multiple heavy ion beams. This work is part of a program to study the use of such an accelerator as a driver for heavy ion inertial fusion. MBE-4 is 16 m long and accelerates four space-charge- dominated beams of singly-charged cesium ions, in this case from 200 keV to 700 keV, amplifying the current in each beam from 10 mA by a factor of nine. Construction of the experiment was completed late in 1987 and we present the results of detailed measurements of the longitudinal beam dynamics. Of particular interest in the contribution of acceleration errors to the growth of current fluctuations and to the longitudinal emittance. The effectiveness of the longitudinal focusing, accomplished by means of the controlled time dependence of the accelerating fields, is also discussed

  20. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  1. Plasma ``anti-assistance'' and ``self-assistance'' to high power impulse magnetron sputtering

    Science.gov (United States)

    Anders, André; Yushkov, Georgy Yu.

    2009-04-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering.

  2. Plasma 'anti-assistance' and 'self-assistance' to high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Yushkov, Georgy Yu.

    2009-01-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering

  3. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  4. TOPICAL REVIEW: Plasma assisted ignition and combustion

    Science.gov (United States)

    Starikovskaia, S. M.

    2006-08-01

    In recent decades particular interest in applications of nonequilibrium plasma for the problems of plasma-assisted ignition and plasma-assisted combustion has been observed. A great amount of experimental data has been accumulated during this period which provided the grounds for using low temperature plasma of nonequilibrium gas discharges for a number of applications at conditions of high speed flows and also at conditions similar to automotive engines. The paper is aimed at reviewing the data obtained and discusses their treatment. Basic possibilities of low temperature plasma to ignite gas mixtures are evaluated and historical references highlighting pioneering works in the area are presented. The first part of the review discusses plasmas applied to plasma-assisted ignition and combustion. The paper pays special attention to experimental and theoretical analysis of some plasma parameters, such as reduced electric field, electron density and energy branching for different gas discharges. Streamers, pulsed nanosecond discharges, dielectric barrier discharges, radio frequency discharges and atmospheric pressure glow discharges are considered. The second part depicts applications of discharges to reduce the ignition delay time of combustible mixtures, to ignite transonic and supersonic flows, to intensify ignition and to sustain combustion of lean mixtures. The results obtained by different authors are cited, and ways of numerical modelling are discussed. Finally, the paper draws some conclusions on the main achievements and prospects of future investigations in the field.

  5. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  6. Halide based MBE of crystalline metals and oxides

    Energy Technology Data Exchange (ETDEWEB)

    Greenlee, Jordan D.; Calley, W. Laws; Henderson, Walter; Doolittle, W. Alan [Georgia Institute of Technology, School of Electrical and Computer Engineering, Atlanta, Georgia (United States)

    2012-02-15

    A halide based growth chemistry has been demonstrated which can deliver a range of transition metals using low to moderate effusion cell temperatures (30-700 C) even for high melting point metals. Previously, growth with transition metal species required difficult to control electron beam or impurity inducing metal organic sources. Both crystalline oxide and metal films exhibiting excellent crystal quality are grown using this halide-based growth chemistry. Films are grown using a plasma assisted Molecular Beam Epitaxy (MBE) system with metal-chloride precursors. Crystalline niobium, cobalt, iron, and nickel were grown using this chemistry but the technology can be generalized to almost any metal for which a chloride precursor is available. Additionally, the oxides LiNbO{sub 3} and LiNbO{sub 2} were grown with films exhibiting X-ray diffraction (XRD) rocking curve full-widths at half maximum of 150 and 190 arcseconds respectively. LiNbO{sub 2} films demonstrate a memristive response due to the rapid movement of lithium in the layered crystal structure. The rapid movement of lithium ions in LiNbO{sub 2} memristors is characterized using impedance spectroscopy measurements. The impedance spectroscopy measurements suggest an ionic current of.1 mA for a small drive voltage of 5 mV AC or equivalently an ionic current density of {proportional_to}87 A/cm{sup 2}. This high ionic current density coupled with low charge transfer resistance of {proportional_to}16.5 {omega} and a high relaxation frequency (6.6 MHz) makes this single crystal material appealing for battery applications in addition to memristors. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  9. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  10. Emission characteristics of kerosene-air spray combustion with plasma assistance

    Directory of Open Access Journals (Sweden)

    Xingjian Liu

    2015-09-01

    Full Text Available A plasma assisted combustion system for combustion of kerosene-air mixtures was developed to study emission levels of O2, CO2, CO, and NOx. The emission measurement was conducted by Testo 350-Pro Flue Gas Analyzer. The effect of duty ratio, feedstock gas flow rate and applied voltage on emission performance has been analyzed. The results show that O2 and CO emissions reduce with an increase of applied voltage, while CO2 and NOx emissions increase. Besides, when duty ratio or feedstock gas flow rate decreases, the same emission results would appear. The emission spectrum of the air plasma of plasma assisted combustion actuator was also registered to analyze the kinetic enhancement effect of plasma, and the generation of ozone was believed to be the main factor that plasma makes a difference in our experiment. These results are valuable for the future optimization of kerosene-fueled aircraft engine when using plasma assisted combustion devices to exert emission control.

  11. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  12. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. A new MBE CdTe photoconductor array detector for X-ray applications

    International Nuclear Information System (INIS)

    Yoo, S.S.; Sivananthan, S.; Faurie, J.P.; Rodricks, B.; Bai, J.; Montano, P.A.; Argonne National Lab., IL

    1994-10-01

    A CdTe photoconductor array x-ray detector was grown using Molecular Beam Epitaxially (MBE) on a Si (100) substrate. The temporal response of the photoconductor arrays is as fast as 21 psec risetime and 38 psec Full Width Half Maximum (FWHM). Spatial and energy responses were obtained using x-rays from a rotating anode and synchrotron radiation source. The spatial resolution of the photoconductor was good enough to provide 75 microm FWHM using a 50 microm synchrotron x-ray beam. A substantial number of x-ray photons are absorbed effectively within the MBE CdTe layer as observed from the linear response up to 15 keV. These results demonstrate that MBE grown CdTe is a suitable choice of the detector materials to meet the requirements for x-ray detectors in particular for the new high brightness synchrotron sources

  14. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  15. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  16. DBD plasma assisted combustion for 1D flat flame

    NARCIS (Netherlands)

    Elkholy, A.H.E.

    2015-01-01

    The potential use of non-equilibrium plasma for ignition and combustion control has garnered increasing interest due to the possibility of plasma-assisted approaches for ignition and flame stabilization. During the past decade, significant progress has been made toward understanding the mechanisms

  17. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  18. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  19. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Science.gov (United States)

    Chen, Ke; Roy, Anupam; Rai, Amritesh; Movva, Hema C. P.; Meng, Xianghai; He, Feng; Banerjee, Sanjay K.; Wang, Yaguo

    2018-05-01

    Defect-carrier interaction in transition metal dichalcogenides (TMDs) plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE)-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  20. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Directory of Open Access Journals (Sweden)

    Ke Chen

    2018-05-01

    Full Text Available Defect-carrier interaction in transition metal dichalcogenides (TMDs plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  1. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  2. Plasma assisted combustion of parafin mixture

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Chernyak, V.Ya.; Martysh, E.V.; Lisitchenko, T.E.; Vergun, O.Yu.; Orlovska, S.G.

    2013-01-01

    In this work the results of solid paraffin combustion with the aid of the plasma of transverse and rotational gliding arc studies are represented. The question of the additional activation of paraffin based solid fuels is examined. The mixture of n-paraffin and stearin in the solid state as the model of the solid paraffin based fuel is used. The plasma assisted combustion of this model is experimentally investigated. The voltage-current characteristics of discharge at the different regimes are measured. The population temperatures of excited rotational levels are determined. The flame temperature during the combustion of solid paraffin containing mixture is calculated

  3. Growth studies of CVD-MBE by in-situ diagnostics

    Science.gov (United States)

    Maracas, George N.; Steimle, Timothy C.

    1992-10-01

    This is the final technical report for the three year DARPA-URI program 'Growth Studies of CVD-MBE by in-situ Diagnostics'. The goals of the program were to develop non-invasive, real time epitaxial growth monitoring techniques and combine them to gain an understanding of processes that occur during MBE growth from gas sources. We have adapted these techniques to a commercially designed gas source MBE system (Vacuum Generators Inc.) to facilitate technology transfer out of the laboratory into industrial environments. The in-situ measurement techniques of spectroscopic ellipsometry (SE) and laser induced fluorescence (LIF) have been successfully implemented to monitor the optical and chemical properties of the growing epitaxial film and the gas phase reactants. The ellipsometer was jointly developed with the J. Woolam Co. and has become a commercial product. The temperature dependence of group 3 and 5 desorption from GaAs and InP has been measured as well as the incident effusion cell fluxes. The temporal evolution of the growth has also been measured both by SE and LIF to show the smoothing of heterojunction surfaces during growth interruption. Complicated microcavity optical device structures have been monitored by ellipsometry in real time to improve device quality. This data has been coupled with the structural information obtained from reflection high energy electron diffraction (RHEED) to understand the growth processes in binary and ternary bulk 3-5 semiconductors and heterojunctions.

  4. Minority Business Enterprise/Women's Business Enterprise (MBE/WBE) overview

    Science.gov (United States)

    The data base allows Minority Business Enterprise/Women's Business Enterprise (MBE/WBE) Coordinators to input fair share goals negotiated by EPA and the recipient. This system also provides to all users the ability to see recipient fair share goals.

  5. Effect of Al mole fraction on structural and electrical properties of AlxGa1-xN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A. SH.; Hassan, Z.; Thahab, S.M.; Ng, S.S.; Hassan, H. Abu; Chin, C.W.

    2011-01-01

    The effect of Al mole fractions on the structural and electrical properties of Al x Ga 1-x N/GaN thin films grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si (1 1 1) substrates has been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage (I-V) measurements. X-ray results revealed that the AlGaN/GaN/AlN was epitaxially grown on Si substrate. By applying Vegard's law, the Al mole fractions of Al x Ga 1-x N samples were found to be 0.11, 0.24, 0.30 and 0.43, respectively. The structural and morphology results indicated that there is a relatively larger tensile strain for the sample with the smallest Al mole fraction; while a smaller compressive strain and larger grain size appear with Al mole fraction equal to 0.30. The strain gets relaxed with the highest Al mole fraction sample. Finally, the linear relationship between the barrier height and Al mole fraction was obtained.

  6. Prevalence of mind and body exercises (MBE in relation to demographics, self-rated health, and purchases of prescribed psychotropic drugs and analgesics.

    Directory of Open Access Journals (Sweden)

    Lina Rådmark

    Full Text Available This study aims to identify any differences regarding gender, age, socioeconomic status (SES, self-rated health, perceived stress and the purchase of prescribed drugs among people who practice mind and body exercises (MBE extensively compared to people who do not.The study includes 3,913 men and 4,803 women aged 20-72 who participated in the Swedish Longitudinal Occupational Survey of Health (SLOSH. The respondents were divided into three groups depending on frequency of MBE practice (never/seldom/often. Measures regarding MBE practice, health behaviors, self-rated health, and illnesses were drawn from the SLOSH questionnaire, while more objective measures of socioeconomic status and education were derived from registry data. In addition, data on purchases of prescription drugs for all respondents were included in the study. These data were obtained from the Swedish Prescribed Drug Register, which contains information about prescription drugs dispensed at Swedish pharmacies. Separate analyses were performed for mental MBE (mindfulness, meditation, relaxation techniques and physical MBE (yoga, Tai Chi, Qi Gong, respectively.A high intensity MBE practice is cross-sectionally related to poor self-assessed health (sleeping problems, pain, depressive symptoms, mental disorders, high levels of stress, and high levels of purchases of psychotropic drugs and analgesics. These cross-sectional relationships are generally stronger for mental MBE than for bodily-directed MBE. More women than men are practicing MBE on a regular basis, and physically active people participate to a greater extent in MBE compared with the physically inactive.Overall, the study shows that frequent participation in mind and body exercises is associated with high levels of purchases of psychotropic drugs and analgesics as well as with poor self-assessed health and high levels of stress. However, since this is a cross-sectional study, it is impossible to establish cause and effect

  7. Transverse combining of four beams in MBE-4

    International Nuclear Information System (INIS)

    Celata, C.M.; Chupp, W.; Faltens, A.; Fawley, W.M.; Ghiorso, W.; Hahn, K.D.; Henestroza, E.; Judd, D.; Peters, C.; Seidl, P.A.

    1996-01-01

    Transverse beam combining is a cost-saving option employed in many designs for induction linac heavy ion fusion drivers. The resultant transverse emittance increase, due predominantly to anharmonic space charge forces, must be kept minimal so that the beam remains focusable at the target. A prototype combining experiment has been built using the MBE-4 experimental apparatus. Four new sources produce up to 6.7 mA Cs + beams at 200 keV. The ion sources are angled toward each other so that the beams converge. Focusing upstream of the merge consists of four quadrupoles and a final combined-function element (quadrupole and dipole). All lattice elements are electrostatic. Owing to the small distance between beams at the last element (about 3-4 mm), the electrodes here are a cage of small rods, each at different voltage. The beams emerge into the 30-period transport lattice of MBE-4 where emittance growth due to merging, as well as the subsequent evolution of the distribution function, can be diagnosed. The combiner design, simulation predictions and preliminary results from the experiment are presented. (orig.)

  8. Transverse combining of 4 beams in MBE-4

    International Nuclear Information System (INIS)

    Celata, C.M.; Chupp, W.; Faltens, A.; Fawley, W.M.; Ghiorso, W.; Hahn, K.D.; Henestroza, E.; Peters, C.; Seidl, P.

    1995-05-01

    Transverse beam combining is a cost-saving optio employed in many designs for induction linac heavy ion fusion drivers. But resultant transverse emittance increase, due predominantly to anharmonic space charoe forces, must be kept minimal so as not to sacrifice focusability at the target. A prototype combining experiment has been built, using the MBE-4 experiment. Four sources produce four 4 mA Cs + beams at 200 keV. The ion sources are angled toward each other, so that beams converge. Focusing upstream of the merge consists of 4 quadrupoles and a final combined-function element (quadrupole ampersand dipole). All lattice elements are electrostatic. Due to the small distance between beams at the last element (∼ 2 mm), the electrodes here are a cage of small wires, each at different voltage. The beams emerge into the 30 period transport lattice of MBE-4 where emittance growth due to merging, as well as the subsequent evolution of the distribution function, can be diagnosed. The combiner design, simulation predictions, and preliminary results from the experiment are presented

  9. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  10. Medicina basada en la evidencia (MBE: Ventajas

    Directory of Open Access Journals (Sweden)

    L.M. Junquera

    2003-10-01

    Full Text Available La Medicina basada en la Evidencia (MBE se define como un proceso cuyo objetivo es la selección de los mejores argumentos científicos para la resolución de los problemas que la práctica médica cotidiana plantea. Filosóficamente es un nuevo paradigma (verificacionista, que se ha extendido a todas las profesiones sanitarias (Cirugía Basada en la Evidencia, Odontología Basada en la Evidencia, etc., soportado por los avances tecnológicos. Aunque sus ventajas son evidentes, también se han reconocido limitaciones, especialmente en lo concerniente a la práctica quirúrgica. En el presente trabajo se revisan los fundamentos y principales ventajas de la MBE.Evidence Based Medicine (EBM is defined as a process whose objective is the selection of the best scientific arguments for the resolution of problems in the medical practice. Phylosophically, it is a new paradigm that has been extended to all the sanitary professions (Evidence Based Surgery, Evidence Based Dentistry, etc., sustained by the technological advances. Although their advantages are evident, also there have been recognized limitations, specially concerning the surgical practice. At the present work the bases and the main advantages of the EBM are checked.

  11. Recent progress in MBE grown HgCdTe materials and devices at UWA

    Science.gov (United States)

    Gu, R.; Lei, W.; Antoszewski, J.; Madni, I.; Umana-Menbreno, G.; Faraone, L.

    2016-05-01

    HgCdTe has dominated the high performance end of the IR detector market for decades. At present, the fabrication costs of HgCdTe based advanced infrared devices is relatively high, due to the low yield associated with lattice matched CdZnTe substrates and a complicated cooling system. One approach to ease this problem is to use a cost effective alternative substrate, such as Si or GaAs. Recently, GaSb has emerged as a new alternative with better lattice matching. In addition, implementation of MBE-grown unipolar n-type/barrier/n-type detector structures in the HgCdTe material system has been recently proposed and studied intensively to enhance the detector operating temperature. The unipolar nBn photodetector structure can be used to substantially reduce dark current and noise without impeding photocurrent flow. In this paper, recent progress in MBE growth of HgCdTe infrared material at the University of Western Australia (UWA) is reported, including MBE growth of HgCdTe on GaSb alternative substrates and growth of HgCdTe nBn structures.

  12. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  13. Examining the Potential of Plasma-Assisted Pretreated Wheat Straw for Enzyme Production by Trichoderma reesei

    DEFF Research Database (Denmark)

    Rodríguez Gómez, Divanery; Lehmann, Linda Olkjær; Schultz-Jensen, Nadja

    2012-01-01

    Plasma-assisted pretreated wheat straw was investigated for cellulase and xylanase production by Trichoderma reesei fermentation. Fermentations were conducted with media containing washed and unwashed plasma-assisted pretreated wheat straw as carbon source which was sterilized by autoclavation....... To account for any effects of autoclavation, a comparison was made with unsterilized media containing antibiotics. It was found that unsterilized washed plasma-assisted pretreated wheat straw (which contained antibiotics) was best suited for the production of xylanases (110 IU ml(-1)) and cellulases (0...... other nonrefined feedstocks suggests that plasma pretreated wheat straw is a promising and suitable substrate for cellulase and hemicellulase production....

  14. Plasma-assisted catalytic ionization using porous nickel plate

    International Nuclear Information System (INIS)

    Oohara, W.; Maeda, T.; Higuchi, T.

    2011-01-01

    Hydrogen atomic pair ions, i.e., H + and H - ions, are produced by plasma-assisted catalytic ionization using a porous nickel plate. Positive ions in a hydrogen plasma generated by dc arc discharge are irradiated to the porous plate, and pair ions are produced from the back of the irradiation plane. It becomes clear that the production quantity of pair ions mainly depends on the irradiation current of positive ions and the irradiation energy affects the production efficiency of H - ions.

  15. Large-aperture plasma-assisted deposition of inertial confinement fusion laser coatings.

    Science.gov (United States)

    Oliver, James B; Kupinski, Pete; Rigatti, Amy L; Schmid, Ansgar W; Lambropoulos, John C; Papernov, Semyon; Kozlov, Alexei; Spaulding, John; Sadowski, Daniel; Chrzan, Z Roman; Hand, Robert D; Gibson, Desmond R; Brinkley, Ian; Placido, Frank

    2011-03-20

    Plasma-assisted electron-beam evaporation leads to changes in the crystallinity, density, and stresses of thin films. A dual-source plasma system provides stress control of large-aperture, high-fluence coatings used in vacuum for substrates 1m in aperture.

  16. Fabrication of photovoltaic laser energy converterby MBE

    Science.gov (United States)

    Lu, Hamilton; Wang, Scott; Chan, W. S.

    1993-01-01

    A laser-energy converter, fabricated by molecular beam epitaxy (MBE), was developed. This converter is a stack of vertical p-n junctions connected in series by low-resistivity, lattice matched CoSi2 layers to achieve a high conversion efficiency. Special high-temperature electron-beam (e-beam) sources were developed especially for the MBE growth of the junctions and CoSi2 layers. Making use of the small (greater than 1.2 percent) lattice mismatch between CoSi2 and Si layers, high-quality and pinhole-free epilayers were achieved, providing a capability of fabricating all the junctions and connecting layers as a single growth process with one pumpdown. Well-defined multiple p-n junctions connected by CoSi2 layers were accomplished by employing a low growth temperature (greater than 700 C) and a low growth rate (less than 0.5 microns/hour). Producing negligible interdiffusion, the low growth temperature and rate also produced negligible pinholes in the CoSi2 layers. For the first time, a stack of three p-n junctions connected by two 10(exp -5) Ohm-cm CoSi2 layers was achieved, meeting the high conversion efficiency requirement. This process can now be optimized for high growth rate to form a practical converter with 10 p-n junctions in the stack.

  17. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  18. Kinetic Study of Nonequilibrium Plasma-Assisted Methane Steam Reforming

    Directory of Open Access Journals (Sweden)

    Hongtao Zheng

    2014-01-01

    Full Text Available To develop a detailed reaction mechanism for plasma-assisted methane steam reforming, a comprehensive numerical and experimental study of effect laws on methane conversion and products yield is performed at different steam to methane molar ratio (S/C, residence time s, and reaction temperatures. A CHEMKIN-PRO software with sensitivity analysis module and path flux analysis module was used for simulations. A set of comparisons show that the developed reaction mechanism can accurately predict methane conversion and the trend of products yield in different operating conditions. Using the developed reaction mechanism in plasma-assisted kinetic model, the reaction path flux analysis was carried out. The result shows that CH3 recombination is the limiting reaction for CO production and O is the critical species for CO production. Adding 40 wt.% Ni/SiO2 in discharge region has significantly promoted the yield of H2, CO, or CO2 in dielectric packed bed (DPB reactor. Plasma catalytic hybrid reforming experiment verifies the reaction path flux analysis tentatively.

  19. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  20. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    International Nuclear Information System (INIS)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul; Chakraborty, Apurba; Biswas, Dhrubes; Mukhopadhyay, Partha

    2014-01-01

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al 2 O 3 ) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10 −3 (1 × 10 −3 ), and −1.7 × 10 −3 (2 × 10 −3 ) in GaN layer and 5.1 × 10 −3 (−3.3 × 10 −3 ), and 8.8 × 10 −3 (−1.3 × 10 −3 ) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum

  1. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  2. Plasma-assisted ignition and combustion: nanosecond discharges and development of kinetic mechanisms

    Science.gov (United States)

    Starikovskaia, S. M.

    2014-09-01

    This review covers the results obtained in the period 2006-2014 in the field of plasma-assisted combustion, and in particular the results on ignition and combustion triggered or sustained by pulsed nanosecond discharges in different geometries. Some benefits of pulsed high voltage discharges for kinetic study and for applications are demonstrated. The necessity of and the possibility of building a particular kinetic mechanism of plasma-assisted ignition and combustion are discussed. The most sensitive regions of parameters for plasma-combustion kinetic mechanisms are selected. A map of the pressure and temperature parameters (P-T diagram) is suggested, to unify the available data on ignition delay times, ignition lengths and densities of intermediate species reported by different authors.

  3. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  4. Commercialization of Plasma-Assisted Technologies: The Indian Experience

    Science.gov (United States)

    John, P. I.

    The paper describes an initiative by the Institute for Plasma Research (IPR), India in establishing links with the Indian industry for developing and commercialising advanced plasma-based industrial technologies. This has culminated in the creation of a self-financing technology development, incubation, demonstration and delivery facility. A business plan for converting the knowledge base to commercially viable technologies conceived technology as a product and the industry as the market and addressed issues like resistance to new technologies, the key role of entrepreneur, thrust areas and the necessity of technology incubation and delivery. Success of this strategy is discussed in a few case studies. We conclude by identifying the cost, environmental, strategic and techno-economic aspects, which would be the prime drivers for plasma-assisted manufacturing technology in India.

  5. Superconducting proximity effect in MBE grown Nb-InAs junctions

    Science.gov (United States)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  6. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  7. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  8. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Enhancement of spin-lattice coupling in nanoengineered oxide films and heterostructures by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Xi, Xiaoxing [Temple Univ., Philidelphia, PA (United States)

    2017-06-08

    The objective of the proposed research is to investigate nanoengineered oxide films and multilayer structures that are predicted to show desirable properties. The main focus of the project is an atomic layer-by-layer laser MBE (ALL-Laser MBE ) technique that is superior to the conventional laser MBE in broadening the conditions for the synthesis of high quality nanoscale oxides and new designer materials. In ALL-Laser MBE, separate oxide targets are used instead of one compound target in the conventional laser MBE. The targets are switched back and forth in front of a UV laser beam as they are alternately ablated. The oxide film is thus constructed one atomic layer at a time. The growth of each atomic layer is monitored and controlled by the reflection high energy electron diffraction (RHEED). The intensity of the diffraction spots increases or decreases depending on the chemistry of each atomic layer as well as the surface roughness. This allows us to determine whether the chemical ratio of the different elements in the films meets the desired value and whether each atomic layer is complete. ALL-Laser MBE is versatile: it works for non-polar film on non-polar substrate, polar film on polar substrate, and polar film on non-polar substrate. (In a polar material, each atomic layer is charged whereas in a non-polar material the atomic layers are charge neutral.) It allows one to push the thermodynamic boundary further in stabilizing new phases than reactive MBE and PLD, two of the most successful techniques for oxide thin films. For example, La5Ni4O13, the Ruddlesden-Popper phase with n = 4, has never been reported in the literature because it needs atomic layer-by-layer growth at high oxygen pressures, not possible with other growth techniques. ALL-Laser MBE makes it possible. We have studied the interfacial 2-dimensional electron gas in the LaAlO3/SrTiO3 system, whose mechanism has been a subject of controversy

  10. Plasma assisted combustion : Interaction of a flat flame with a nanosecond dielectric barrier discharge plasma

    NARCIS (Netherlands)

    Elkholy, A.H.E.; van Oijen, J.A.; de Goey, L.P.H.

    2016-01-01

    Using of non-equilibrium Plasma-assisted for ignition, combustion and high speed flow applications are rapidly developing in the last decades due to its ability to produce a large amount of radicals and excited species. Which has a great potential in flame stabilization and emission control.

  11. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    Energy Technology Data Exchange (ETDEWEB)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul [Advanced Technology Development Center, Indian Institute of Technology, Kharagpur, 721302 (India); Chakraborty, Apurba; Biswas, Dhrubes [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur, 721302 (India)

    2014-11-15

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al{sub 2}O{sub 3}) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10{sup −3}(1 × 10{sup −3}), and −1.7 × 10{sup −3}(2 × 10{sup −3}) in GaN layer and 5.1 × 10{sup −3} (−3.3 × 10{sup −3}), and 8.8 × 10{sup −3}(−1.3 × 10{sup −3}) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum.

  12. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  13. Atmospheric pressure plasma-assisted femtosecond laser engraving of aluminium

    Science.gov (United States)

    Gerhard, Christoph; Gimpel, Thomas; Tasche, Daniel; Koch née Hoffmeister, Jennifer; Brückner, Stephan; Flachenecker, Günter; Wieneke, Stephan; Schade, Wolfgang; Viöl, Wolfgang

    2018-05-01

    In this contribution, we report on the impact of direct dielectric barrier discharge argon plasma at atmospheric pressure on femtosecond laser engraving of aluminium. It is shown that the assisting plasma strongly affects the surface geometry and formation of spikes of both laser-engraved single lines and patterns of adjacent lines with an appropriate overlap. Further, it was observed that the overall ablation depth is significantly increased in case of large-scale patterning whereas no notable differences in ablation depth are found for single lines. Several possible mechanisms and underlying effects of this behaviour are suggested. The increase in ablation depth is supposed to be due to a plasma-induced removal of debris particles from the cutting point via charging and oxidation as supported by EDX analysis of the re-solidified debris. Furthermore, the impact of a higher degree of surface wrinkling as well as direct interactions of plasma species with the aluminium surface on the ablation process are discussed.

  14. Plasma-nitriding assisted micro-texturing into stainless steel molds

    Directory of Open Access Journals (Sweden)

    Aizawa Tatsuhiko

    2015-01-01

    Full Text Available Micro-texturing has grown up to be one of the most promising procedures. This related application required for large-area, fine micro-texturing onto the stainless steel mold materials. A new method other than laser-machining, micro-milling or micro-EDM was awaited for further advancement of this micro-texturing. In the present paper, a plasma nitriding assisted micro-texturing was developed to make various kinds of micro-patterns onto the martensitic stainless steels. First, original patterns were printed onto the surface of substrate by using the ink-jet printer. Then, the masked substrate was subjected to high density plasma nitriding; the un-masked surfaces were nitrided to have higher hardness. This nitrided substrate was further treated by sand-blasting to selectively dig the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel substrate was fabricated as a mold to duplicate these micro-patterns onto the work materials. The spatial resolution and depth profile controllability of this plasma nitriding assisted micro-texturing was investigated for variety of initial micro-patterns. The original size and dimension of initial micro-patterns were precisely compared with the three dimensional geometry of micro-textures after blasting treatment. The plastic cover case for smart cellular phones was employed to demonstrate how useful this processing is in practice.

  15. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  16. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  17. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  18. Measurement of heavy metals by means of a plasma-assisted method

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.; Oikari, R.

    1995-01-01

    The plasma-assisted measuring device for on-line measurement of alkali metal concentrations in pressurised processes, which has been developed in the Laboratory, will be further developed to provide for simultaneous measurement of heavy metal concentrations. (author)

  19. Plasma Assisted Ignition and Combustion at Low Initial Gas Temperatures: Development of Kinetic Mechanism

    Science.gov (United States)

    2016-10-05

    R and Pouvesle J M 2009 Experimental study of a compact nanosecond plasma gun Plasma Processes and Polymers 6 795—802 [11] Heinlin J, Morfill G...radially symmetrical geometry. The thickness of the plasma layer in the direction perpendicular to the dielectric plane is about 1 mm. The central coaxial ...Positive and negative polarity discharge at elevated pres- sures Discharge in coaxial geometry has been developed for plasma assisted ignition at high

  20. Ion-assisted functional monolayer coating of nanorod arrays in hydrogen plasmas

    International Nuclear Information System (INIS)

    Tam, E.; Levchenko, I.; Ostrikov, K.; Keidar, M.; Xu, S.

    2007-01-01

    Uniformity of postprocessing of large-area, dense nanostructure arrays is currently one of the greatest challenges in nanoscience and nanofabrication. One of the major issues is to achieve a high level of control in specie fluxes to specific surface areas of the nanostructures. As suggested by the numerical experiments in this work, this goal can be achieved by manipulating microscopic ion fluxes by varying the plasma sheath and nanorod array parameters. The dynamics of ion-assisted deposition of functional monolayer coatings onto two-dimensional carbon nanorod arrays in a hydrogen plasma is simulated by using a multiscale hybrid numerical simulation. The numerical results show evidence of a strong correlation between the aspect ratios and nanopattern positioning of the nanorods, plasma sheath width, and densities and distributions of microscopic ion fluxes. When the spacing between the nanorods and/or their aspect ratios are larger, and/or the plasma sheath is wider, the density of microscopic ion current flowing to each of the individual nanorods increases, thus reducing the time required to apply a functional monolayer coating down to 11 s for a 7-μm-wide sheath, and to 5 s for a 50-μm-wide sheath. The computed monolayer coating development time is consistent with previous experimental reports on plasma-assisted functionalization of related carbon nanostructures [B. N. Khare et al., Appl. Phys. Lett. 81, 5237 (2002)]. The results are generic in that they can be applied to a broader range of plasma-based processes and nanostructures, and contribute to the development of deterministic strategies of postprocessing and functionalization of various nanoarrays for nanoelectronic, biomedical, and other emerging applications

  1. Hydrostatic pressure study of MBE CdMnTe doped bromine

    International Nuclear Information System (INIS)

    Szczytko, J.; Wasek, D.; Przybytek, J.; Baj, M.; Waag, A.

    1995-01-01

    We present Hall effect and resistivity measurements as a function of pressure performed on MBE-grown Cd 1-x Mn x Te (with x=0.14) layer doped with bromine. The experimental data were analysed using positive and negative U model of Br centers. We found that both models could reproduce the experimental points, but in the case of positive U modes - only under assumption that the sample was completely uncompensated. (author)

  2. Ab initio-based approach to structural change of compound semiconductor surfaces during MBE growth

    Science.gov (United States)

    Ito, Tomonori; Akiyama, Toru; Nakamura, Kohji

    2009-01-01

    Phase diagrams of GaAs and GaN surfaces are systematically investigated by using our ab initio-based approach in conjunction with molecular beam epitaxy (MBE). The phase diagrams are obtained as a function of growth parameters such as temperature and beam equivalent pressure (BEP). The versatility of our approach is exemplified by the phase diagram calculations for GaAs(0 0 1) surfaces, where the stable phases and those phase boundaries are successfully determined as functions of temperature and As 2 and As 4 BEPs. The initial growth processes are clarified by the phase diagram calculations for GaAs(1 1 1)B-(2×2). The calculated results demonstrate that the As-trimer desorption on the GaAs(1 1 1)B-(2×2) with Ga adatoms occurs beyond 500-700 K while the desorption without Ga adatoms does beyond 800-1000 K. This self-surfactant effect induced by Ga adsorption crucially affects the initial growth of GaAs on the GaAs(1 1 1)B-(2×2). Furthermore, the phase diagram calculations for GaN(0 0 0 1) suggests that Ga adsorption or desorption during GaN MBE growth can easily change the pseudo-(1×1) to the (2×2)-Ga via newly found (1×1) and vice versa. On the basis of this finding, the possibility of ghost island formation during MBE growth is discussed.

  3. Hydrostatic pressure study of MBE CdMnTe doped bromine

    Energy Technology Data Exchange (ETDEWEB)

    Szczytko, J.; Wasek, D.; Przybytek, J.; Baj, M. [Institute of Experimental Physics, Warsaw University, Warsaw (Poland); Waag, A. [Physikalisches Institut, Universitaet Wuerzburg, Wuerzburg (Germany)

    1995-12-31

    We present Hall effect and resistivity measurements as a function of pressure performed on MBE-grown Cd{sub 1-x}Mn{sub x}Te (with x=0.14) layer doped with bromine. The experimental data were analysed using positive and negative U model of Br centers. We found that both models could reproduce the experimental points, but in the case of positive U modes - only under assumption that the sample was completely uncompensated. (author). 6 refs, 3 figs.

  4. Investigation of flame structure in plasma-assisted turbulent premixed methane-air flame

    Science.gov (United States)

    Hualei, ZHANG; Liming, HE; Jinlu, YU; Wentao, QI; Gaocheng, CHEN

    2018-02-01

    The mechanism of plasma-assisted combustion at increasing discharge voltage is investigated in detail at two distinctive system schemes (pretreatment of reactants and direct in situ discharge). OH-planar laser-induced fluorescence (PLIF) technique is used to diagnose the turbulent structure methane-air flame, and the experimental apparatus consists of dump burner, plasma-generating system, gas supply system and OH-PLIF system. Results have shown that the effect of pretreatment of reactants on flame can be categorized into three regimes: regime I for voltage lower than 6.6 kV; regime II for voltage between 6.6 and 11.1 kV; and regime III for voltage between 11.1 and 12.5 kV. In regime I, aerodynamic effect and slower oxidation of higher hydrocarbons generated around the inner electrode tip plays a dominate role, while in regime III, the temperature rising effect will probably superimpose on the chemical effect and amplify it. For wire-cylinder dielectric barrier discharge reactor with spatially uneven electric field, the amount of radicals and hydrocarbons are decreased monotonically in radial direction which affects the flame shape. With regard to in situ plasma discharge in flames, the discharge pattern changes from streamer type to glow type. Compared with the case of reactants pretreatment, the flame propagates further in the upstream direction. In the discharge region, the OH intensity is highest for in situ plasma assisted combustion, indicating that the plasma energy is coupled into flame reaction zone.

  5. Real-Time Monitoring and Control of HgCdTe MBE Using an Integrated Multi-Sensor System

    National Research Council Canada - National Science Library

    Olson, G

    1998-01-01

    We present recent progress on the use of an integrated real-time sensing and control system for monitoring and controlling substrate temperature, layer composition, and effusion cell flux during MBE...

  6. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  7. MBE growth of few-layer 2H-MoTe2 on 3D substrates

    Science.gov (United States)

    Vishwanath, Suresh; Sundar, Aditya; Liu, Xinyu; Azcatl, Angelica; Lochocki, Edward; Woll, Arthur R.; Rouvimov, Sergei; Hwang, Wan Sik; Lu, Ning; Peng, Xin; Lien, Huai-Hsun; Weisenberger, John; McDonnell, Stephen; Kim, Moon J.; Dobrowolska, Margaret; Furdyna, Jacek K.; Shen, Kyle; Wallace, Robert M.; Jena, Debdeep; Xing, Huili Grace

    2018-01-01

    MoTe2 is the least explored material in the Molybdenum-chalcogen family. Molecular beam epitaxy (MBE) provides a unique opportunity to tackle the small electronegativity difference between Mo and Te while growing layer by layer away from thermodynamic equilibrium. We find that for a few-layer MoTe2 grown at a moderate rate of ∼6 min per monolayer, a narrow window in temperature (above Te cell temperature) and Te:Mo ratio exists, where we can obtain pure phase 2H-MoTe2. This is confirmed using reflection high-energy electron diffraction (RHEED), Raman spectroscopy and X-ray photoemission spectroscopy (XPS). For growth on CaF2, Grazing incidence X-ray diffraction (GI-XRD) reveals a grain size of ∼90 Å and presence of twinned grains. In this work, we hypothesis the presence of excess Te incorporation in MBE grown few layer 2H-MoTe2. For film on CaF2, it is based on >2 Te:Mo stoichiometry using XPS as well as 'a' and 'c' lattice spacing greater than bulk 2H-MoTe2. On GaAs, its based on observations of Te crystallite formation on film surface, 2 × 2 superstructure observed in RHEED and low energy electron diffraction, larger than bulk c-lattice spacing as well as the lack of electrical conductivity modulation by field effect. Finally, thermal stability and air sensitivity of MBE 2H-MoTe2 is investigated by temperature dependent XRD and XPS, respectively.

  8. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    International Nuclear Information System (INIS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Ao, Jin-Ping; Zhu, Huichao

    2016-01-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl 4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10 −9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs. (paper)

  9. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  10. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  11. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  12. Performance of MBE-4: An experimental multiple beam induction linear accelerator for heavy ions

    International Nuclear Information System (INIS)

    Warwick, A.I.; Fessenden, T.J.; Keefe, D.; Kim, C.H.; Meuth, H.

    1988-06-01

    An experimental induction linac, called MBE-4, has been constructed to demonstrate acceleration and current amplification of multiple heavy ion beams. This work is part of a program to study the use of such an accelerator as a driver for heavy ion inertial fusion. MBE-4 is 16m long and accelerates four space-charge-dominated beams of singly-charged cesium ions, in this case from 200 keV to 700 keV, amplifying the current in each beam from 10mA by a factor of nine. Construction of the experiment was completed late in 1987 and we present the results of detailed measurements of the longitudinal beam dynamics. Of particular interest is the contribution of acceleration errors to the growth of current fluctuations and to the longitudinal emittance. The effectiveness of the longitudinal focusing, accomplished by means of the controlled time dependence of the accelerating fields, is also discussed. 4 refs., 5 figs., 1 tab

  13. Molecular beam epitaxy for high-performance Ga-face GaN electron devices

    International Nuclear Information System (INIS)

    Kaun, Stephen W; Speck, James S; Wong, Man Hoi; Mishra, Umesh K

    2013-01-01

    Molecular beam epitaxy (MBE) has emerged as a powerful technique for growing GaN-based high electron mobility transistor (HEMT) epistructures. Over the past decade, HEMT performance steadily improved, mainly through the optimization of device fabrication processes. Soon, HEMT performance will be limited by the crystalline quality of the epistructure. MBE offers heterostructure growth with highly abrupt interfaces, low point defect concentrations, and very low carbon and hydrogen impurity concentrations. Minimizing parasitic leakage pathways and resistances is essential in the growth of HEMTs for high-frequency and high-power applications. Through growth on native substrates with very low threading dislocation density, low-leakage HEMTs with very low on-resistance can be realized. Ga-rich plasma-assisted MBE (PAMBE) has been studied extensively, and it is clear that this technique has inherent limitations, including a high density of leakage pathways and a very small growth parameter space. Relatively new MBE growth techniques—high-temperature N-rich PAMBE and ammonia-based MBE—are being developed to circumvent the shortcomings of Ga-rich PAMBE. (invited review)

  14. MBE growth of VCSELs for high volume applications

    Science.gov (United States)

    Jäger, Roland; Riedl, Michael C.

    2011-05-01

    Mass market applications like laser computer mouse or optical data transmission based on vertical-cavity surface-emitting laser (VCSEL) chips need a high over all yield including epitaxy, processing, dicing, mounting and testing. One yield limitation for VCSEL structures is the emission wavelength variation of the substrate surface area leading to the fraction on laser chips which are below or above the specification limits. For most 850 nm VCSEL products a resonator wavelength variation of ±2 nm is common. This represents an average resonator thickness variation of much less than 1% which is quite challenging to be fulfilled on the entire processed wafer surface area. A high over all yield is demonstrated on MBE grown VCSEL structures.

  15. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  16. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  17. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  18. Plasma-Assisted Synthesis of NiCoP for Efficient Overall Water Splitting

    KAUST Repository

    Liang, Hanfeng; Gandi, Appala; Anjum, Dalaver H.; Wang, Xianbin; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2016-01-01

    be further enhanced by substitution with extrinsic metals, though very little work has been conducted in this area. Here we present for the first time a novel PH plasma-assisted approach to convert NiCo hydroxides into ternary NiCoP. The obtained Ni

  19. Life on the edge: squirrel-cage fringe fields and their effects in the MBE-4 combiner experiment

    International Nuclear Information System (INIS)

    Fawley, W.M.

    1996-02-01

    The MBE-4 combiner experiment employs an electrostatic combined-function focusing/bending element, the so-called ''squirrel-cage'' just before the actual merging region. There has been concern that non-linear fields, primarily in the fringe regions at the beginning and end of the cage, may be strong enough to lead to significant emittance degradation. This note present the results of numerical calculations which determined the anharmonic, non-linear components of the 3D fields in the cage and the resultant, orbit-integrated effects upon the MBE-4 beamlets. We find that while the anharmonic effects are small compared to the dipole deflection, the resultant transverse emittance growth is significant when compared to the expected value of the initial emittance of the individual beamlets

  20. Consentimiento informado en psicoterapia. Revisión desde la MBE

    OpenAIRE

    Franco, Álvaro; Mendoza, Natalia; Mora, Mireya

    2004-01-01

    Objetivos: revisar a la luz del concepto de la medicina basada en evidencia (MBE) la necesidad o no de adelantar un consentimiento informado en la práctica psicoterapéutica, considerando los aspectos legales, la relación médico-paciente y la actitud de este último hacia el tratamiento. Método: se realizó una estrategia de búsqueda de los estudios sobre el tema en las bases de datos disponibles y se consultó la normatividad de carácter no vinculante y la legislación Colombiana (vinculante), du...

  1. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  2. Start-up assist by magnetized plasma flow injection in TPE-RX reversed-field pinch

    Energy Technology Data Exchange (ETDEWEB)

    Asai, T. [College of Science and Technology, Nihon University, 1-8 Kanda-Surugadai, Chiyoda-ku, Tokyo 101-8308 (Japan)]. E-mail: asai@phys.cst.nihon-u.ac.jp; Nagata, M. [Graduate School of Engineering, University of Hyogo, Himeji (Japan); Koguchi, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Hirano, Y. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Sakakita, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Yambe, K. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Kiyama, S. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan)

    2006-11-15

    A reversed-field pinch (RFP) start-up assisted by a magnetized plasma flow injection was demonstrated for the first time on a TPE-RX machine. This sequence of experiments aimed to establish a new method of ionization, gas-fill and helicity injection in the start-up phase of an RFP. In this start-up method, magnetized and well-ionized plasma is formed by a magnetized coaxial plasma gun and injected into the torus chamber as an initial pre-ionized plasma for RFP formation. In the initial experiments, attenuated density pump-out and comparatively slow decay of the toroidal flux and plasma current were observed as evidence of its being an effective start-up method.

  3. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  4. Model of inductive plasma production assisted by radio-frequency wave in tokamaks

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Hanada, Kazuaki; Sato, Kohnosuke

    2007-01-01

    For initial plasma production, an induction electric field generated by applying voltage to a poloidal field (PF) coil system is used to produce a Townsend avalanche breakdown. When the avalanche margins are small, as for the International Thermonuclear Experimental Reactor (ITER) in which the induction electric field is about 0.3 V/m, the assistance of radio-frequency waves (RF) is provided to reduce the induction electric field required for reliable breakdown. However, the conditions of RF-assisted breakdown are not clear. Here, the effects of both RF and induction electric field on the RF-assisted breakdown are evaluated considering the electron loss. When traveling loss is the dominant loss, a simple model of an extended Townsend avalanche is proposed. In this model, the induction electric field required for RF-assisted breakdown can be decreased to half that required for induction breakdown. (author)

  5. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  6. Atmospheric pressure plasma assisted calcination by the preparation of TiO2 fibers in submicron scale

    Science.gov (United States)

    Medvecká, Veronika; Kováčik, Dušan; Zahoranová, Anna; Černák, Mirko

    2018-01-01

    Atmospheric pressure plasma assisted calcination by the preparation of TiO2 submicron fibers as a low-temperature alternative to the conventional thermal annealing was studied. A special type of dielectric barrier discharge was used for plasma treatment of hybrid titanium butoxide/polyvinylpyrrolidone (Ti(Bu)/PVP) fibers prepared by forcespinning to decompose and oxidize the base polymer and precursor. The obtained fibers were characterized by changes in chemical bonds on the surface using Fourier Transform Infrared Spectroscopy (FTIR), chemical composition by using Energy-Dispersive X-Ray Spectroscopy (EDX), X-ray Photoelectron Spectroscopy (XPS). The morphology of fibers was investigated by Scanning Electron Microscopy (SEM). A significant decrease of organic components was reached by short plasma exposure times less than 1 h. The obtained fibers exhibit a high surface porosity without degradation of the fibrous structure. The results obtained indicate that atmospheric pressure plasma assisted calcination can be a viable low-temperature, energy- and time-saving alternative or pre-treatment method for the conventional high-temperature thermal calcination.

  7. Video-assisted thoracoscopic PlasmaJet ablation for malignant pleural mesothelioma.

    Science.gov (United States)

    Perikleous, Periklis; Asadi, Nizar; Anikin, Vladimir

    2018-01-01

    The role of surgery in malignant pleural mesothelioma (MPM) remains debatable; nonetheless the relative advantages of different surgical approaches are frequently reassessed and reconsidered. While extensive operations and longer recovery periods can be justified for a group of carefully selected patients, many will present at an advanced stage of their disease or with associated co-morbidities which will exclude them from selection criteria for radical treatment. For these patients, minimally invasive video-assisted procedures may be considered, for purposes of cytoreduction and/or symptomatic relief. Even though there is currently not enough clinical evidence to suggest an improvement in overall survival with limited debulking procedures, it has been suggested that they can improve quality of life over drainage and pleurodesis alone. We consider video-assisted PlasmaJet ablation to potentially have a role in mesothelioma surgery, as it may be used for effective cytoreduction while minimising the risk for complications often associated with extensive pleurectomy procedures, and we report on the use of the PlasmaJet Surgical System in our centre for surgical management of a patient with MPM. After demonstrating safety and absence of major adverse events with this approach, we feel justified in offering the procedure to more of our patients as we aim to collect additional data.

  8. Plasma-assisted heterogeneous catalysis for NOx reduction in lean-burn engine exhaust

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsaio, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States); Wan, C.Z.; Rice, G.W.; Voss, K.E. [Engelhard Corp., Iselin, NJ (United States)

    1997-12-31

    This paper discusses the combination of a plasma with a catalyst to improve the reduction of NO{sub x} under lean-burn conditions. The authors have been investigating the effects of a plasma on the NO{sub x} reduction activity and temperature operating window of various catalytic materials. One of the goals is to develop a fundamental understanding of the interaction between the gas-phase plasma chemistry and the heterogeneous chemistry on the catalyst surface. The authors have observed that plasma assisted heterogeneous catalysis can facilitate NO{sub x} reduction under conditions that normally make it difficult for either the plasma or the catalyst to function by itself. By systematically varying the plasma electrode and catalyst configuration, they have been able to elucidate the process by which the plasma chemistry affects the chemical reduction of NO{sub x} on the catalyst surface. They have discovered that the main effect of the plasma is to induce the gas-phase oxidation of NO to NO{sub 21}. The reduction of NO{sub x} to N{sub 2} is then accomplished by heterogeneous reaction of O with activated hydrocarbons on the catalyst surface. The use of a plasma opens the opportunity for a new class of catalysts that are potentially more durable, more active, more selective and more sulfur-tolerant compared to conventional lean-NO{sub x} catalysts.

  9. InAs(Sb) quantum dots grown on GaAs by MBE

    International Nuclear Information System (INIS)

    Sallet, V.; Patriarche, G.; Mauguin, O.; Largeau, L.; Travers, L.

    2006-01-01

    The MBE growth of InAs(Sb)/GaAs quantum dots is investigated. Photoluminescence shows a slight red-shift of the emission wavelength from 1.15 to 1.24 μm (InAs 0.95 Sb 0.05 dots). Attempts to incorporate higher concentrations of antimony lead to a poor optical quality and, occasionally, to a blue-shift. Trans-mission electron microscopy observations are discussed considering the surfactant effect of antimony. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  11. MBE-grown semiconductor nanostructures with electronic and photonic confinement

    DEFF Research Database (Denmark)

    Jensen, Jacob Riis

    In this thesis the realization of semiconductor nanostructures in the InAlGaAs material system with molecular beam epitaxy (MBE) is described, as well as the characterization of their optical properties. First, the growth conditions used for different materials and surfaces are given, and the gen...... a microcavity is measured and analysed, where a good qualitative agreement with theories for Rayleigh scattering is found, ant he so-called polariton bottleneck is observed....... well is also taken into account, which is demonstrated in a microcavity with a reduced light-matter interaction. For the polariton with the lowest eigenenergy, it is shown that the probability for scattering on lattice vibrations or free carriers is reduced. Finally, the secondary emission from...

  12. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  13. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  14. Investigation of growth, coverage and effectiveness of plasma assisted nano-films of fluorocarbon

    International Nuclear Information System (INIS)

    Joshi, Pratik P.; Pulikollu, Rajasekhar; Higgins, Steven R.; Hu Xiaoming; Mukhopadhyay, S.M.

    2006-01-01

    Plasma-assisted functional films have significant potential in various engineering applications. They can be tailored to impart desired properties by bonding specific molecular groups to the substrate surface. The aim of this investigation was to develop a fundamental understanding of the atomic level growth, coverage and functional effectiveness of plasma nano-films on flat surfaces and to explore their application-potential for complex and uneven shaped nano-materials. In this paper, results on plasma-assisted nano-scale fluorocarbon films, which are known for imparting inertness or hydrophobicity to the surface, will be discussed. The film deposition was studied as a function of time on flat single crystal surfaces of silicon, sapphire and graphite, using microwave plasma. X-ray photoelectron spectroscopy (XPS) was used for detailed study of composition and chemistry of the substrate and coating atoms, at all stages of deposition. Atomic force microscopy (AFM) was performed in parallel to study the coverage and growth morphology of these films at each stage. Combined XPS and AFM results indicated complete coverage of all the substrates at the nanometer scale. It was also shown that these films grew in a layer-by-layer fashion. The nano-films were also applied to complex and uneven shaped nano-structured and porous materials, such as microcellular porous foam and nano fibers. It was seen that these nano-films can be a viable approach for effective surface modification of complex or uneven shaped nano-materials

  15. Plasma pro-atrial natriuretic peptide to estimate fluid balance during open and robot-assisted esophagectomy

    DEFF Research Database (Denmark)

    Strandby, Rune Broni; Ambrus, Rikard; Secher, Niels H

    2017-01-01

    BACKGROUND: It remains debated how much fluid should be administered during surgery. The atrial natriuretic peptide precursor proANP is released by atrial distension and deviations in plasma proANP are reported associated with perioperative fluid balance. We hypothesized that plasma proANP would...... decrease when the central blood volume is compromised during the abdominal part of robot-assisted hybrid (RE) esophagectomy and that a positive fluid balance would be required to maintain plasma proANP. METHODS: Patients undergoing RE (n = 25) or open (OE; n = 25) esophagectomy for gastroesophageal cancer...

  16. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  17. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    International Nuclear Information System (INIS)

    Kaniewska, M.; Engstroem, O.

    2007-01-01

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10 15 cm -3 at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures

  18. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    Energy Technology Data Exchange (ETDEWEB)

    Kaniewska, M. [Department of Analysis of Semicoductor Nanostructures, Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)], E-mail: kaniew@ite.waw.pl; Engstroem, O. [Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Goeteborg (Sweden)

    2007-09-15

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10{sup 15} cm{sup -3} at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures.

  19. Investigating the Plasma-Assisted and Thermal Catalytic Dry Methane Reforming for Syngas Production: Process Design, Simulation and Evaluation

    Directory of Open Access Journals (Sweden)

    Evangelos Delikonstantis

    2017-09-01

    Full Text Available The growing surplus of green electricity generated by renewable energy technologies has fueled research towards chemical industry electrification. By adapting power-to-chemical concepts, such as plasma-assisted processes, cheap resources could be converted into fuels and base chemicals. However, the feasibility of those electrified processes at large scale has not been investigated yet. Thus, the current work strives to compare, for first time in the literature, plasma-assisted production of syngas, from CH4 and CO2 (dry methane reforming, with thermal catalytic dry methane reforming. Specifically, both processes are conceptually designed to deliver syngas suitable for methanol synthesis (H2/CO ≥ 2 in mole. The processes are simulated in the Aspen Plus process simulator where different process steps are investigated. Heat integration and equipment cost estimation are performed for the most promising process flow diagrams. Collectively, plasma-assisted dry methane reforming integrated with combined steam/CO2 methane reforming is an effective way to deliver syngas for methanol production. It is more sustainable than combined thermal catalytic dry methane reforming with steam methane reforming, which has also been proposed for syngas production of H2/CO ≥ 2; in the former process, 40% more CO2 is captured, while 38% less H2O is consumed per mol of syngas. Furthermore, the plasma-assisted process is less complex than the thermal catalytic one; it requires higher amount of utilities, but comparable capital investment.

  20. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  1. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  2. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    Science.gov (United States)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  3. Very high channel conductivity in low-defect AlN/GaN high electron mobility transistor structures

    International Nuclear Information System (INIS)

    Dabiran, A. M.; Wowchak, A. M.; Osinsky, A.; Xie, J.; Hertog, B.; Cui, B.; Chow, P. P.; Look, D. C.

    2008-01-01

    Low defect AlN/GaN high electron mobility transistor (HEMT) structures, with very high values of electron mobility (>1800 cm 2 /V s) and sheet charge density (>3x10 13 cm -2 ), were grown by rf plasma-assisted molecular beam epitaxy (MBE) on sapphire and SiC, resulting in sheet resistivity values down to ∼100 Ω/□ at room temperature. Fabricated 1.2 μm gate devices showed excellent current-voltage characteristics, including a zero gate saturation current density of ∼1.3 A/mm and a peak transconductance of ∼260 mS/mm. Here, an all MBE growth of optimized AlN/GaN HEMT structures plus the results of thin-film characterizations and device measurements are presented

  4. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  5. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  6. Superconductivity in MBE grown InN

    Energy Technology Data Exchange (ETDEWEB)

    Gunes, M.; Balkan, N. [School of Computer Science and Electronic Engineering, University of Essex, Wivenhoe Park, CO4 3SQ, Colchester (United Kingdom); Tiras, E.; Ardali, S. [Department of Physics, Faculty of Science, Anadolu University, Yunus Emre Campus, 26470, Eskisehir (Turkey); Ajagunna, A.O.; Iliopoulos, E.; Georgakilas, A. [Microelectronics Research Group, IESL, FORTH and Physics Department, University of Crete, P.O. Box 1385, 71110 Heraklion, Crete (Greece)

    2011-05-15

    We present the experimental investigation of superconductivity in unintentionally doped MBE grown InN samples with various InN film thicknesses. A significant change in resistivity was observed at 3.82 K, for an 1080 nm InN layer with carrier concentration n{sub 3D}=1.185x10{sup 19} cm{sup -3}. However, no significant resistance change was observed in the case of InN samples with carrier density of 1.024x10{sup 19} cm{sup -3}, 1.38x10{sup 19} cm{sup -3}, and thicknesses of 2070 and 4700 nm, respectively. The carrier density of all investigated samples was within the range of values between the Mott transition (2x10{sup 17} cm{sup -3}) and the superconductivity to metal transition (7x10{sup 20} cm{sup -3}). We believe that at lower temperatures ({sup 3}He) which we cannot achieve with our set-up, the phase transition in other samples is likely to be observed. The origin of the observed anisotropic type-II superconductivity is discussed (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  8. Evaluation of mechanism of cold atmospheric pressure plasma assisted polymerization of acrylic acid on low density polyethylene (LDPE) film surfaces: Influence of various gaseous plasma pretreatment

    Science.gov (United States)

    Ramkumar, M. C.; Pandiyaraj, K. Navaneetha; Arun Kumar, A.; Padmanabhan, P. V. A.; Uday Kumar, S.; Gopinath, P.; Bendavid, A.; Cools, P.; De Geyter, N.; Morent, R.; Deshmukh, R. R.

    2018-05-01

    Owing to its exceptional physiochemical properties, low density poly ethylene (LDPE) has wide range of tissue engineering applications. Conversely, its inadequate surface properties make LDPE an ineffectual candidate for cell compatible applications. Consequently, plasma-assisted polymerization with a selected precursor is a good choice for enhancing its biocompatibility. The present investigation studies the efficiency of plasma polymerization of acrylic acid (AAC) on various gaseous plasma pretreated LDPE films by cold atmospheric pressure plasma, to enhance its cytocompatibility. The change in chemical composition and surface topography of various gaseous plasma pretreated and acrylic deposited LDPE films has been assessed by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The changes in hydrophilic nature of surface modified LDPE films were studied by contact angle (CA) analysis. Cytocompatibility of the AAC/LDPE films was also studied in vitro, using RIN-5F cells. The results acquired by the XPS and AFM analysis clearly proved that cold atmospheric pressure (CAP) plasma assisted polymerization of AAC enhances various surface properties including carboxylic acid functional group density and increased surface roughness on various gaseous plasma treated AAC/LDPE film surfaces. Moreover, contact angle analysis clearly showed that the plasma polymerized samples were hydrophilic in nature. In vitro cytocompatibility analysis undoubtedly validates that the AAC polymerized various plasma pretreated LDPE films surfaces stimulate cell distribution and proliferation compared to pristine LDPE films. Similarly, cytotoxicity analysis indicates that the AAC deposited various gaseous plasma pretreated LDPE film can be considered as non-toxic as well as stimulating cell viability significantly. The cytocompatible properties of AAC polymerized Ar + O2 plasma pretreated LDPE films were found to be more pronounced compared to the other plasma pretreated

  9. MBE-growth, characterization and properties of InN and InGaN

    International Nuclear Information System (INIS)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T.; Suzuki, A.; Miyajima, T.

    2003-01-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. MBE-growth, characterization and properties of InN and InGaN

    Energy Technology Data Exchange (ETDEWEB)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T. [Dept. of Photonics, Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Suzuki, A. [Res. Org. of Sci. and Eng., Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Miyajima, T. [Sony Corp. Core Technology and Network Company, 4-14-1 Asahi, Atsugi, Kanagawa 243-0014 (Japan)

    2003-11-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  12. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  13. Determining of the growth mechanisms in the MBE growth of ternary Cd1-xAxTe (A = Zn, Mn, Hg) compounds. Part I - Methods of analysis of surface processes

    International Nuclear Information System (INIS)

    Sadowski, J.T.

    1999-01-01

    This paper is the first part of an extended abstract of the PhD thesis entitled 'Determining of the growth mechanisms in MBE growth of ternary Cd 1-x A x Te (A = Zn, Mn, Hg) compounds' written on the base of experiments performed in the MBE Lab. in Institute of Vacuum Technology, Warsaw. In that paper, the scientific problems to be solved in thesis are described. Also the analytical techniques (reflection quadrupole mass spectroscopy (REMS), reflection high-energy electron diffraction (RHEED), and laser interferometry (LI)) used in investigation and its implementation to 'in situ' measurements in MBE growth system are depicted. The experiments and extracted scientific results will be presented in the following paper, in next Elektronika issue. (author)

  14. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  15. Synergistic effects of non-thermal plasma-assisted catalyst and ultrasound on toluene removal.

    Science.gov (United States)

    Sun, Yongli; Zhou, Libo; Zhang, Luhong; Sui, Hong

    2012-01-01

    A wire-mesh catalyst coated by La0.8Sr0.2MnO3 was combined with a dielectric barrier discharge (DBD) reactor for toluene removal at atmospheric pressure. It was found that toluene removal efficiency and carbon dioxide selectivity were enhanced in the catalytic packed-bed reactor. In addition, ozone and nitrogen monoxide from the gas effluent byproducts decreased. This is the first time that ultrasound combined with plasma has been used for toluene removal. A synergistic effect on toluene removal was observed in the plasma-assisted ultrasound system. At the same time, the system increased toluene conversion and reduced ozone emission.

  16. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  17. Abatement of VOCs with Alternate Adsorption and Plasma-Assisted Regeneration: A Review

    Directory of Open Access Journals (Sweden)

    Sharmin Sultana

    2015-04-01

    Full Text Available Energy consumption is an important concern for the removal of volatile organic compounds (VOCs from waste air with non-thermal plasma (NTP. Although the combination of NTP with heterogeneous catalysis has shown to reduce the formation of unwanted by-products and improve the energy efficiency of the process, further optimization of these hybrid systems is still necessary to evolve to a competitive air purification technology. A newly developed innovative technique, i.e., the cyclic operation of VOC adsorption and NTP-assisted regeneration has attracted growing interest of researchers due to the optimized energy consumption and cost-effectiveness. This paper reviews this new technique for the abatement of VOCs as well as for regeneration of adsorbents. In the first part, a comparison of the energy consumption between sequential and continuous treatment is given. Next, studies dealing with adsorption followed by NTP oxidation are reviewed. Particular attention is paid to the adsorption mechanisms and the regeneration of catalysts with in-plasma and post-plasma processes. Finally, the influence of critical process parameters on the adsorption and regeneration steps is summarized.

  18. Drift compression experiments on MBE-4 and related emittance growth phenomena

    International Nuclear Information System (INIS)

    Eylon, S.; Faltens, A.; Fawley, W.; Garvey, T.; Hahn, K.; Henestroza, E.; Smith, L.

    1991-04-01

    We have recently conducted a series of experiments on the MBE-4 heavy ion accelerator in which a velocity tilt was placed on the beam in the first accelerating section beyond the injector, followed by drift compression over the remaining 11 meters. Depending upon the magnitude of the velocity tilt and the accompanying mismatch in the focusing lattice, emittance growth was observed, manifested by ''butterfly'' shapes in x - x' phase space. We discuss various analytical limits on ion beam compression and relate them to these experiments and also to a driver for a heavy ion fusion reactor. We also present numerical simulations which investigate various aspects of compression and consequent emittance growth. 2 refs., 3 figs., 1 tab

  19. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  20. A low-energy ion source for p-type doping in MBE

    International Nuclear Information System (INIS)

    Park, R.M.; Stanley, C.R.; Clampitt, R.

    1980-01-01

    A compact low-energy ion cell has been developed for use as a source of acceptor impurities for the growth of p-type semiconductor material in ultra-high vacuum by molecular beam epitaxy. A flux of either zinc or cadmium atoms is emitted under molecular effusion conditions and partially ionised in the orifice of the cell by electron bombardment. The design provides for control of both the ion energy and current at constant cell temperature. (100)InP has been grown by MBE in a flux of 1 keV Zn ions. The surface morphology and crystal structure show no degradation when compared with (100)InP grown without the Zn ions present. (author)

  1. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  2. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  3. Manipulator for plasma-assisted machining of components made of materials with low machinability

    International Nuclear Information System (INIS)

    Lyaoshchukov, M.M.; Agadzhanyan, R.A.

    1984-01-01

    The All-Union Scientific-Research and Technological Institute of Pump Engineering developed, and the ''Uralgidromash'' Production Association has adopted, a manipulator with remote control for the plasma-assisted machining (PAM) of components made of materials with low machinability. The manipulator is distinguished by its universal design and can be used for machining both external and internal surfaces of the bodies of revolution and also end faces and various curvilinear surfaces

  4. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  5. An ultrasound-assisted digestion method for the determination of toxic element concentrations in ash samples by inductively coupled plasma optical emission spectrometry

    International Nuclear Information System (INIS)

    Ilander, Aki; Vaeisaenen, Ari

    2007-01-01

    A method of ultrasound-assisted digestion followed by inductively coupled plasma optical emission spectrometry (ICP-OES) used for the determination of toxic element concentrations (arsenic, barium, cobalt, copper, lead, nickel, strontium, vanadium and zinc) in ash samples was developed. All the measurements were performed in robust plasma conditions which were tested by measuring the Mg(II) 280.270 nm/Mg(I) 285.213 nm line intensity ratios. The highest line intensity ratios were observed when a nebulizer gas flow of 0.6 L min -1 , auxiliary gas flow of 0.2 L min -1 and plasma power of 1400 W were used for radially viewed plasma. The analysis of SRM 1633b showed that the ultrasound-assisted method developed is highly comparable with the microwave digestion method standardized by the United States Environmental Protection Agency (EPA-3052). The ultrasound-assisted digestion with a digestion solution of aqua regia and hydrofluoric acid (HF) resulted in recovery rates of over 81%. One exception is arsenic which resulted in recoveries of about 60% only; however, it could be digested with good recovery (>90%) using a digestion solution of 5 mL of water and 5 mL of aqua regia. The major advantage of the ultrasound-assisted digestion over microwave digestion is the high treatment rate (30 samples simultaneously with a sonication time of 18 min)

  6. Hybrid plasma system for magnetron deposition of coatings with ion assistance

    International Nuclear Information System (INIS)

    Vavilin, K V; Kralkina, E A; Nekludova, P A; Petrov, A. K; Nikonov, A M; Pavlov, V B; Airapetov, A A; Odinokov, V V; Pavlov, G Ya; Sologub, V A

    2016-01-01

    The results of the study of the plasma hybrid system based on the combined magnetron discharge and high-frequency inductive discharge located in the external magnetic field is presented. Magnetron discharge provides the generation of atoms and ions of the target materials while the flow of accelerated ions used for the ion assistance is provided by the RF inductive discharge. An external magnetic field is used to optimize the power input to the discharge, to increase the ion current density in the realm of substrate and to enhance the area of uniform plasma. The joint operation of magnetron and RF inductive discharge leads to a substantial increase (not equal to the sum of the parameters obtained under separate operation of two hybrid system channels) of the ion current density and intensity of sputtered material spectral lines radiation. Optimal mode of the hybrid plasma system operation provides uniform ion current density on the diameter of at least 150mm at 0.7PA argon pressure. The optimal values of the magnetic fields in the region of the substrate location lie in the range 2-8 mTl, while in the region of the RF input power unit lie in the range 0.5-25 mTl. (paper)

  7. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  8. Formation of Apatite Coatings on an Artificial Ligament Using a Plasma- and Precursor-Assisted Biomimetic Process

    Directory of Open Access Journals (Sweden)

    Ayako Oyane

    2013-09-01

    Full Text Available A plasma- and precursor-assisted biomimetic process utilizing plasma and alternate dipping treatments was applied to a Leed-Keio artificial ligament to produce a thin coating of apatite in a supersaturated calcium phosphate solution. Following plasma surface modification, the specimen was alternately dipped in calcium and phosphate ion solutions three times (alternate dipping treatment to create a precoating containing amorphous calcium phosphate (ACP which is an apatite precursor. To grow an apatite layer on the ACP precoating, the ACP-precoated specimen was immersed for 24 h in a simulated body fluid with ion concentrations approximately equal to those in human blood plasma. The plasma surface modification was necessary to create an adequate apatite coating and to improve the coating adhesion depending on the plasma power density. The apatite coating prepared using the optimized conditions formed a thin-film that covered the entire surface of the artificial ligament. The resulting apatite-coated artificial ligament should exhibit improved osseointegration within the bone tunnel and possesses great potential for use in ligament reconstructions.

  9. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  10. Modeling of electron behaviors under microwave electric field in methane and air pre-mixture gas plasma assisted combustion

    Science.gov (United States)

    Akashi, Haruaki; Sasaki, K.; Yoshinaga, T.

    2011-10-01

    Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found that the simulated emission from 2nd PBS agrees with the experimental result. Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found

  11. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. CdTe layer structures for X-ray and gamma-ray detection directly grown on the Medipix readout-chip by MBE

    Science.gov (United States)

    Vogt, A.; Schütt, S.; Frei, K.; Fiederle, M.

    2017-11-01

    This work investigates the potential of CdTe semiconducting layers used for radiation detection directly deposited on the Medipix readout-chip by MBE. Due to the high Z-number of CdTe and the low electron-hole pair creation energy a thin layer suffices for satisfying photon absorption. The deposition takes place in a modified MBE system enabling growth rates up to 10 μm/h while the UHV conditions allow the required high purity for detector applications. CdTe sensor layers deposited on silicon substrates show resistivities up to 5.8 × 108 Ω cm and a preferred (1 1 1) orientation. However, the resistivity increases with higher growth temperature and the orientation gets more random. Additionally, the deposition of a back contact layer sequence in one process simplifies the complex production of an efficient contact on CdTe with aligned work functions. UPS measurements verify a decrease of the work function of 0.62 eV induced by Te doping of the CdTe.

  14. Study of sterilization-treatment in pure and N- doped carbon thin films synthesized by inductively coupled plasma assisted pulsed-DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Javid, Amjed [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Textile Processing, National Textile University, Faisalabad 37610 (Pakistan); Kumar, Manish, E-mail: manishk@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Jeon Geon, E-mail: hanjg@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2017-01-15

    Highlights: • Pure and N-doped nanocrystallie carbon films are synthesized by ICP assisted pulsed DC plasma process. • ICP power induces the increase in average graphitic crystallite size from 4.86 nm to 6.42 nm. • Beneficial role of ICP source assistance to achieve high sputtering throughput (deposition rate ∼55 nm/min). • Post-sterilization electron-transport study shows N-doped carbon films having promising stability. - Abstract: Electrically-conductive nanocrystalline carbon films, having non-toxic and non-immunogenic characteristics, are promising candidates for reusable medical devices. Here, the pure and N- doped nanocrystalline carbon films are deposited by the assistance of inductively coupled plasma (ICP) in an unbalanced facing target pulsed-DC magnetron sputtering process. Through the optical emission spectroscopy study, the role of ICP assistance and N-doping on the reactive components/radicals during the synthesis is presented. The N-doping enhances the three fold bonding configurations by increasing the ionization and energies of the plasma species. Whereas, the ICP addition increases the plasma density to control the deposition rate and film structure. As a result, sputtering-throughput (deposition rate: 31–55 nm/min), electrical resistivity (4–72 Ωcm) and water contact angle (45.12°–54°) are significantly tailored. Electric transport study across the surface microchannel confirms the superiority of N-doped carbon films for sterilization stability over the undoped carbon films.

  15. Improvement of laser keyhole formation with the assistance of arc plasma in the hybrid welding process of magnesium alloy

    Science.gov (United States)

    Liu, Liming; Hao, Xinfeng

    2009-11-01

    In the previous work, low-power laser/arc hybrid welding technique is used to weld magnesium alloy and high-quality weld joints are obtained. In order to make clear the interactions between low-power laser pulse and arc plasma, the effect of arc plasma on laser pulse is studied in this article. The result shows that the penetration of low-power laser welding with the assistance of TIG arc is more than two times deeper than that of laser welding alone and laser welding transforms from thermal-conduction mode to keyhole mode. The plasma behaviors and spectra during the welding process are studied, and the transition mechanism of laser-welding mode is analyzed in detail. It is also found that with the assistance of arc plasma, the threshold value of average power density to form keyhole welding for YAG laser is only 3.3×10 4 W/cm 2, and the average peak power density is 2.6×10 5 W/cm 2 in the present experiment. Moreover, the distribution of energy density during laser pulse is modulated to improve the formation and stability of laser keyholes.

  16. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  17. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  18. Acceleration, current amplification and emittance in MBE-4, an experimental beam induction linear accelerator for heavy ions

    International Nuclear Information System (INIS)

    Warwick, A.I.; Gough, D.E.; Keefe, D.; Meuth, H.

    1988-10-01

    We report on the implementation of a second schedule of acceleration and current amplification in MBE-4. Control of the beam current within the bunch is improved over that in the first schedule by the addition of several small amplitude induction pulsers to compensate for acceleration errors and to control the ends of the bunch. Measurements of the longitudinal and transverse emittance are presented. 5 refs., 3 figs., 1 tab

  19. Plasma-Assisted ALD TiN/Al2O3 stacks for MIMIM Trench Capacitor Applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Voogt, F.C.; Besling, W.F.A.; Lamy, Y.; Roozeboom, F.; Sanden, van de M.C.M.; Kessels, W.M.M.; Gendt, de S.

    2009-01-01

    In this paper we report on the overall plasma-assisted ALD processes of Al2O3 and TiN conducted in a single reactor chamber and at a single temperature (340 oC). The individual Al2O3 and TiN films in the stack were consecutively deposited in such a way that they were separated by purge intervals

  20. Photon-assisted Beam Probes for Low Temperature Plasmas and Installation of Neutral Beam Probe in Helimak

    Science.gov (United States)

    Garcia de Gorordo, Alvaro; Hallock, Gary A.; Kandadai, Nirmala

    2008-11-01

    The Heavy Ion Beam Probe (HIBP) diagnostic has successfully measured the electric potential in a number of major plasma devices in the fusion community. In contrast to a Langmuir probe, the HIBP measures the exact electric potential rather than the floating potential. It is also has the advantage of being a very nonperturbing diagnostic. We propose a new photon-assisted beam probe technique that would extend the HIBP type of diagnostics into the low temperature plasma regime. We expect this method to probe plasmas colder than 10 eV. The novelty of the proposed diagnostic is a VUV laser that ionizes the probing particle. Excimer lasers produce the pulsed VUV radiation needed. The lasers on the market don't have a short enough wavelength too ionize any ion directly and so we calculate the population density of excited states in a NLTE plasma. These new photo-ionization techniques can take an instantaneous one-dimensional potential measurement of a plasma and are ideal for nonmagnitized plasmas where continuous time resolution is not required. Also the status of the Neutral Beam Probe installation on the Helimak experiment will be presented.

  1. Effect of BST film thickness on the performance of tunable interdigital capacitors grown by MBE

    Science.gov (United States)

    Meyers, Cedric J. G.; Freeze, Christopher R.; Stemmer, Susanne; York, Robert A.

    2017-12-01

    Voltage-tunable, interdigital capacitors (IDCs) were fabricated on Ba0.29Sr0.71TiO3 grown by hybrid molecular beam epitaxy (MBE). In this growth technique, we utilize the metal-organic precursor titanium tetraisopropoxide rather than solid-source Ti as with conventional MBE. Two samples of varying BaxSr(1-x)TiO3 (BST) thicknesses were fabricated and analyzed. High-quality, epitaxial Pt electrodes were deposited by sputtering from a high-purity Pt target at 825 °C. The Pt electrodes were patterned and etched by argon ion milling, passivated with reactively sputtered SiO2, and then metallized with lift-off Ti/Au. The fabricated devices consisted of two-port IDCs embedded in ground-signal-ground, coplanar waveguide (CPW) transmission lines to enable radio-frequency (RF) probing. The sample included open and thru de-embedding structures to remove pad and CPW parasitic impedances. Two-port RF scattering (S) parameters were measured from 100 MHz to 40 GHz while DC bias was stepped from 0 V to 100 V. The IDCs exhibit a high zero-bias radio-frequency (RF) quality factor (Q) approaching 200 at 1 GHz and better than 2.3:1 capacitance tuning for the 300-nm-thick sample. Differences in the Q(V) and C(V) response with varying thicknesses indicate that unknown higher order material phenomena are contributing to the loss and tuning characteristics of the material.

  2. Photoluminescence characteristics of InAs quantum dots grown by STM/MBE site-control technique

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, S.; Kohmoto, S.; Nakamura, H.; Ishikawa, T.; Asakawa, K.; Wada, O. [Femtosecond Technology Research Association, Tsukuba, Ibaraki (Japan). FESTA Lab.

    2001-03-08

    This paper describes micro-photoluminescence (PL) analysis of site-controlled QDs (SCQDs) grown using a novel in-situ MBE growth technique in which sites of self-assembled InAs QDs are controlled by forming nanometer deposits using a scanning tunneling microscope (STM) probe. We found from the temperature dependence of PL that the carrier collection at QDs at low temperature is limited by carrier diffusion in the wetting layer. The analysis of PL data considering this effect has indicated that individual QDs grown have high crystalline quality in spite of the addition of an artificial STM process during growth. (orig.)

  3. Pulser development for MBE-4

    International Nuclear Information System (INIS)

    Gough, D.E.; Brodzik, D.A.

    1986-06-01

    The Multiple Beam Experiment MBE4 is designed to accelerate four cesium ion beams from 200 kV to about 1 MV using an induction linac and to demonstrate the process of current amplification simultaneously with acceleration. The injected beam is obtained from a source using a Marx generator providing typically 10 mA/beam with a length of 1.6 meters. This is equivalent to a beam duration time of about 3 μsec. Twenty four acceleration gaps in groups of four are distributed along the length of the machine which will be some 16 meters long when completed. Each group of four acceleration gaps with appropriate quadrupoles form one section of the machine, identified as A through F. Careful tailoring of the acceleration voltage waveforms at each gap is required to accelerate the beam, amplify the current and provide longitudinal focusing. Ideal voltage waveforms for each gap were generated for a gap voltage limit initially set at 30 kV. These waveforms are shown in Fig. 1. The waveforms for the first 4 gaps are triangular with an approximate width of 3 μsec, becoming flatter and shorter at subsequent gaps as the beam bunch velocity increases. Ninety two nickel-iron tape wound cores capable of 6.8 mVsec/core and twenty six silicon steel tape wound cores capable of 24 mVsec/core were available. Groups of cores at the first eight gaps have been used in conjunction with an appropriate number of pulsers to provide the necessary accelerating voltage waveforms together with the pulser waveforms at every fourth acceleration gap which provides the longitudinal focusing of the beam. This paper will deal with the performance of the pulsers for the first eight gaps of acceleration and expectations for the next four, currently under construction

  4. Colour marking of transparent materials by laser-induced plasma-assisted ablation (LIPAA)

    International Nuclear Information System (INIS)

    Hanada, Yasutaka; Sugioka, Koji; Miyamoto, Iwao; Midorikawa, Katsumi

    2007-01-01

    We demonstrate colour marking of a transparent material using laser-induced plasma-assisted ablation (LIPAA) system. After the LIPAA process, metal thin film is deposited on the surface of the ablated groove. This feature is applied to RGB (red, green and blue) colour marking by using specific metal targets. The metal targets, for instance, are Pb 3 O 4 for red, Cr 2 O 3 for green and [Cu(C 32 H 15 ClN 8 )] for blue colour marking. Additionally, adhesion of the metal thin film deposited on the processed groove by various experimental conditions is investigated

  5. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee

    2014-03-08

    We report on the properties and growth kinetics of defect-free, photoluminescence (PL) efficient mushroom-like nanowires (MNWs) in the form of ~30nm thick hexagonal-shaped InGaN-nanodisk on GaN nanowires, coexisting with the conventional rod-like InGaN-on-GaN nanowires (RNWs) on (111)-silicon-substrate. When characterized using confocal microscopy (CFM) with 458nm laser excitation, while measuring spontaneous-emission at fixed detection wavelengths, the spatial intensity map evolved from having uniform pixelated emission, to having only an emission ring, and then a round emission spot. This corresponds to the PL emission with increasing indium composition; starting from emission mainly from the RNW, and then the 540 nm emission from one MNWs ensemble, followed by the 590 nm emission from a different MNW ensemble, respectively. These hexagonal-shaped InGaN-nano-disks ensembles were obtained during molecular-beam-epitaxy (MBE) growth. On the other hand, the regular rod-like InGaN-on-GaN nanowires (RNWs) were emitting at a shorter peak wavelength of 490 nm. While the formation of InGaN rod-like nanowire is well-understood, the formation of the hexagonal-shaped InGaN-nanodisk-on-GaN-nanowire requires further investigation. It was postulated to arise from the highly sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further accumulated more indium adatoms due to a higher cohesive bond between metallic molecules. © (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  6. Microchip analysis of lithium in blood using moving boundary electrophoresis and zone electrophoresis

    NARCIS (Netherlands)

    Vrouwe, E.X.; Lüttge, Regina; Olthuis, Wouter; van den Berg, Albert

    The determination of inorganic cations in blood plasma is demonstrated using a combination of moving boundary electrophoresis (MBE) and zone electrophoresis. The sample loading performed under MBE conditions is studied with the focus on the quantitative analysis of lithium. A concentration

  7. Microchip analysis of lithium in blood using moving boundary electrophoresis and zone electrophoresis

    NARCIS (Netherlands)

    Vrouwe, E.X.; Luttge, R.; Olthuis, W.; Berg, van den A.

    2005-01-01

    The determination of inorganic cations in blood plasma is demonstrated using a combination of moving boundary electrophoresis (MBE) and zone electrophoresis. The sample loading performed under MBE conditions is studied with the focus on the quantitative analysis of lithium. A concentration

  8. A parametric study of the microwave plasma-assisted combustion of premixed ethylene/air mixtures

    Science.gov (United States)

    Fuh, Che A.; Wu, Wei; Wang, Chuji

    2017-11-01

    A parametric study of microwave argon plasma assisted combustion (PAC) of premixed ethylene/air mixtures was carried out using visual imaging, optical emission spectroscopy and cavity ringdown spectroscopy as diagnostic tools. The parameters investigated included the plasma feed gas flow rate, the plasma power, the fuel equivalence ratio and the total flow rate of the fuel/air mixture. The combustion enhancement effects were characterized by the minimum ignition power, the flame length and the fuel efficiency of the combustor. It was found that: (1) increasing the plasma feed gas flow rate resulted in a decrease in the flame length, an increase in the minimum ignition power for near stoichiometric fuel equivalence ratios and a corresponding decrease in the minimum ignition power for ultra-lean and rich fuel equivalence ratios; (2) at a constant plasma power, increasing the total flow rate of the ethylene/air mixture from 1.0 slm to 1.5 slm resulted in an increase in the flame length and a reduction in the fuel efficiency; (3) increasing the plasma power resulted in a slight increase in flame length as well as improved fuel efficiency with fewer C2(d) and CH(A) radicals present downstream of the flame; (4) increasing the fuel equivalence ratio caused an increase in flame length but at a reduced fuel efficiency when plasma power was kept constant; and (5) the ground state OH(X) number density was on the order of 1015 molecules/cm3 and was observed to drop downstream along the propagation axis of the flame at all parameters investigated. Results suggest that each of the parameters independently influences the PAC processes.

  9. MBE-4: an induction linac experiment for heavy ion fusion

    International Nuclear Information System (INIS)

    Fessenden, T.J.; Avery, R.T.; Brodzik, D.A.

    1986-06-01

    The multiple-beam induction linac approach to a heavy ion fusion driver features continuous current amplification along the accelerator and a minimum of transverse beam manipulation from source to pellet. Current amplification and bunch length control require careful shaping of the accelerating voltages. This driver approach exploits developments in electron induction linac technology that have occurred within the last 15 years at LBL, LLNL and NBS. MBE-4 is a four beam induction linac that models much of the accelerator physics of the electrostatically focused section of a considerably longer induction accelerator. Four parallel Cs + beams are electrostatically focussed and will be accelerated from 200 keV to approximately one MeV when the experiment is complete in the spring of 1987. The current in each of the four beams will increase from 10 to 40 mA due to both increase in beam speed and shortening of the bunch length. Results of experiments with the injector and first eight accelerating gaps are presented

  10. Aerosol Vacuum-Assisted Plasma Ionization (Aero-VaPI) Coupled to Ion Mobility-Mass Spectrometry

    Science.gov (United States)

    Blair, Sandra L.; Ng, Nga L.; Zambrzycki, Stephen C.; Li, Anyin; Fernández, Facundo M.

    2018-02-01

    In this communication, we report on the real-time analysis of organic aerosol particles by Vacuum-assisted Plasma Ionization-Mass Spectrometry (Aero-VaPI-MS) using a home-built VaPI ion source coupled to a Synapt G2-S HDMS ion mobility-mass spectrometry (IM-MS) system. Standards of organic molecules of interest in prebiotic chemistry were used to generate aerosols. Monocaprin and decanoic acid aerosol particles were successfully detected in both the positive and negative ion modes, respectively. A complex aerosol mixture of different sizes of polymers of L-malic acid was also examined through ion mobility (IM) separations, resulting in the detection of polymers of up to eight monomeric units. This noncommercial plasma ion source is proposed as a low cost alternative to other plasma ionization platforms used for aerosol analysis, and a higher-performance alternative to more traditional aerosol mass spectrometers. VaPI provides robust online ionization of organics in aerosols without extensive ion activation, with the coupling to IM-MS providing higher peak capacity and excellent mass accuracy. [Figure not available: see fulltext.

  11. Systematic studies of covalent functionalization of carbon nanotubes via argon plasma-assisted UV grafting

    International Nuclear Information System (INIS)

    Yan, Y H; Cui, J; Chan-Park, M B; Wang, X; Wu, Q Y

    2007-01-01

    Single-walled carbon nanotubes (SWNTs) with 1-vinylimidazole species covalently attached to their sidewalls and end caps have been prepared by ultraviolet (UV) irradiation in 1-vinylimidazole monomer. This process can be greatly assisted by argon (Ar) plasma pretreatment, which generates more defect sites at the tube ends and sidewalls acting as the active sites for the subsequent UV grafting of 1-vinylimidazole molecules. The effects of total deposited energy of Ar plasma treatment, either by change of treatment time or discharge power, on the functionalization degree and structural morphology of the resulting nanotubes are systematically studied. By control of the Ar plasma treatment time within 5 min at the discharge power of 200 W, no visible chopping of the functionalized SWNTs was observed. Under this advised optimum processing condition, the functionalization degree, estimated by x-ray photoelectron spectroscopy (XPS) measurement, is as high as around 26 wt% 1-vinylimidazole molecules grafted onto the nanotubes. This method may be extended to other reactive vinyl monomers and offers another diverse way of covalent functionalization of SWNTs

  12. Plasma-assisted synthesis of monodispersed and robust Ruthenium ultrafine nanocatalysts for organosilane oxidation and oxygen evolution reactions

    NARCIS (Netherlands)

    Gnanakumar, E.S.; Ng, W.; Filiz, B.C.; Rothenberg, G.; Wang, S.; Xu, H.; Pastor-Pérez, L.; Pastor-Blas, M.M.; Sepúlveda-Escribano, A.; Yan, N.; Shiju, N.R.

    2017-01-01

    We report a facile and general approach for preparing ultrafine ruthenium nanocatalysts by using a plasma-assisted synthesis at <100 °C. The resulting Ru nanoparticles are monodispersed (typical size 2 nm) and remain that way upon loading onto carbon and TiO2 supports. This gives robust catalysts

  13. Theoretical modeling of the plasma-assisted catalytic growth and field emission properties of graphene sheet

    International Nuclear Information System (INIS)

    Sharma, Suresh C.; Gupta, Neha

    2015-01-01

    A theoretical modeling for the catalyst-assisted growth of graphene sheet in the presence of plasma has been investigated. It is observed that the plasma parameters can strongly affect the growth and field emission properties of graphene sheet. The model developed accounts for the charging rate of the graphene sheet; number density of electrons, ions, and neutral atoms; various elementary processes on the surface of the catalyst nanoparticle; surface diffusion and accretion of ions; and formation of carbon-clusters and large graphene islands. In our investigation, it is found that the thickness of the graphene sheet decreases with the plasma parameters, number density of hydrogen ions and RF power, and consequently, the field emission of electrons from the graphene sheet surface increases. The time evolution of the height of graphene sheet with ion density and sticking coefficient of carbon species has also been examined. Some of our theoretical results are in compliance with the experimental observations

  14. Acceleration, current amplification and emittance in MBE-4, an experimental multiple beam induction linear accelerator for heavy ions

    International Nuclear Information System (INIS)

    Warwick, A.I.; Gough, D.E.; Keefe, D.; Meuth, H.

    1989-01-01

    The authors report on the implementation of a second schedule of acceleration and current amplification in MBE-4. Control of the beam current within the bunch is improved over that in the first schedule by the addition of several small amplitude induction pulsers to compensate for acceleration errors and to control the ends of the bunch. Measurements of the longitudinal and transverse emittance are presented. 5 refs., 3 figs., 1 tab

  15. Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, September 8-9, 2000

    International Nuclear Information System (INIS)

    Janev, R.K.; Schultz, D.R.

    2000-01-01

    A brief proceedings of the two-day Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, organized by the ORNL Controlled Fusion Atomic Data Center on September 8-9, 2000, is presented. The conclusions and recommendations of the workshop regarding the topics discussed and the collaboration of the U.S. fusion research and atomic physics communities are also summarized

  16. Plasma assisted nitriding for micro-texturing onto martensitic stainless steels*

    Directory of Open Access Journals (Sweden)

    Katoh Takahisa

    2015-01-01

    Full Text Available Micro-texturing method has grown up to be one of the most promising procedures to form micro-lines, micro-dots and micro-grooves onto the mold-die materials and to duplicate these micro-patterns onto metallic or polymer sheets via stamping or injection molding. This related application requires for large-area, fine micro-texturing onto the martensitic stainless steel mold-die materials. A new method other than laser-machining, micro-milling or micro-EDM is awaited for further advancement of this micro-texturing. In the present paper, a new micro-texturing method is developed on the basis of the plasma assisted nitriding to transform the two-dimensionally designed micro-patterns to the three dimensional micro-textures in the martensitic stainless steels. First, original patterns are printed onto the surface of stainless steel molds by using the dispenser or the ink-jet printer. Then, the masked mold is subjected to high density plasma nitriding; the un-masked surfaces are nitrided to have higher hardness, 1400 Hv than the matrix hardness, 200 Hv of stainless steels. This nitrided mold is further treated by sand-blasting to selectively remove the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel mold is fabricated as a tool to duplicate these micro-patterns onto the plastic materials by the injection molding.

  17. A Plasma-Assisted Route to the Rapid Preparation of Transition-Metal Phosphides for Energy Conversion and Storage

    KAUST Repository

    Liang, Hanfeng

    2017-06-06

    Transition-metal phosphides (TMPs) are important materials that have been widely used in catalysis, supercapacitors, batteries, sensors, light-emitting diodes, and magnets. The physical and chemical structure of a metal phosphide varies with the method of preparation as the electronic, catalytic, and magnetic properties of the metal phosphides strongly depend on their synthesis routes. Commonly practiced processes such as solid-state synthesis and ball milling have proven to be reliable routes to prepare TMPs but they generally require high temperature and long reaction time. Here, a recently developed plasma-assisted conversion route for the preparation of TMPs is reviewed, along with their applications in energy conversion and storage, including water oxidation electrocatalysis, sodium-ion batteries, and supercapacitors. The plasma-assisted synthetic route should open up a new avenue to prepare TMPs with tailored structure and morphology for various applications. In fact, the process may be further extended to the synthesis of a wide range of transition-metal compounds such as borides and fluorides at low temperature and in a rapid manner.

  18. Water-assisted growth of graphene-carbon nanotube hybrids in plasma

    Science.gov (United States)

    Tewari, Aarti; Ghosh, Santanu; Srivastava, Pankaj

    2018-04-01

    The enhanced growth of graphene-carbon nanotube (CNT) hybrids in a hydrocarbon and hydrogen plasma assisted by water is numerically formulated. The catalyst activity and agglomeration of catalyst particles are the rate determining factors in the growth of hybrids and their constituents, i.e., the CNT and graphene. The water vapor concentration is varied to investigate its effect on the growth process. The enhanced catalyst activity on account of oxidation by hydroxyl ions of water to impede the agglomeration of catalyst particles and the removal of amorphous carbon through etching by hydrogen ions of water are seen to be the main driving forces behind the many fold increase in the dimensions of constituent nanostructures and the hybrids with water vapor concentration. Importantly, beyond a certain specific water vapor concentration, the growth rates dropped due to active oxidation of the catalyst particle.

  19. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  20. ECRH-assisted plasma start-up with toroidally inclined launch: multi-machine comparison and perspectives for ITER

    International Nuclear Information System (INIS)

    Stober, J.; Hobirk, J.; Lunt, T.; Jackson, G.L.; Hyatt, A.W.; Luce, T.; Ascasibar, E.; Cappa, A.; Bae, Y.-S.; Joung, M.; Bucalossi, J.; Casper, T.; Gribov, Y.; Cho, M.-H.; Jeong, J.-H.; Namkung, W.; Park, S.-I.; Granucci, G.; Hanada, K.; Ide, S.

    2011-01-01

    Electron cyclotron resonance heating (ECRH)-assisted plasma breakdown is foreseen with full and half magnetic field in ITER. As reported earlier, the corresponding O1- and X2-schemes have been successfully used to assist pre-ionization and breakdown in present-day devices. This contribution reports on common experiments studying the effect of toroidal inclination of the ECR beam, which is ≥20 0 in ITER. All devices could demonstrate successful breakdown assistance for this case also, although in some experiments the necessary power was almost a factor of 2 higher compared with perpendicular launch. Differences between the devices with regard to the required power and vertical field are discussed and analysed. In contrast to most of these experiments, ITER will build up loop voltage prior to the formation of the field null due to the strong shielding by the vessel. Possible consequences of this difference are discussed.

  1. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    OpenAIRE

    P. Sangeetha; K. Jeganathan; V. Ramakrishnan

    2013-01-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm−1 respectively and E2 (high) phonon mode of GaN shell at 573 cm−1. The free carrier concentration of InN core is foun...

  2. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  3. Detection of lung cancer using plasma protein profiling by matrix-assisted laser desorption/ionization mass spectrometry.

    Science.gov (United States)

    Shevchenko, Valeriy E; Arnotskaya, Natalia E; Zaridze, David G

    2010-01-01

    There are no satisfactory plasma biomarkers which are available for the early detection and monitoring of lung cancer, one of the most frequent cancers worldwide. The aim of this study is to explore the application of matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-ToF MS) to plasma proteomic patterns to distinguish lung cancer patients from healthy individuals. The EDTA plasma samples have been pre-fractionated using magnetic bead kits functionalized with weak cation exchange coatings. We compiled MS protein profiles for 90 patients with squamous cell carcinomas (SCC) and compared them with profiles from 187 healthy controls. The MALDI-ToF spectra were analyzed statistically using ClinProTools bioinformatics software. Depending on the sample used, up to 441 peaks/spectrum could be detected in a mass range of 1000-20,000 Da; 33 of these proteins had statistically differential expression levels between SCC and control plasma (P 90%) in external validation test. These results suggest that plasma MALDI-ToF MS protein profiling can distinguish patients with SCC and also from healthy individuals with relatively high sensitivity and specificity and that MALDI- ToF MS is a potential tool for the screening of lung cancer.

  4. Photoluminescence study of MBE grown InGaN with intentional indium segregation

    International Nuclear Information System (INIS)

    Cheung, Maurice C.; Chen, Fei; Furis, Madalina; Cartwright, Alexander N.; Namkoong, Gon; Doolittle, W. Alan; Pudavar, Haridas E.

    2005-01-01

    Proper control of MBE growth conditions has yielded an In 0.13 Ga 0.87 N thin film sample with emission consistent with In-segregation. The photoluminescence (PL) from this epilayer showed multiple emission components. Moreover, temperature and power dependent studies of the PL demonstrated that two of the components were excitonic in nature and consistent with indium phase separation. At 15 K, time resolved PL showed a non-exponential PL decay that was well fitted with the stretched exponential solution expected for disordered systems. Consistent with the assumed carrier hopping mechanism of this model, the effective lifetime, τ, and the stretched exponential parameter, β, decrease with increasing emission energy. Finally, room temperature micro-PL using a confocal microscope showed spatial clustering of low energy emission. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Photoluminescence study of MBE grown InGaN with intentional indium segregation

    Energy Technology Data Exchange (ETDEWEB)

    Cheung, Maurice C.; Chen, Fei; Furis, Madalina; Cartwright, Alexander N. [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States); Namkoong, Gon; Doolittle, W. Alan [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Pudavar, Haridas E. [Department of Chemistry, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States)

    2005-05-01

    Proper control of MBE growth conditions has yielded an In{sub 0.13}Ga{sub 0.87}N thin film sample with emission consistent with In-segregation. The photoluminescence (PL) from this epilayer showed multiple emission components. Moreover, temperature and power dependent studies of the PL demonstrated that two of the components were excitonic in nature and consistent with indium phase separation. At 15 K, time resolved PL showed a non-exponential PL decay that was well fitted with the stretched exponential solution expected for disordered systems. Consistent with the assumed carrier hopping mechanism of this model, the effective lifetime, {tau}, and the stretched exponential parameter, {beta}, decrease with increasing emission energy. Finally, room temperature micro-PL using a confocal microscope showed spatial clustering of low energy emission. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Temperature measurement of plasma-assisted flames: comparison between optical emission spectroscopy and 2-color laser induced fluorescence techniques

    KAUST Repository

    Lacoste, Deanna A.

    2015-03-30

    Accurate thermometry of highly reactive environments, such as plasma-assisted combustion, is challenging. With the help of conical laminar premixed methane-air flames, this study compares two thermometry techniques for the temperature determination in a combustion front enhanced by nanosecond repetitively pulsed (NRP) plasma discharges. Based on emission spectroscopic analysis, the results show that the rotational temperature of CH(A) gives a reasonable estimate for the adiabatic flame temperature, only for lean and stoichiometric conditions. The rotational temperature of N2(C) is found to significantly underestimate the flame temperature. The 2-color OH-PLIF technique gives correct values of the flame temperature.

  7. Temperature measurement of plasma-assisted flames: comparison between optical emission spectroscopy and 2-color laser induced fluorescence techniques

    KAUST Repository

    Lacoste, Deanna A.; Heitz, Sylvain A.; Moeck, Jonas P.

    2015-01-01

    Accurate thermometry of highly reactive environments, such as plasma-assisted combustion, is challenging. With the help of conical laminar premixed methane-air flames, this study compares two thermometry techniques for the temperature determination in a combustion front enhanced by nanosecond repetitively pulsed (NRP) plasma discharges. Based on emission spectroscopic analysis, the results show that the rotational temperature of CH(A) gives a reasonable estimate for the adiabatic flame temperature, only for lean and stoichiometric conditions. The rotational temperature of N2(C) is found to significantly underestimate the flame temperature. The 2-color OH-PLIF technique gives correct values of the flame temperature.

  8. Photoluminescence and Raman spectroscopy of MBE-grown InN nanocolumns

    International Nuclear Information System (INIS)

    Segura-Ruiz, J.; Cantarero, A.; Garro, N.; Denker, C.; Werner, F.; Malindretos, J.; Rizzi, A.

    2008-01-01

    InN nanocolumns grown under different conditions by plasma-assisted molecular beam epitaxy on p-Si (111) substrates are studied by micro-Raman and photoluminescence (PL) spectroscopies. The nanocolumns are free of strain and have an improved crystal quality as shown by the frequency and linewidth of the nonpolar E 2 h mode. Uncoupled polar modes coexist with a couple LO phonon-plasmon mode and are sensitive to the nanocolumn morphology. Variations in the growth conditions also modify the PL spectra significantly. An increase in the PL energy also involves a reduction of the integrated intensity and an increase of the PL linewidth. This overall phenomenology highlights the role of the surface accumulation layer in these nanostructures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Photoluminescence and Raman spectroscopy of MBE-grown InN nanocolumns

    Energy Technology Data Exchange (ETDEWEB)

    Segura-Ruiz, J.; Cantarero, A. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Garro, N. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Fundacio General de la Universitat de Valencia, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Denker, C.; Werner, F.; Malindretos, J.; Rizzi, A. [IV. Physikalisches Institut, Georg-August Universitaet Goettingen (Germany)

    2008-07-01

    InN nanocolumns grown under different conditions by plasma-assisted molecular beam epitaxy on p-Si (111) substrates are studied by micro-Raman and photoluminescence (PL) spectroscopies. The nanocolumns are free of strain and have an improved crystal quality as shown by the frequency and linewidth of the nonpolar E{sub 2}{sup h} mode. Uncoupled polar modes coexist with a couple LO phonon-plasmon mode and are sensitive to the nanocolumn morphology. Variations in the growth conditions also modify the PL spectra significantly. An increase in the PL energy also involves a reduction of the integrated intensity and an increase of the PL linewidth. This overall phenomenology highlights the role of the surface accumulation layer in these nanostructures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Implant isolation of plasma-assisted MBE grown GaInAsN for opto-telecommunication applications

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The material system GaInAsN is considered to be one of the key materials for next generation telecommunication applications providing high data transmission and lower power consumption. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications. We report on the effects of ion implantation on the achieved electrical isolation in GaInAsN layers. GaInAsN was grown using either a direct nitrogen beam or dispersive nitrogen radicals by a RF activated nitrogen source. Proton and iron implants were performed at RT and 77 K, respectively in order to effectively isolate the as-grown silicon (n-type) GaInAsN layers. Results show that the sheet resistance of n-type layers can be increased by about four and five orders of magnitude by proton and iron implantation, respectively. The study of annealing temperature dependence of sheet resistivity in proton-isolated samples shows that the electrical isolation can be preserved up to 450 deg. C. The thermally stable high resistivity region persists up to 600 deg. C when the implantation is performed with iron at 77 K. These results are novel and have ramifications for device engineers

  11. Plasma catalysis for nitrogen fixation reactions

    NARCIS (Netherlands)

    Patil, B.S.; Wang, Q.; Hessel, V.; Lang, J.; Stankiewicz, A.; Stefanidis, G.

    2016-01-01

    The preferences for localized chemicals production and changing scenarios of renewable electricity cost gives a renewed boost to plasma-assisted valuable chemicals production. Especially, plasma-assisted nitrogen fixation for fertilizer production has the potential to largely change the energy

  12. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  13. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  15. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    the magnet has also shown a better radial confinement with magnets exhibiting high length over diameter ratios. In addition, the numerical study corroborates the results of the experimental study, i.e. an ECR coupling region close to the equatorial plane of the magnet and not near the end of the coaxial microwave line. Finally, these results have been successfully applied to plasma assisted sputtering of targets allowing, in particular, their uniform erosion. (author)

  16. Development of a plasma assisted ITER level controlled heat source and observation of novel micro/nanostructures produced upon exposure of tungsten targets

    Energy Technology Data Exchange (ETDEWEB)

    Aomoa, N.; Sarmah, Trinayan; Sah, Puspalata [CIMPLE-PSI Laboratory, Centre of Plasma Physics-Institute for Plasma Research, Sonapur 782 402 Assam (India); Chaudhuri, P.; Khirwarker, S.; Ghosh, J. [Institute for Plasma Research, Gandhinagar 382428 Gujarat (India); Satpati, B. [Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700 064 (India); Kakati, M., E-mail: mayurkak@rediffmail.com [CIMPLE-PSI Laboratory, Centre of Plasma Physics-Institute for Plasma Research, Sonapur 782 402 Assam (India); De Temmerman, G. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046 Saint Paul Lez Durance, Cedex (France)

    2016-05-15

    Highlights: • Developed a plasma assisted ITER level high heat flux device for material testing. • The beam deposits over 10 MW/m{sup 2} flux uniformly over a remote material target. • Hopper micro-crystals were growing while exposing Plansee tungsten in the device. • CIMPLE-PSI being developed for exact reproduction of Tokomak Divertor conditions. - Abstract: This paper reports on the development of a simple, low-cost, segmented plasma torch assisted high-heat flux device for material testing, which can simulate the extreme heat flux expected in future fusion devices. Calorimetric measurements confirmed uniform heat deposition by the well collimated argon plasma beam over a target surface with power fluxes in excess of 10 MW/m{sup 2} during high current, high gas flow rate operations. To understand the outcome of possible melting of first wall material in an ITER like machine, an Plansee tungsten target was exposed in this device, which witnessed growth of micrometer level Hopper crystals and their aggregation to vertical grains in central exposed region. Increase in viscosity of the metal during high under-cooling is believed to have lead to the skeletal patterns, observed for the first time for tungsten here. Transmission electron microscopy confirmed that re-solidified grains on the target actually had crystalline substructures in the nanometer level. This laboratory is in the process of developing an exact linear Tokamak Divertor simulator, where a magnetized hydrogen/helium collimated plasma jet will be produced at higher vacuum, for plasma material interaction studies with direct relevance to modern plasma fusion machines.

  17. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  18. Simulations of planar non-thermal plasma assisted ignition at atmospheric pressure

    KAUST Repository

    Casey, Tiernan A.

    2016-10-21

    The opportunity for ignition assistance by a pulsed applied voltage is investigated in a canonical one-dimensional configuration. An incipient ignition kernel, formed by localized energy deposition into a lean mixture of methane and air at atmospheric pressure, is subjected to sub-breakdown electric fields (E/N ≈ 100 Td) by a DC potential applied across the domain, resulting in non-thermal behavior of the plasma formed during the discharge. A two-fluid approach is employed to couple thermal neutrals and ions to the non-thermal electrons. A two-temperature plasma mechanism describing gas phase combustion, excitation of neutral species, and high-energy electron kinetics is employed to account for non-thermal effects. Charged species transported from the ignition zone drift rapidly through the domain, augmenting the magnitude of the electric field in the fresh gas during the pulse through a dynamic-electrode effect, which results in an increase in the energy of the electrons in the fresh mixture with increasing time. Enhanced fuel and oxidizer decomposition due to electron impact dissociation and interaction with excited neutrals generate a pool of radicals, mostly O and H, in the fresh gas ahead of the flame\\'s preheat zone. In the configuration considered, the effect of the nanosecond pulse is to increase the mass of fuel burned at equivalent times relative to the unsupported ignition through enhanced radical generation, resulting in an increased heat release rate in the immediate aftermath of the pulse.

  19. Plasma-assisted self-formation of nanotip arrays on the surface of Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zimin, Sergey P.; Mokrov, Dmitry A. [Yaroslavl State University (Russian Federation); Gorlachev, Egor S.; Amirov, Ildar I.; Naumov, Viktor V. [Institute of Physics and Technology, Russian Academy of Sciences, Yaroslavl (Russian Federation); Gremenok, Valery F. [Scientific-Practical Materials Research Center, NAS of Belarus, Minsk (Belarus); Bente, Klaus [Applied Mineralogy, University Tuebingen (Germany); Kim, Woo Y. [Fusion Research Center, Hoseo University, Asan-City (Korea, Republic of)

    2017-06-15

    In this paper, we report on the phenomenon of nanostructure self-formation on the surface of Cu(In,Ga)Se{sub 2} (CIGS) thin films during inductively coupled argon plasma treatment with its duration varied from 10 to 120 s. The initial films were grown on glass substrates using the selenization technique. During the CIGS film surface treatment in the high-density low-pressure radio-frequency inductively coupled argon plasma there took place a formation of arrays of uniform vertical nanostructures, which shape with increasing processing duration changed from nanocones to nanorods and back to nanocones. A model of the nanotip plasma-assisted self-formation associated with the implementation of micromasking and vapor-liquid-solid mechanisms involving metallic In-Ga (In-Ga-Cu) liquid alloy droplets is proposed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Plasma-Assisted Co-evaporation of S and Se for Wide Band Gap Chalcopyrite Photovoltaics: Final Subcontract Report, December 2001 -- April 2005

    Energy Technology Data Exchange (ETDEWEB)

    Repins, I.; Wolden, C.

    2005-08-01

    In this work, ITN Energy Systems (ITN) and lower-tier subcontractor Colorado School of Mines (CSM) explore the replacement of the molecular chalcogen precursors during deposition (e.g., Se2 or H2Se) with more reactive chalcogen monomers or radicals (e.g., Se). Molecular species are converted to atomic species in a low-pressure inductively coupled plasma (ICP). This program explored the use of plasma-activated chalcogen sources in CIGS co-evaporation to lower CIGS deposition temperature, increase utilization, increase deposition rate, and improve S:Se stoichiometry control. Plasma activation sources were designed and built, then operated and characterized over a wide range of conditions. Optical emission and mass spectrometry data show that chalcogens are effectively dissociated in the plasma. The enhanced reactivity achieved by the plasma processing was demonstrated by conversion of pre-deposited metal films to respective chalcogen-containing phases at low temperature and low chalcogen flux. The plasma-assisted co-evaporation (PACE) sources were also implemented in CIGS co-evaporation. No benefit from PACE was observed in device results, and frequent deposition failures occurred.

  1. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  2. Plasma physics

    CERN Document Server

    Drummond, James E

    1961-01-01

    A historic snapshot of the field of plasma physics, this fifty-year-old volume offers an edited collection of papers by pioneering experts in the field. In addition to assisting students in their understanding of the foundations of classical plasma physics, it provides a source of historic context for modern physicists. Highly successful upon its initial publication, this book was the standard text on plasma physics throughout the 1960s and 70s.Hailed by Science magazine as a ""well executed venture,"" the three-part treatment ranges from basic plasma theory to magnetohydrodynamics and microwa

  3. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  4. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    International Nuclear Information System (INIS)

    Tewari, Aarti

    2016-01-01

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  5. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    Energy Technology Data Exchange (ETDEWEB)

    Tewari, Aarti [Department of Applied Physics, Delhi Technological University, Shahbad Daulatpur, Bawana Road, Delhi 110 042 (India)

    2016-08-15

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  6. MBE-growth of iron and iron oxide thin films on MgO(100), using NO2, NO, and N2O as oxidising agents

    NARCIS (Netherlands)

    Voogt, FC; Hibma, T; Smulders, PJM; Niesen, L; Fujii, T; Schlom, DG; Eom, CB; Hawley, ME; Foster, CM; Speck, JS

    1997-01-01

    We have made a study of the use of NO2 as the source of oxygen in the MBE-growth of iron oxides thin films. It is found that NO2 is a much more efficient oxidising agent than molecular O-2. As indicated by Mossbauer spectroscopy, performed on Fe-57 probe layers, NO2 is not only capable of forming

  7. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  8. Toward Plasma-Assisted Ignition in Scramjets

    National Research Council Canada - National Science Library

    Jacobsen, Lance S; Carter, Campbell D; Baurie, Robert A; Jackson, Thomas A

    2003-01-01

    .... The two plasma torches currently under investigation consist of a DC constricted-arc design from the Virginia Polytechnic Institute and State University and an AC unconstricted-arc design based...

  9. Plasma-assisted partial oxidation of methane at low temperatures: numerical analysis of gas-phase chemical mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Goujard, Valentin; Nozaki, Tomohiro; Yuzawa, Shuhei; Okazaki, Ken [Department of Mechanical and Control Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro, 1528552, Tokyo (Japan); Agiral, Anil, E-mail: tnozaki@mech.titech.ac.jp [Mesoscale Chemical Systems, MESA Institute for Nanotechnology, Faculty of Science and Technology, University of Twente, PO Box 217, 7500 AE, Enschede (Netherlands)

    2011-07-13

    Methane partial oxidation was investigated using a plasma microreactor. The experiments were performed at 5 and 300 deg. C. Microreactor configuration allows an efficient evacuation of the heat generated by methane partial oxidation and dielectric barrier discharges, allowing at the same time a better temperature control. At 5 deg. C, liquid condensation of low vapour pressure compounds, such as formaldehyde and methanol, occurs. {sup 1}H-NMR analysis allowed us to demonstrate significant CH{sub 3}OOH formation during plasma-assisted partial oxidation of methane. Conversion and product selectivity were discussed for both temperatures. In the second part of this work, a numerical simulation was performed and a gas-phase chemical mechanism was proposed and discussed. From the comparison between the experimental results and the simulation it was found that CH{sub 3}OO{center_dot} formation has a determinant role in oxygenated compound production, since its fast formation disfavoured radical recombination. At 5 deg. C the oxidation leads mainly towards oxygenated compound formation, and plasma dissociation was the major phenomenon responsible for CH{sub 4} conversion. At 300 deg. C, higher CH{sub 4} conversion resulted from oxidative reactions induced by {center_dot}OH radicals with a chemistry predominantly oxidative, producing CO, H{sub 2}, CO{sub 2} and H{sub 2}O.

  10. Precise alignment of the collection fiber assisted by real-time plasma imaging in laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Motto-Ros, V., E-mail: vincent.motto-ros@univ-lyon1.fr [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France); Negre, E. [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France); CRITT Matériaux Alsace, 19, rue de St Junien, 67305 Schiltigheim (France); Pelascini, F. [CRITT Matériaux Alsace, 19, rue de St Junien, 67305 Schiltigheim (France); Panczer, G.; Yu, J. [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France)

    2014-02-01

    Improving the repeatability and the reproducibility of measurement with laser-induced breakdown spectroscopy (LIBS) is one of the actual challenging issues faced by the technique to fit the requirements of precise and accurate quantitative analysis. Among the numerous factors influencing the measurement stability in short and long terms, there are shot-to-shot and day-to-day fluctuations of the morphology of the plasma. Such fluctuations are due to the high sensitivity of laser-induced plasma to experimental conditions including properties of the sample, the laser parameters as well as properties of the ambient gas. In this paper, we demonstrate that precise alignment of the optical fiber for the collection of the plasma emission with respect to the actual morphology of the plasma assisted by real-time imaging, greatly improves the stability of LIBS measurements in short as well as in long terms. The used setup is based on a plasma imaging arrangement using a CCD camera and a real-time image processing. The obtained plasma image is displayed in a 2-dimensional frame where the position of the optical fiber is beforehand calibrated. In addition, the setup provides direct sample surface monitoring, which allows a precise control of the distance between the focusing lens and the sample surface. Test runs with a set of 8 reference samples show very high determination coefficient for calibration curves (R{sup 2} = 0.9999), and a long term repeatability and reproducibility of 4.6% (relative standard deviation) over a period of 3 months without any signal normalization. The capacity of the system to automatically correct the sample surface position for a tilted or non-regular sample surface during a surface mapping measurement is also demonstrated. - Highlights: • Automated alignment of the collection fiber by real-time plasma imaging • High level control of experimental parameters in LIBS experiments • Improvement of the short and long term stability in LIBS

  11. Precise alignment of the collection fiber assisted by real-time plasma imaging in laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Motto-Ros, V.; Negre, E.; Pelascini, F.; Panczer, G.; Yu, J.

    2014-01-01

    Improving the repeatability and the reproducibility of measurement with laser-induced breakdown spectroscopy (LIBS) is one of the actual challenging issues faced by the technique to fit the requirements of precise and accurate quantitative analysis. Among the numerous factors influencing the measurement stability in short and long terms, there are shot-to-shot and day-to-day fluctuations of the morphology of the plasma. Such fluctuations are due to the high sensitivity of laser-induced plasma to experimental conditions including properties of the sample, the laser parameters as well as properties of the ambient gas. In this paper, we demonstrate that precise alignment of the optical fiber for the collection of the plasma emission with respect to the actual morphology of the plasma assisted by real-time imaging, greatly improves the stability of LIBS measurements in short as well as in long terms. The used setup is based on a plasma imaging arrangement using a CCD camera and a real-time image processing. The obtained plasma image is displayed in a 2-dimensional frame where the position of the optical fiber is beforehand calibrated. In addition, the setup provides direct sample surface monitoring, which allows a precise control of the distance between the focusing lens and the sample surface. Test runs with a set of 8 reference samples show very high determination coefficient for calibration curves (R 2 = 0.9999), and a long term repeatability and reproducibility of 4.6% (relative standard deviation) over a period of 3 months without any signal normalization. The capacity of the system to automatically correct the sample surface position for a tilted or non-regular sample surface during a surface mapping measurement is also demonstrated. - Highlights: • Automated alignment of the collection fiber by real-time plasma imaging • High level control of experimental parameters in LIBS experiments • Improvement of the short and long term stability in LIBS measurements

  12. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  13. InGaN pn-junctions grown by PA-MBE: Material characterization and fabrication of nanocolumn electroluminescent devices

    Science.gov (United States)

    Gherasoiu, I.; Yu, K. M.; Reichertz, L.; Walukiewicz, W.

    2015-09-01

    PN junctions are basic building blocks of many electronic devices and their performance depends on the structural properties of the component layers and on the type and the amount of the doping impurities incorporated. Magnesium is the common p-type dopant for nitride semiconductors while silicon and more recently germanium are the n-dopants of choice. In this paper, therefore we analyze the quantitative limits for Mg and Ge incorporation on GaN and InGaN with high In content. We also discuss the challenges posed by the growth and characterization of InGaN pn-junctions and we discuss the properties of large area, long wavelength nanocolumn LEDs grown on silicon (1 1 1) by PA-MBE.

  14. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  15. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  16. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  17. A SIMPLE AND RAPID MATRIX-ASSISTED LASER DESORPTION/IONIZATION TIME OF FLIGHT MASS SPECTROMETRY METHOD TO SCREEN FISH PLASMA SAMPLES FOR ESTROGEN-RESPONSIVE BIOMARKERS

    Science.gov (United States)

    In this study, we describe and evaluate the performance of a simple and rapid mass spectral method for screening fish plasma for estrogen-responsive biomarkers using matrix assisted laster desorption/ionization time of flight mass spectrometry (MALDI-TOF-MS) couopled with a short...

  18. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Microstructural characterisation of zinc-blende Ga1-xMnxN grown by MBE as a function of Mn flux

    International Nuclear Information System (INIS)

    Han, Y; Fay, M W; Novikov, S V; Edmonds, K W; Gallagher, B L; Campion, R P; Staddon, C R; Foxon, C T; Brown, P D

    2006-01-01

    Zinc-blende Ga 1-x Mn x N epilayers grown by plasma assisted molecular beam epitaxy as a function of Mn flux have been assessed using a variety of structural characterisation techniques. Increasing Mn flux is associated with the build up of a Mn surfactant layer during the early states of growth and a transition from zinc-blende single phase growth to zincblende/ wurtzite mixed phase growth

  1. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Demonstration of zero bias responsivity in MBE grown β-Ga2O3 lateral deep-UV photodetector

    Science.gov (United States)

    Singh Pratiyush, Anamika; Krishnamoorthy, Sriram; Kumar, Sandeep; Xia, Zhanbo; Muralidharan, Rangarajan; Rajan, Siddharth; Nath, Digbijoy N.

    2018-06-01

    We demonstrate zero-bias spectral responsivity in MBE-grown β-Ga2O3 planar UV-C detector with good linearity up to optical power density of 4.6 mW cm‑2. Devices with asymmetrical metal contacts were realized on 150 nm thick β-Ga2O3 films on sapphire. The device exhibited a spectral responsivity of 1.4 mA W‑1 at 255 nm under zero-bias condition, dark current UV-to-visible rejection ratio ∼105 at 5 V. The demonstrated UV-C detector exhibited an estimated high detectivity of 2.0 × 1012 Jones at 1 V and were found to be very stable and repeatable, suggesting its potential use for focal plane arrays.

  3. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Tour of the stands at the UK@CERN industrial exhibition with the Director-General and H.E. Mr Simon Featherstone, HM Ambassador to Switzerland, hosted by Mrs. Jan Fillingham MBE, Head of Exhibitions, BEAMA

    CERN Multimedia

    Claudia Marcelloni

    2006-01-01

    Tour of the stands at the UK@CERN industrial exhibition with the Director-General and H.E. Mr Simon Featherstone, HM Ambassador to Switzerland, hosted by Mrs. Jan Fillingham MBE, Head of Exhibitions, BEAMA

  5. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  6. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  7. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  8. InN Quantum Dot Based Infra-Red Photodetectors.

    Science.gov (United States)

    Shetty, Arjun; Kumar, Mahesh; Roull, Basanta; Vinoy, K J; Krupanidhj, S B

    2016-01-01

    Self-assembled InN quantum dots (QDs) were grown on Si(111) substrate using plasma assisted molecular beam epitaxy (PA-MBE). Single-crystalline wurtzite structure of InN QDs was confirmed by X-ray diffraction. The dot densities were varied by varying the indium flux. Variation of dot density was confirmed by FESEM images. Interdigitated electrodes were fabricated using standard lithog- raphy steps to form metal-semiconductor-metal (MSM) photodetector devices. The devices show strong infrared response. It was found that the samples with higher density of InN QDs showed lower dark current and higher photo current. An explanation was provided for the observations and the experimental results were validated using Silvaco Atlas device simulator.

  9. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. A Rapid Centrifugation-Assisted Solid-Phase Extraction and Liquid Chromatography Method for Determination of Loureirin A and Loureirin B of Dragon's Blood Capsules in Rat Plasma and Urine After Oral Administration.

    Science.gov (United States)

    Chen, Xiaoshuang; Li, Gaofeng; Ma, Shangfang; Hu, Xujia

    2015-07-01

    A simple, sensitive and rapid centrifugation-assisted solid-phase extraction (SPE) with high-performance liquid chromatography (SPE-HPLC) method was developed for simultaneous determination of the metabolites loureirin A and loureirin B from Dragon's blood in rat plasma and urine. The development of the extraction procedure included optimization of some important extraction phases. After evaluation, the metabolites of Dragon's blood were extracted by centrifugation-assisted SPE and separated by using HPLC. This method showed good linearity (r(2) > 0.99), and in the rat plasma and urine, the recoveries were 93.1 and 95.7% for loureirin A and were 90.1 and 94.2% for loureirin B. The relative standard deviation (RSD) values of intraday and interday precision in rat plasma and urine for loureirin A were <3.84 and 2.01%, respectively. The RSD values of the intraday and interday precision in rat plasma and urine for loureirin B were below 4.25 and 5.83%, respectively. Thus, the established method is suitable for metabolism studies of loureirin A and loureirin B in rat plasma and urine. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  11. Fabrication of InN/InGaN multiple quantum well structures by RF-MBE

    Energy Technology Data Exchange (ETDEWEB)

    Kurouchi, M.; Muto, D.; Takado, S.; Araki, T.; Nanishi, Y. [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan); Na, H.; Naoi, H. [Center for Promotion of The 21st Century COE Program, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan); Miyajima, T. [Optoelectronics Laboratory, Materials Laboratories, Sony Corporation, 4-14-1 Asahi, Atsugi, Kanagawa 243-0014 (Japan)

    2006-06-15

    InN/InGaN multiple quantum well structures have been fabricated on InN templates grown on (0 0 0 1) sapphire substrates by radio-frequency plasma-assisted molecular beam epitaxy. The structures were confirmed by X-ray diffraction, and satellite peaks up to the 3rd order were observed. From InN/InGaN multiple quantum well structures with different well widths, photoluminescence (PL) emission from the well layers was observed at 77 K, and the PL peak energy slightly blueshifted with decreasing the well width. This dependence can be explained by combined effects of quantum size effect, quantum confined Stark effect, and band filling effect. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  13. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  14. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  15. An evaluation of microwave-assisted fusion and microwave-assisted acid digestion methods for determining elemental impurities in carbon nanostructures using inductively coupled plasma optical emission spectrometry

    KAUST Repository

    Patole, Shashikant P.

    2015-10-21

    It is common for as-prepared carbon nanotube (CNT) and graphene samples to contain remnants of the transition metals used to catalyze their growth; contamination may also leave other trace elemental impurities in the samples. Although a full quantification of impurities in as-prepared samples of carbon nanostructures is difficult, particularly when trace elements are intercalated or encapsulated within a protective layer of graphitic carbon, reliable information is essential for reasons such as quantifying the adulteration of physico-chemical properties of the materials and for evaluating environmental issues. Here, we introduce a microwave-based fusion method to degrade single- and double-walled CNTs and graphene nanoplatelets into a fusion flux thereby thoroughly leaching all metallic impurities. Subsequent dissolution of the fusion product in diluted hydrochloric and nitric acid allowed us to identify their trace elemental impurities using inductively coupled plasma optical emission spectrometry. Comparisons of the results from the proposed microwave-assisted fusion method against those of a more classical microwave-assisted acid digestion approach suggest complementarity between the two that ultimately could lead to a more reliable and less costly determination of trace elemental impurities in carbon nanostructured materials. Graphical abstract A method for the complete digestion of carbon nanostructures has been demonstrated. Photographs (on the left side) show zirconium crucibles containing SWCNTs with flux of Na2CO3 and K2CO3, before and after microwave fusion; (on the right side) the appearance of the final solutions containing dissolved samples, from microwave-assisted fusion and microwave-assisted acid digestion. These solutions were used for determining the trace elemental impurities by ICP‒OES.

  16. 40 CFR 33.501 - What are the recordkeeping requirements of this part?

    Science.gov (United States)

    2010-07-01

    ... AND OTHER FEDERAL ASSISTANCE PARTICIPATION BY DISADVANTAGED BUSINESS ENTERPRISES IN UNITED STATES... requirements of this part, including documentation of its, and its prime contractors', good faith efforts and... quote on prime contracts, or bid or quote subcontracts on EPA assisted projects, including both MBE/WBEs...

  17. Impact of deep levels on the electrical conductivity and luminescence of gallium nitride codoped with carbon and silicon

    International Nuclear Information System (INIS)

    Armstrong, A.; Arehart, A.R.; Green, D.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2005-01-01

    The impact of C incorporation on the deep level spectrum of n-type and semi-insulating GaN:C:Si films grown by rf plasma-assisted molecular-beam epitaxy (MBE) was investigated by the combination of deep level transient spectroscopy, steady-state photocapacitance, and transient deep level optical spectroscopy. The deep level spectra of the GaN:C:Si samples exhibited several band-gap states. A monotonic relation between systematic doping with C and quantitative trap concentration revealed C-related deep levels. A deep acceptor at E c -2.05 eV and a deep donor at E c -0.11 eV are newly reported states, and the latter is the first directly observed deep level attributed to the C Ga defect. A configuration-coordinate model involving localized lattice distortion revealed strong evidence that C-related deep levels at E c -3.0 eV and E ν +0.9 eV are likely identical and associated with the yellow luminescence in C-doped GaN films. Of the deep levels whose trap concentration increase with C doping, the band-gap states at E c -3.0 and 3.28 eV had the largest concentration, implying that free-carrier compensation by these deep levels is responsible for the semi-insulating behavior of GaN:C:Si films grown by MBE. The differing manner by which C incorporation in GaN may impact electrical conductivity in films grown by MBE and metal-organic chemical-vapor deposition is discussed

  18. Tritium-assisted fusion breeders

    International Nuclear Information System (INIS)

    Greenspan, E.; Miley, G.H.

    1983-08-01

    This report undertakes a preliminary assessment of the prospects of tritium-assisted D-D fuel cycle fusion breeders. Two well documented fusion power reactor designs - the STARFIRE (D-T fuel cycle) and the WILDCAT (Cat-D fuel cycle) tokamaks - are converted into fusion breeders by replacing the fusion electric blankets with 233 U producing fission suppressed blankets; changing the Cat-D fuel cycle mode of operation by one of the several tritium-assisted D-D-based modes of operation considered; adjusting the reactor power level; and modifying the resulting plant cost to account for the design changes. Three sources of tritium are considered for assisting the D-D fuel cycle: tritium produced in the blankets from lithium or from 3 He and tritium produced in the client fission reactors. The D-D-based fusion breeders using tritium assistance are found to be the most promising economically, especially the Tritium Catalyzed Deuterium mode of operation in which the 3 He exhausted from the plasma is converted, by neutron capture in the blanket, into tritium which is in turn fed back to the plasma. The number of fission reactors of equal thermal power supported by Tritium Catalyzed Deuterium fusion breeders is about 50% higher than that of D-T fusion breeders, and the profitability is found to be slightly lower than that of the D-T fusion breeders

  19. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  20. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  1. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  2. Aerospace Applications of Non-Equilibrium Plasma

    Science.gov (United States)

    Blankson, Isaiah M.

    2016-01-01

    Nonequilibrium plasma/non-thermal plasma/cold plasmas are being used in a wide range of new applications in aeronautics, active flow control, heat transfer reduction, plasma-assisted ignition and combustion, noise suppression, and power generation. Industrial applications may be found in pollution control, materials surface treatment, and water purification. In order for these plasma processes to become practical, efficient means of ionization are necessary. A primary challenge for these applications is to create a desired non-equilibrium plasma in air by preventing the discharge from transitioning into an arc. Of particular interest is the impact on simulations and experimental data with and without detailed consideration of non-equilibrium effects, and the consequences of neglecting non-equilibrium. This presentation will provide an assessment of the presence and influence of non-equilibrium phenomena for various aerospace needs and applications. Specific examples to be considered will include the forward energy deposition of laser-induced non-equilibrium plasmoids for sonic boom mitigation, weakly ionized flows obtained from pulsed nanosecond discharges for an annular Hall type MHD generator duct for turbojet energy bypass, and fundamental mechanisms affecting the design and operation of novel plasma-assisted reactive systems in dielectric liquids (water purification, in-pipe modification of fuels, etc.).

  3. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  4. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  5. Accelerator research on MBE-4, an experimental multi-beam induction linac

    International Nuclear Information System (INIS)

    Meuth, H.; Fessenden, T.J.; Keefe, D.; Warwick, A.I.

    1988-06-01

    The multiple beam accelerator MBE-4 is a device for research toward a heavy ion driver for inertial confinement fusion, based on the induction linac concept. Its main goal is proof of the principle of current amplification by acceleration and controlled self-similar beam pulse compression. Into the 16-m long device four beams, each with an initial current of 10 mA are injected from a Marx-driven diode at 200 keV. The current amplification is up to nine-fold, with a final beam energy of about 800 keV in the middle of the bunch. Now that all the apparatus' accelerator sections have been completed, installed and aligned, and its unaccelerated transport properties have been studied, our experimental research has reached the crucial phase of implementing appropriate accelerator schedules that approximate self-similar current-pulse compression. These schedules are established through a close interplay of computations using a one-dimensional simulation code and a manual empirical tuning procedure. In a first approach, with a rather vigorous schedule that uses most of the accelerator modules to their voltage limits, we have determined the limits of our capability for controlled pulse compression, mainly due to waveform shaping of the driving pulse-forming networks. We shall report on these results. In the future, we will also aim for gentler schedules that would model more closely an inertial confinement fusion scenario. 8 refs., 11 figs., 1 tab

  6. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  7. Realization of high efficiency in a plasma-assisted microwave source with two-dimensional electron motion

    International Nuclear Information System (INIS)

    Shkvarunets, A.G.; Carmel, Y.; Nusinovich, G.S.; Abu-elfadl, T.M.; Rodgers, J.; Antonsen, T.M. Jr.; Granatstein, V.; Goebel, D.M.

    2002-01-01

    Conventional microwave sources utilize a strong axial magnetic field to guide an electron beam through an interaction region. A plasma-assisted slow wave microwave oscillator (Pasotron) can operate without an external magnetic field because the presence of ions neutralizes the space charge in the beam, permits the self-pinch forces to provide beam propagation, and allows for the radial motion of electrons under the action of transverse fields of the wave. While the inherent efficiency of conventional microwave sources with 1D electron flow is limited to 15%-20%, it is shown in this work that both the calculated and measured inherent efficiency of devices with 2D electron flow can be higher than 50%. Both in situ diagnostics and analysis confirmed that the enhanced efficiency is due to the fact that rf forces dominate the beam dynamics

  8. Study of ultrasound-assisted radio-frequency plasma discharges in n-dodecane

    Science.gov (United States)

    Camerotto, Elisabeth; De Schepper, Peter; Nikiforov, Anton Y.; Brems, Steven; Shamiryan, Denis; Boullart, Werner; Leys, Christophe; De Gendt, Stefan

    2012-10-01

    This paper investigates the generation of a stable plasma phase in a liquid hydrocarbon (n-dodecane) by means of ultrasound (US) and radio-frequency (RF) or electromagnetic radiation. It is demonstrated for the first time that ultrasonic aided RF plasma discharges can be generated in a liquid. Plasma discharges are obtained for different gas mixtures at a pressure of 12 kPa and at low ignition powers (100 W for RF and 2.4 W cm-2 for US). Direct carbon deposition from the liquid precursor on Cu, Ni, SiO2 and Si substrates has been obtained and no apparent compositional or structural difference among the substrate materials was observed. Characterization of the deposited solid phase revealed an amorphous structure. In addition, structural changes in the liquid precursor after plasma treatment have been analysed. Optical emission spectroscopy (OES) allowed the estimation of several plasma characteristic temperatures. The plasma excitation temperature was estimated to be about 2.3-2.4 eV. The rotational and vibrational temperatures of the discharge in n-dodecane with Ar as a feed gas were 1400 K and 6500 K, respectively. In Ar/O2 plasma, an increased rotational (1630 K) and vibrational temperature (7200 K) were obtained.

  9. Industrial applications of plasma, microwave and ultrasound techniques : nitrogen-fixation and hydrogenation reactions

    NARCIS (Netherlands)

    Hessel, V.; Cravotto, G.; Fitzpatrick, P.; Patil, B.S.; Lang, J.; Bonrath, W.

    2013-01-01

    The MAPSYN project (Microwave, Acoustic and Plasma assisted SYNtheses) aims at nitrogen-fixation reactions intensified by plasma catalysis and selective hydrogenations intensified by microwaves, possibly assisted by ultrasound. Energy efficiency is the key motif of the project and the call of the

  10. Zirconium silicate assisted removal of residual proteins after organic solvent deproteinization of human plasma, enhancing the stability of the LC–ESI-MS response for the bioanalysis of small molecules

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Shah; Pezzei, Cornelia [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Güzel, Yüksel [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); ADSI-Austrian Drug Screening Institute, Innrain 66a, 6020 Innsbruck (Austria); Rainer, Matthias [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Huck, Christian W., E-mail: Christian.W.Huck@uibk.ac.at [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Bonn, Günther K. [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); ADSI-Austrian Drug Screening Institute, Innrain 66a, 6020 Innsbruck (Austria)

    2014-12-10

    Highlights: • A novel sample preparation technique for isolation of small molecules from human plasma. • Effectiveness of zirconium silicate for the removal of residual proteins after protein precipitation. • Abolishing the consumption of salts for the depletion of residual proteins after protein precipitation. • More than 99.6% removal of plasma proteins. - Abstract: An efficient blood plasma clean-up method was developed, where methanol protein precipitation was applied, followed by zirconium silicate assisted exclusion of residual proteins. A strong binding of zirconium (IV) silicate to the proteins enabled the elimination of remaining proteins after solvent deproteinization through a rapid solid-phase extraction (SPE) procedure. Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI/TOF MS) was used for monitoring the proteins during clean-up practice applied to human plasma samples. The proteins were quantified by colorimetric detection using the bicinchoninic acid (BCA) assay. The presented analytical strategy resulted in the depletion of >99.6% proteins from human plasma samples. Furthermore, high-performance liquid chromatography hyphenated to diode-array and electrospray ionization mass spectrometric detection (HPLC–DAD/ESI MS) was applied for qualitative and quantitative analysis of the caffeoylquinic acids (CQAs) and their metabolites in human plasma. The procedure demonstrated high recoveries for the standard compounds spiked at different concentrations. Cynarin and chlorogenic acid were recovered in the range of 81–86% and 78–83%, respectively. Caffeic acid was extracted in the excess of 89–92%, while ferulic acid and dihydroxyhydrocinnamic acid showed a recovery of 87–91% and 92–95%, respectively. The method was partially validated in accordance with FDA-Industry Guidelines for Bioanalytical Method Validation (2001). The presented scheme improves the clean-up efficacy of the methanol deproteinization

  11. Zirconium silicate assisted removal of residual proteins after organic solvent deproteinization of human plasma, enhancing the stability of the LC–ESI-MS response for the bioanalysis of small molecules

    International Nuclear Information System (INIS)

    Hussain, Shah; Pezzei, Cornelia; Güzel, Yüksel; Rainer, Matthias; Huck, Christian W.; Bonn, Günther K.

    2014-01-01

    Highlights: • A novel sample preparation technique for isolation of small molecules from human plasma. • Effectiveness of zirconium silicate for the removal of residual proteins after protein precipitation. • Abolishing the consumption of salts for the depletion of residual proteins after protein precipitation. • More than 99.6% removal of plasma proteins. - Abstract: An efficient blood plasma clean-up method was developed, where methanol protein precipitation was applied, followed by zirconium silicate assisted exclusion of residual proteins. A strong binding of zirconium (IV) silicate to the proteins enabled the elimination of remaining proteins after solvent deproteinization through a rapid solid-phase extraction (SPE) procedure. Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI/TOF MS) was used for monitoring the proteins during clean-up practice applied to human plasma samples. The proteins were quantified by colorimetric detection using the bicinchoninic acid (BCA) assay. The presented analytical strategy resulted in the depletion of >99.6% proteins from human plasma samples. Furthermore, high-performance liquid chromatography hyphenated to diode-array and electrospray ionization mass spectrometric detection (HPLC–DAD/ESI MS) was applied for qualitative and quantitative analysis of the caffeoylquinic acids (CQAs) and their metabolites in human plasma. The procedure demonstrated high recoveries for the standard compounds spiked at different concentrations. Cynarin and chlorogenic acid were recovered in the range of 81–86% and 78–83%, respectively. Caffeic acid was extracted in the excess of 89–92%, while ferulic acid and dihydroxyhydrocinnamic acid showed a recovery of 87–91% and 92–95%, respectively. The method was partially validated in accordance with FDA-Industry Guidelines for Bioanalytical Method Validation (2001). The presented scheme improves the clean-up efficacy of the methanol deproteinization

  12. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  13. Multilayered metal oxide thin film gas sensors obtained by conventional and RF plasma-assisted laser ablation

    International Nuclear Information System (INIS)

    Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Multilayered thin films of In 2 O 3 and SnO 2 have been deposited by conventional and RF plasma-assisted reactive pulsed laser ablation, with the aim to evaluate their behaviour as toxic gas sensors. The depositions have been carried out by a frequency doubled Nd-YAG laser (λ = 532 nm, τ = 7 ns) on Si(1 0 0) substrates, in O 2 atmosphere. The thin films have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and electrical resistance measurements. A comparison of the electrical response of the simple (indium oxide, tin oxide) and multilayered oxides to toxic gas (nitric oxide, NO) has been performed. The influence on the structural and electrical properties of the deposition parameters, such as substrate temperature and RF power is reported

  14. X-ray magnetic spectroscopy of MBE-grown Mn-doped Bi2Se3 thin films

    Directory of Open Access Journals (Sweden)

    L. J. Collins-McIntyre

    2014-12-01

    Full Text Available We report the growth of Mn-doped Bi2Se3 thin films by molecular beam epitaxy (MBE, investigated by x-ray diffraction (XRD, atomic force microscopy (AFM, SQUID magnetometry and x-ray magnetic circular dichroism (XMCD. Epitaxial films were deposited on c-plane sapphire substrates by co-evaporation. The films exhibit a spiral growth mechanism typical of this material class, as revealed by AFM. The XRD measurements demonstrate a good crystalline structure which is retained upon doping up to ∼7.5 atomic-% Mn, determined by Rutherford backscattering spectrometry (RBS, and show no evidence of the formation of parasitic phases. However an increasing interstitial incorporation of Mn is observed with increasing doping concentration. A magnetic moment of 5.1 μB/Mn is obtained from bulk-sensitive SQUID measurements, and a much lower moment of 1.6 μB/Mn from surface-sensitive XMCD. At ∼2.5 K, XMCD at the Mn L2,3 edge, reveals short-range magnetic order in the films and indicates ferromagnetic order below 1.5 K.

  15. IMPORTANCE OF IN SITU MONITORS IN THE PREPARATION OF LAYERED OXIDE HETEROSTRUCTURES BY REACTIVE MBE.

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, Darrell G.; Haeni, J. H.; Theis, C. D. (Christopher); Tian, W.; Pan, X. Q.; Brown, G. W. (Geoffrey W.); Hawley, M. E. (Marilyn E.)

    2001-01-01

    Using a variety of in situ monitors and when possible adsorption-controlled growth conditions, layered oxide heterostructures including new compounds and metastable superlattices have been grown by reactive molecular beam epitaxy (MBE). The heteroepitaxial layers grown include Bi{sub 4}Ti{sub 3}O{sub 12}-SrTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12}-PbTiO{sub 3} Aurivillius phases, Sr{sub n+1}Ti{sub n}O{sub 3n+1} Ruddlesden-Popper phases, and metastable PbTiO{sub 3}/SrTiO{sub 3} and BaTiO{sub 3}/SrTiO{sub 3} superlattices. Accurate composition control is key to the controlled growth of such structures, and to this end combinations of reflection high-energy electron diffraction (RHEED), atomic absorption spectroscopy (AA), a quartz crystal microbalance (QCM), and adsorption-controlled growth conditions were employed during growth. The structural perfection of the films has been investigated using in situ RHEED, four-circle x-ray diffraction, atomic force microscopy (AFM), and high-resolution transmission electron microscopy (TEM).

  16. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Energy Technology Data Exchange (ETDEWEB)

    Jiao, Wenyuan, E-mail: wyjiaonju@gmail.com; Kong, Wei; Li, Jincheng; Kim, Tong-Ho; Brown, April S. [Department of Electrical and Computer Engineering, Duke University, Durham, NC, 27708 (United States); Collar, Kristen [Department of Physics, Duke University, Durham, NC, 27708 (United States); Losurdo, Maria [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy)

    2016-03-15

    Angle-resolved X-ray photoelectron spectroscopy (XPS) is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE)-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In{sub 0.18}Al{sub 0.82}N, 0.15 eV for In{sub 0.17}Al{sub 0.83}N, and 0.23 eV for In{sub 0.098}Al{sub 0.902}N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  17. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Directory of Open Access Journals (Sweden)

    Wenyuan Jiao

    2016-03-01

    Full Text Available Angle-resolved X-ray photoelectron spectroscopy (XPS is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In0.18Al0.82N, 0.15 eV for In0.17Al0.83N, and 0.23 eV for In0.098Al0.902N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  18. Synthesis of high Al content AlxGa1−xN ternary films by pulsed laser co-ablation of GaAs and Al targets assisted by nitrogen plasma

    International Nuclear Information System (INIS)

    Cai, Hua; You, Qinghu; Hu, Zhigao; Guo, Shuang; Yang, Xu; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    Highlights: • Al x Ga 1−x N films were synthesized by co-ablation of an Al target and a GaAs target. • Nitrogen plasma was used to assist the synthesis of Al x Ga 1−x N ternary films. • The Al x Ga 1−x N films are slightly rich in N with an Al content above 0.6. • The Al x Ga 1−x N films are hexagonal wurtzite in crystal structure. • The Al x Ga 1−x N films have an absorption edge of 260 nm and a band gap of 4.7 eV. - Abstract: We present the synthesis of Al x Ga 1−x N ternary films by pulsed laser co-ablation of a polycrystalline GaAs target and a metallic Al target in the environment of nitrogen plasma which provides nitrogen for the films and assists the formation of nitride films. Field emission scanning electron microscopy exposes the smooth surface appearance and dense film structure. X-ray diffraction, Fourier-transform infrared spectroscopy and Raman scattering spectroscopy reveal the hexagonal wurtzite structure. Optical characterization shows high optical transmittance with an absorption edge of about 260 nm and a band gap of 4.7 eV. Compositional analysis gives the Al content of about 0.6. The structure and optical properties of the Al x Ga 1−x N films are compared with those of binary GaN and AlN films synthesized by ablating GaAs or Al target with the same nitrogen plasma assistance

  19. Nanoporous gold synthesized by plasma-assisted inert gas condensation: room temperature sintering, nanoscale mechanical properties and stability against high energy electron irradiation

    Science.gov (United States)

    Weyrauch, S.; Wagner, C.; Suckfuell, C.; Lotnyk, A.; Knolle, W.; Gerlach, J. W.; Mayr, S. G.

    2018-02-01

    With a plasma assisted gas condensation system it is possible to achieve high-purity nanoporous Au (np-Au) structures with minimal contaminations and impurities. The structures consist of single Au-nanoparticles, which partially sintered together due to their high surface to volume ratio. Through electron microscopy investigations a porosity  >50% with ligament sizes between 20-30 nm was revealed. The elastic modulus of the np-Au was determined via peak force quantitative nanomechanical mapping and resulted in values of 7.5  ±  1.5 GPa. The presented structures partially sintered at room temperature, but proved to be stable to electron irradiation with energies of 7 MeV up to doses of 100 MGy. The electron irradiation stability opens the venue for electron assisted functionalization with biomolecules.

  20. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  1. Demonstration of Plasma Assisted Waste Conversion to Gas

    Data.gov (United States)

    National Aeronautics and Space Administration — The goal is to demonstrate high fidelity mission waste simulant conversion with a proprietary DC plasma torch, a different approach from industry which uses higher...

  2. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  3. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  4. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  5. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  6. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  8. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  9. Towards large-scale plasma-assisted synthesis of nanowires

    Science.gov (United States)

    Cvelbar, U.

    2011-05-01

    Large quantities of nanomaterials, e.g. nanowires (NWs), are needed to overcome the high market price of nanomaterials and make nanotechnology widely available for general public use and applications to numerous devices. Therefore, there is an enormous need for new methods or routes for synthesis of those nanostructures. Here plasma technologies for synthesis of NWs, nanotubes, nanoparticles or other nanostructures might play a key role in the near future. This paper presents a three-dimensional problem of large-scale synthesis connected with the time, quantity and quality of nanostructures. Herein, four different plasma methods for NW synthesis are presented in contrast to other methods, e.g. thermal processes, chemical vapour deposition or wet chemical processes. The pros and cons are discussed in detail for the case of two metal oxides: iron oxide and zinc oxide NWs, which are important for many applications.

  10. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  11. Comparative Shock-Tube Study of Autoignition and Plasma-Assisted Ignition of C2-Hydrocarbons

    Science.gov (United States)

    Kosarev, Ilya; Kindysheva, Svetlana; Plastinin, Eugeny; Aleksandrov, Nikolay; Starikovskiy, Andrey

    2015-09-01

    The dynamics of pulsed picosecond and nanosecond discharge development in liquid water, ethanol and hexane Using a shock tube with a discharge cell, ignition delay time was measured in a lean (φ = 0.5) C2H6:O2:Ar mixture and in lean (φ = 0.5) and stoichiometric C2H4:O2:Ar mixtures with a high-voltage nanosecond discharge and without it. The measured results were compared with the measurements made previously with the same setup for C2H6-, C2H5OH- and C2H2-containing mixtures. It was shown that the effect of plasma on ignition is almost the same for C2H6, C2H4 and C2H5OH. The reduction in time is smaller for C2H2, the fuel that is well ignited even without the discharge. Autoignition delay time was independent of the stoichiometric ratio for C2H6 and C2H4, whereas this time in stoichiometric C2H2- and C2H5OH-containing mixtures was noticeably shorter than that in the lean mixtures. Ignition after the discharge was not affected by a change in the stoichiometric ratio for C2H2 and C2H4, whereas the plasma-assisted ignition delay time for C2H6 and C2H5OH decreased as the equivalence ratio changed from 1 to 0.5. Ignition delay time was calculated in C2-hydrocarbon-containing mixtures under study by simulating separately discharge and ignition processes. Good agreement was obtained between new measurements and calculated ignition delay times.

  12. MBE growth of compounds on the copper rich side of the (Sr,Ca)CuO system

    Energy Technology Data Exchange (ETDEWEB)

    Eustache, B. [Ecole Superieure de Physique et Chimie Industrielles, Paris (France). Lab. Surfaces et Supraconducteurs]|[Wintici S.A., 17 Rue Jean Moulin, 94300, Paris (France); Beuran, F.C.; Hatterer, C.J.; Mairet, V.; Partiot, C.; Xu, X.Z.; Germain, P.; Lagues, M. [Ecole Superieure de Physique et Chimie Industrielles, Paris (France). Lab. Surfaces et Supraconducteurs; Deville Cavellin, C. [Universite Paris XII, 61 Avenue du General de Gaulle, 94010 Creteil, Paris (France)

    1997-04-01

    Thin films belonging to the copper rich family in the (Sr,Ca)-Cu-O system have been synthesized using molecular beam epitaxy (MBE) deposition. The growth conditions have been optimized on two different substrates, MgO (100) and SrTiO{sub 3} (100). We discuss in this paper the variations of the electron diffraction pattern (RHEED) during the growth. The films obtained present a single orientation with good crystallization. The average composition measured by Rutherford backscattering spectroscopy (RBS) is (Sr,Ca){sub 0.6}CuO{sub z}. This stoichiometry is close to the composition expected for the first members of the spin ladders homologous series Sr{sub n-1}Cu{sub n+1}O{sub 2n}. High resolution electron microscopy (HREM) and X-ray diffraction measurements on a four circles diffractometer are in progress. Preliminary results confirm that the structure is consistent with spin-ladders structures. Typical room temperature resistivity is of the order of 0.5 m{Omega} cm. The search for superconducting properties in these compounds is discussed. (orig.)

  13. Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Uemura, Shigeaki; Kudo, Yoshihiro [Materials Laboratories, Sony Corporation, Atsugi, Kanagawa (Japan); Kitajima, Yoshinori [Photon Factory, High Energy Accelerator Research Organization, Tsukuba, Ibaraki (Japan); Yamamoto, Akio [Graduate School of Engineering, University of Fukui, Fukui (Japan); Muto, Daisuke; Nanishi, Yasushi [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan)

    2008-07-01

    We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Plasma state. The universe's fire

    International Nuclear Information System (INIS)

    Lehner, Th.

    2004-01-01

    The plasma is the fourth state of matter, obtained at a very high temperature by the separation of the electrons from their nuclei. Plasma represents 99% of the visible mass of our present day universe and was the unique state of matter at its very beginning. Plasmas are present in the core of stars and in the interstellar environment. More closer to us, they are responsible of spectacular phenomena, like aurora borealis, lightning, comet queues etc.. This book makes a review of the different types of plasmas (electromagnetic, Earth's plasmas, spatial plasmas, solar plasmas, astrophysical plasmas). One chapter presents the thermonuclear fusion as future energy source. Another one treats of the chaos and turbulence inside plasmas. Some applications of plasmas are reviewed: MHD and ionic propulsion systems, MHD energy conversion and MHD generators, thermo-ionic converters, solid-state plasmas, particle accelerators, coherent radiation sources, 'Zeta' machines, X-ray lasers, isotopic separation, non-neutral plasmas and charged beams, free-electrons lasers, electrons and positrons plasmas, industrial applications (etching and cleaning, manufacturing of solar cells, flat screens, industrial reactors, waste treatment, cold plasma-assisted sterilization, effluents decontamination etc.). A last chapter makes an overview of the modern research in plasma physics. (J.S.)

  15. Room temperature Ultraviolet B emission from InAlGaN films synthesized by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Roberts, A. T. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2015-09-28

    Thin films of the wide bandgap quaternary semiconductor In{sub x}Al{sub y}Ga{sub (1−x−y)}N with low In (x = 0.01–0.05) and high Al composition (y = 0.40–0.49) were synthesized on GaN templates by plasma-assisted molecular beam epitaxy. High-resolution X-ray diffraction was used to correlate the strain accommodation of the films to composition. Room temperature ultraviolet B (280 nm–320 nm) photoluminescence intensity increased with increasing In composition, while the Stokes shift remained relatively constant. The data suggest a competition between radiative and non-radiative recombination occurs for carriers, respectively, localized at centers produced by In incorporation and at dislocations produced by strain relaxation.

  16. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  17. Ian Taylor MBE MP Chairman Parliamentary and Scientific Committee, United Kingdom (second from left) with (from left to right) CMS Technical Coordinator A. Ball, CMS Spokesperson Tejinder (Jim) Virdee and Adviser to the Director-General J. Ellis on 2 November 2009.

    CERN Multimedia

    Maximilien Brice; CMS

    2009-01-01

    Ian Taylor MBE MP Chairman Parliamentary and Scientific Committee, United Kingdom (second from left) with (from left to right) CMS Technical Coordinator A. Ball, CMS Spokesperson Tejinder (Jim) Virdee and Adviser to the Director-General J. Ellis on 2 November 2009.

  18. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  19. UVB-emitting InAlGaN multiple quantum well synthesized using plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    W. Kong

    2017-03-01

    Full Text Available A high Al-content (y > 0.4 multi-quantum-well (MQW structure with a quaternary InxAlyGa(1-x-yN active layer was synthesized using plasma-assisted molecular beam epitaxy. The MQW structure exhibits strong carrier confinement and room temperature ultraviolet-B (UVB photoluminescence an order of magnitude stronger than that of a reference InxAlyGa(1-x-yN thin film with comparable composition and thickness. The samples were characterized using spectroscopic ellipsometry, atomic force microscopy, and high-resolution X-ray diffraction. Numerical simulations suggest that the UVB emission efficiency is limited by dislocation-related non-radiative recombination centers in the MQW and at the MQW - buffer interface. Emission efficiency can be significantly improved by reducing the dislocation density from 109cm−2 to 107cm−2 and by optimizing the width and depth of the quantum wells.

  20. Impact of the Ga/In ratio on the N incorporation into (In,Ga)(As,N) quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Gargallo-Caballero, R.; Guzman, A.; Ulloa, J. M.; Hierro, A. [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM)-Departamento de Ingenieria Electronica, ETSI Telecomunicacion, Universidad Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Hopkinson, M. [Department of Electronic and Electrical Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Luna, E.; Trampert, A. [Paul Drude Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2012-04-15

    In this work, we demonstrate the dependence of the nitrogen incorporation on the Ga/In content into (In,Ga)(As,N) quantum dots (QDs) grown on GaAs (100) by radio-frequency plasma assisted molecular beam epitaxy (MBE). Morphological analysis by atomic force microscopy and cross-sectional transmission electron microscopy, together with an estimation of the transition thickness, monitored in situ during the growth, predict a maximum in the N incorporation for 30% Ga content. This result is confirmed by photoluminescence measurements of the as-grown and post-growth annealed samples. We attribute this behavior to a trade off between two mechanisms depending on the Ga/In content: one related to the stability of the Ga-N bond, and the other related to the surface strain and/or In segregation.

  1. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  2. Some aspects of hydrogen plasma treatment of anti-modulation doped near surface GaAs/AlGaAs single quantum well structures

    International Nuclear Information System (INIS)

    Bumai, Yu.A.; Gobsch, G.; Goldhahn, R.; Stein, N.; Golombek, A.; Nakov, V.; Cheng, T.S.

    1999-01-01

    The MBE grown anti-modulation doped GaAs/AlGaAs structures with near surface single quantum wells were exposed to a DC hydrogen plasma (∼400 eV) and investigated using PL, PLE and PR spectroscopy at 5 K. Strong acceptor related free to bound transition (FB) dominates for quantum well related PL but excitonic features are still observed in PLE spectra. After hydrogen plasma treatment the PL intensity of FB transition from quantum well was strongly increased for above AlGaAs band gap excitation and was unchanged for below AlGaAs one. These results are consistent with atomic hydrogen passivation of deep defects in AlGaAs barriers. At the same time radiative excitonic recombination was quenched by hydrogenation. PLE and PR spectra indicate on a strong increase of electric field in subsurface region of the structure after hydrogenation. The increase of electric field in anti-modulation doped structure after hydrogen plasma treatment is supposed to be due to passivation by atomic hydrogen of surface states that leads to unpinning of Fermi level from mid gap to carbon acceptor level position in GaAs cap layer. It causes the further band bending and surface electric field increase that strongly suppress excitonic recombination in near surface quantum wells

  3. Techno-Economic Feasibility Study of Renewable Power Systems for a Small-Scale Plasma-Assisted Nitric Acid Plant in Africa

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2016-12-01

    Full Text Available The expected world population growth by 2050 is likely to pose great challenges in the global food demand and, in turn, in the fertilizer consumption. The Food and Agricultural Organization of the United Nations has forecasted that 46% of this projected growth will be attributed to Africa. This, in turn, raises further concerns about the sustainability of Africa’s contemporary fertilizer production, considering also its high dependence on fertilizer imports. Based on these facts, a novel “green” route for the synthesis of fertilizers has been considered in the context of the African agriculture by means of plasma technology. More precisely, a techno-economic feasibility study has been conducted for a small-scale plasma-assisted nitric acid plant located in Kenya and South Africa with respect to the electricity provision by renewable energy sources. In this study, standalone solar and wind power systems, as well as a hybrid system, have been assessed for two different electricity loads against certain economic criteria. The relevant simulations have been carried out in HOMER software and the optimized configurations of each examined renewable power system are presented in this study.

  4. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  5. Modeling the effect of doping on the catalyst-assisted growth and field emission properties of plasma-grown graphene sheet

    International Nuclear Information System (INIS)

    Gupta, Neha; Sharma, Suresh C.; Sharma, Rinku

    2016-01-01

    A theoretical model describing the effect of doping on the plasma-assisted catalytic growth of graphene sheet has been developed. The model accounts the charging rate of the graphene sheet, kinetics of all the plasma species, including the doping species, and the growth rate of graphene nuclei and graphene sheet due to surface diffusion, and accretion of ions on the catalyst nanoparticle. Using the model, it is observed that nitrogen and boron doping can strongly influence the growth and field emission properties of the graphene sheet. The results of the present investigation indicate that nitrogen doping results in reduced thickness and shortened height of the graphene sheet; however, boron doping increases the thickness and height of the graphene sheet. The time evolutions of the charge on the graphene sheet and hydrocarbon number density for nitrogen and boron doped graphene sheet have also been examined. The field emission properties of the graphene sheet have been proposed on the basis of the results obtained. It is concluded that nitrogen doped graphene sheet exhibits better field emission characteristics as compared to undoped and boron doped graphene sheet. The results of the present investigation are consistent with the existing experimental observations.

  6. Modeling the effect of doping on the catalyst-assisted growth and field emission properties of plasma-grown graphene sheet

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Neha; Sharma, Suresh C.; Sharma, Rinku [Department of Applied Physics, Delhi Technological University (DTU), Shahbad Daulatpur, Bawana Road, Delhi-110042 (India)

    2016-08-15

    A theoretical model describing the effect of doping on the plasma-assisted catalytic growth of graphene sheet has been developed. The model accounts the charging rate of the graphene sheet, kinetics of all the plasma species, including the doping species, and the growth rate of graphene nuclei and graphene sheet due to surface diffusion, and accretion of ions on the catalyst nanoparticle. Using the model, it is observed that nitrogen and boron doping can strongly influence the growth and field emission properties of the graphene sheet. The results of the present investigation indicate that nitrogen doping results in reduced thickness and shortened height of the graphene sheet; however, boron doping increases the thickness and height of the graphene sheet. The time evolutions of the charge on the graphene sheet and hydrocarbon number density for nitrogen and boron doped graphene sheet have also been examined. The field emission properties of the graphene sheet have been proposed on the basis of the results obtained. It is concluded that nitrogen doped graphene sheet exhibits better field emission characteristics as compared to undoped and boron doped graphene sheet. The results of the present investigation are consistent with the existing experimental observations.

  7. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  8. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  10. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  11. Sensitive determination of three aconitum alkaloids and their metabolites in human plasma by matrix solid-phase dispersion with vortex-assisted dispersive liquid-liquid microextraction and HPLC with diode array detection.

    Science.gov (United States)

    Wang, Xiaozhong; Li, Xuwen; Li, Lanjie; Li, Min; Liu, Ying; Wu, Qian; Li, Peng; Jin, Yongri

    2016-05-01

    A simple and sensitive method for determination of three aconitum alkaloids and their metabolites in human plasma was developed using matrix solid-phase dispersion combined with vortex-assisted dispersive liquid-liquid microextraction and high-performance liquid chromatography with diode array detection. The plasma sample was directly purified by matrix solid-phase dispersion and the eluate obtained was concentrated and further clarified by vortex-assisted dispersive liquid-liquid microextraction. Some important parameters affecting the extraction efficiency, such as type and amount of dispersing sorbent, type and volume of elution solvent, type and volume of extraction solvent, salt concentration as well as sample solution pH, were investigated in detail. Under optimal conditions, the proposed method has good repeatability and reproducibility with intraday and interday relative standard deviations lower than 5.44 and 5.75%, respectively. The recoveries of the aconitum alkaloids ranged from 73.81 to 101.82%, and the detection limits were achieved within the range of 1.6-2.1 ng/mL. The proposed method offered the advantages of good applicability, sensitivity, simplicity, and feasibility, which makes it suitable for the determination of trace amounts of aconitum alkaloids in human plasma samples. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan, E-mail: alan.doolittle@ece.gatech.edu [Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Bresnahan, Rich C. [Veeco Instruments, St. Paul, Minnesota 55127 (United States)

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be

  13. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-01-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N 2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N 2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10 16 to 3.8 × 10 19 cm −3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10 15 cm −3 . The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the

  14. One-dimensional analysis of the rate of plasma-assisted sputter deposition

    International Nuclear Information System (INIS)

    Palmero, A.; Rudolph, H.; Habraken, F. H. P. M.

    2007-01-01

    In this article a recently developed model [A. Palmero, H. Rudolph, and F. H. P. M. Habraken, Appl. Phys. Lett. 89, 211501 (2006)] is applied to analyze the transport of sputtered material from the cathode toward the growing film when using a plasma-assisted sputtering deposition technique. The argon pressure dependence of the deposition rate of aluminum, silicon, vanadium, chromium, germanium, tantalum, and tungsten under several different experimental conditions has been analyzed by fitting experimental results from the literature to the above-mentioned theory. Good fits are obtained. Three quantities are deduced from the fit: the temperature of the cathode and of the growing film, and the value of the effective cross section for thermalization due to elastic scattering of a sputtered particle on background gas atoms. The values derived from the fits for the growing film and cathode temperature are very similar to those experimentally determined and reported in the literature. The effective cross sections have been found to be approximately the corresponding geometrical cross section divided by the average number of collisions required for the thermalization, implying that the real and effective thermalization lengths have a similar value. Finally, the values of the throw distance appearing in the Keller-Simmons model, as well as its dependence on the deposition conditions have been understood invoking the values of the cathode and film temperature, as well as of the value of the effective cross section. The analysis shows the overall validity of this model for the transport of sputtered particles in sputter deposition

  15. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  16. The effect of the individual species of the N plasma on the characteristics of InAsN quantum dots grown by MBE

    International Nuclear Information System (INIS)

    Gargallo-Caballero, R.; Guzman, A.; Miguel-Sanchez, J.; Hierro, A.; Munoz, E.

    2008-01-01

    The influence of the different species which constitute N plasma, such as atomic nitrogen, diatomic nitrogen and ionized species, on the morphological and optical characteristics of the InAsN quantum dots (QDs) has been studied in this work. We have performed several sets of growths modifying in each one the concentration of these species. Atomic force microscopy (AFM) and photoluminescence (PL) techniques have been used to perform the surface characterization and the optical analysis of these samples, respectively. Clearly, we have found a strong correlation between the structural and optical characteristics of the InAsN QDs with the plasma composition used during the growth. Ionized species favour the high density of QDs, atomic nitrogen increase dimensions of the QDs and molecular nitrogen does not almost affect the characteristics of these nanostructures. An increment of ionized species in the plasma yields a higher density of QDs, an increase in the atomic nitrogen increases the dimensions of the QDs and the molecular nitrogen flux used does not almost affect the characteristics of these nanostructures. Also, we have found that there is not redshift of the peak wavelength of the PL emission as we increase the atomic nitrogen concentration during the growth. This may be due to equal nitrogen incorporation into the quantum dots. We supposed that the mechanism dominating in it is possible that the nitrogen incorporation in these types of nanostructures depend on another growth parameter

  17. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  18. Plasma-Assisted Chemistry in High-Speed Flow

    International Nuclear Information System (INIS)

    Leonov, Sergey B.; Yarantsev, Dmitry A.; Napartovich, Anatoly P.; Kochetov, Igor V.

    2007-01-01

    Fundamental problems related to the high-speed combustion are analyzed. The result of plasma-chemical modeling is presented as a motivation of experimental activity. Numerical simulations of the effect of uniform non-equilibrium discharge on the premixed hydrogen and ethylene-air mixture in supersonic flow demonstrate an advantage of such a technique over a heating. Experimental results on multi-electrode non-uniform discharge maintenance behind wallstep and in cavity of supersonic flow are presented. The model test on hydrogen and ethylene ignition is demonstrated at direct fuel injection to low-temperature high-speed airflow

  19. Mechanisms of plasma-assisted catalyzed growth of carbon nanofibres: a theoretical modeling

    Science.gov (United States)

    Gupta, R.; Sharma, S. C.; Sharma, R.

    2017-02-01

    A theoretical model is developed to study the nucleation and catalytic growth of carbon nanofibers (CNFs) in a plasma environment. The model includes the charging of CNFs, the kinetics of the plasma species (neutrals, ions and electrons), plasma pretreatment of the catalyst film, and various processes unique to a plasma-exposed catalyst surface such as adsorption of neutrals, thermal dissociation of neutrals, ion induced dissociation, interaction between neutral species, stress exerted by the growing graphene layers and the growth of CNFs. Numerical calculations are carried out for typical glow discharge plasma parameters. It is found that the growth rate of CNFs decreases with the catalyst nanoparticle size. In addition, the effect of hydrogen on the catalyst nanoparticle size, CNF tip diameter, CNF growth rate, and the tilt angle of the graphene layers to the fiber axis are investigated. Moreover, it is also found that the length of CNFs increases with hydrocarbon number density. Our theoretical findings are in good agreement with experimental observations and can be extended to enhance the field emission characteristics of CNFs.

  20. Plasma-Assisted Synthesis of NiCoP for Efficient Overall Water Splitting

    KAUST Repository

    Liang, Hanfeng

    2016-11-09

    Efficient water splitting requires highly active, earth-abundant, and robust catalysts. Monometallic phosphides such as NiP have been shown to be active toward water splitting. Our theoretical analysis has suggested that their performance can be further enhanced by substitution with extrinsic metals, though very little work has been conducted in this area. Here we present for the first time a novel PH plasma-assisted approach to convert NiCo hydroxides into ternary NiCoP. The obtained NiCoP nanostructure supported on Ni foam shows superior catalytic activity toward the hydrogen evolution reaction (HER) with a low overpotential of 32 mV at 10 mA cm in alkaline media. Moreover, it is also capable of catalyzing the oxygen evolution reaction (OER) with high efficiency though the real active sites are surface oxides in situ formed during the catalysis. Specifically, a current density of 10 mA cm is achieved at overpotential of 280 mV. These overpotentials are among the best reported values for non-noble metal catalysts. Most importantly, when used as both the cathode and anode for overall water splitting, a current density of 10 mA cm is achieved at a cell voltage as low as 1.58 V, making NiCoP among the most efficient earth-abundant catalysts for water splitting. Moreover, our new synthetic approach can serve as a versatile route to synthesize various bimetallic or even more complex phosphides for various applications.

  1. Stimulation of wound healing by helium atmospheric pressure plasma treatment

    International Nuclear Information System (INIS)

    Nastuta, Andrei Vasile; Topala, Ionut; Pohoata, Valentin; Popa, Gheorghe; Grigoras, Constantin

    2011-01-01

    New experiments using atmospheric pressure plasma have found large application in treatment of living cells or tissues, wound healing, cancerous cell apoptosis, blood coagulation on wounds, bone tissue modification, sterilization and decontamination. In this study an atmospheric pressure plasma jet generated using a cylindrical dielectric-barrier discharge was applied for treatment of burned wounds on Wistar rats' skin. The low temperature plasma jet works in helium and is driven by high voltage pulses. Oxygen and nitrogen based impurities are identified in the jet by emission spectroscopy. This paper analyses the natural epithelization of the rats' skin wounds and two methods of assisted epithelization, a classical one using polyurethane wound dressing and a new one using daily atmospheric pressure plasma treatment of wounds. Systemic and local medical data, such as haematological, biochemical and histological parameters, were monitored during entire period of study. Increased oxidative stress was observed for plasma treated wound. This result can be related to the presence in the plasma volume of active species, such as O and OH radicals. Both methods, wound dressing and plasma-assisted epithelization, provided positive medical results related to the recovery process of burned wounds. The dynamics of the skin regeneration process was modified: the epidermis re-epitelization was accelerated, while the recovery of superficial dermis was slowed down.

  2. Frequency effects in silane plasmas for PECVD

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.; Finger, F.; Kroll, U.

    1991-09-01

    It is generally recognised that the excitation frequency is an important parameter in rf plasma-assisted deposition. VHF silane plasmas (50-100 MHz) have been shown to produce high quality amorphous silicon films up to 20 A/s, and therefore the aim of this work is to compare the VHF range with the 13.56 MHz industrial frequency in the same reactor. The principal diagnostics used are electrical measurements and a CCD camera for spatially-resolved plasma-induced emission with Abel inversion of the plasma image. We present a comparative study of key discharge parameters such as deposition rates, plasma uniformity, ion impact energy, power transfer efficiency and powder formation for the rf range 13-70 MHz. (author) 5 figs., 19 refs

  3. Ultrasound assisted dispersive liquid-liquid microextraction coupled with high performance liquid chromatography designated for bioavailability studies of felodipine combinations in rat plasma.

    Science.gov (United States)

    Ahmed, Sameh; Atia, Noha N; Bakr Ali, Marwa Fathy

    2017-03-01

    Felodipine (FLD), a calcium channel antagonist, is commonly prescribed for the treatment of hypertension either with Metoprolol (MET) or Ramipril (RAM) in two different drug combinations. FLD has high plasma protein binding ability affecting its extraction recoveries from plasma samples. Hence, a specific ultrasound assisted dispersive liquid-liquid microextraction (UA-DLLME) method coupled with HPLC using photodiode array detector was developed and validated for the simultaneous determination of FLD, MET and RAM in rat plasma after oral administration of these combinations. The factors affecting UA-DLLME were carefully optimized. In this study, UA-DLLME method could provide simple and efficient plasma extraction procedures with superior recovery results. Under optimum condition, all target drugs were separated within 13min. The validation procedures was carried out in agreement with US-FDA guidelines and shown to be suitable for anticipated purposes. Linear calibration ranges were obtained in the range 0.05-2.0μgmL -1 for FLD and MET and 0.1-2.0μgmL -1 for RAM with detection limits of 0.013-0.031μgmL -1 for all the studied drug combinations. The%RSD for inter-day and intra-day precisions was in range of 0.63-3.85% and the accuracy results were in the range of 92.13-100.5%. The validated UA-DLLME-HPLC method was successfully applied for the bioavailability studies of FLD, MET and RAM. The pharmacokinetic parameters were calculated for all the investigated drugs in rats after single-dose administrations of two different drug combinations. Although FLD was bioequivalent in the two formulations, a small increase in plasma levels of MET and RAM was found in the presence of FLD. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Ram Kumar, M.C.; Arun Kumar, A.; Padmanabhan, P.V.A.; Deshmukh, R.R.; Bah, M.; Ismat Shah, S.; Su, Pi-Guey; Halleluyah, M.; Halim, A.S.

    2016-01-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  5. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    Energy Technology Data Exchange (ETDEWEB)

    Navaneetha Pandiyaraj, K., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Ram Kumar, M.C.; Arun Kumar, A. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Padmanabhan, P.V.A. [PSN College of Engineering and Technology, Tirunelveli 627 152 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Bah, M.; Ismat Shah, S. [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Halleluyah, M.; Halim, A.S. [School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2016-05-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  6. Thermoelectric power of Bi and Bi{sub 1{minus}x}Sb{sub x} alloy thin films and superlattices grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Cho, S; DiVenere, A; Wong, G K; Ketterson, J B; Meyer, J R; Hoffman, C A

    1997-07-01

    The authors have measured the thermoelectric power (TEP) of MBE-grown epitaxial Bi and Bi{sub 1{minus}x} alloy thin films and superlattices as a function of temperature in the range 20--300 K. They have observed that the TEP of a Bi thin film of 1 {micro}m thickness is in good agreement with the bulk single crystal value and that the TEPs for superlattices with 400 {angstrom} and 800 {angstrom} Bi well thicknesses are enhanced over the bulk values. For x = 0.072 and 0.088 in Bi{sub 1{minus}x}Sb{sub x} thin films showing semiconducting behavior, TEP enhancement was observed by a factor of two. However as Bi or Bi{sub 1{minus}x}Sb{sub x} well thickness decreases in superlattice geometry, the TEP decreases, which may be due to unintentional p-type doping.

  7. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  8. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  9. Plasma assisted NO{sub x} reduction in existing coal combustors. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Yao, S.C.; Russell, T.

    1991-12-31

    The feasibility of NO{sub x} reduction using plasma injection has been investigated. Both numerical and experimental methods were used in the development of this new NO{sub x}reduction technique. The numerical analysis was used to investigate various flow mechanisms in order to provide fundamental support in the development of this new NO{sub x} control technique. The calculations using this approach can give the information of the particle trajectories and distributions which are important for the design of the in-flame plasma injection configuration. The group model also established the necessary ground for further complete modeling of the whole process including the chemical kinetics. Numerical calculations were also performed for a turbulent gas flow field with variable properties. The results provided fundamental understanding of mixing effects encountered in the experiments at Pittsburgh Energy and Technology Center. A small scale experiment facility was designed and constructed at the heterogeneous combustion laboratory at Carnegie Mellon University. A series of tests were conducted in this setup to investigate the potential of the ammonia plasma injection for NO{sub x} reduction and parametric effects of this process. The experimental results are very promising. About 86% NO{sub x} reduction was achieved using ammonia radicals produced by argon plasma within the present test range. The total percentage of NO{sub x} reduction increases when ammonia flowrate, argon flow rate and initial NO concentration increase and when plasma power and the amount of excess air in the combustor decrease. A combined transport and reaction model was postulated for understanding the mechanism of NO{sub x} reduction using the plasma injection.

  10. RHEED studies of MBE growth mechanisms of CdTe and CdMnTe

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A.; Behr, T.; Litz, T.; Kuhn-Heinrich, B.; Hommel, D.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1993-01-30

    We report on reflection high energy electron diffraction (RHEED) studies of molecular beam epitaxy (MBE) growth of CdTe and CdMnTe on (100) oriented CdTe substrates. RHEED oscillations were measured for both the growth and desorption of CdTe and CdMnTe as a function of flux and temperature. For the first time, the influence of laser and electron irradiation on the growth rate, as well as desorption, of CdTe is studied in detail using RHEED oscillations. We found a very small effect on the growth rate as well as on the CdTe desorption rate. The growth rate of CdTe was determined for different temperatures and CdTe flux ratios. The obtained experimental results are compared with a kinetic growth model to get information on the underlying growth processes, taking into account the influence of a precursor by including surface diffusion. From the comparison between model and experimental results the sticking coefficients of Cd and Te are determined. The growth rate of CdMnTe increases with Mn flux. This dependence can be used to calibrate the Mn content during growth by comparing the growth rate of CdTe with the growth rate of CdMnTe. The change in growth rate has been correlated with Mn content via photoluminescence measurements. In addition, the sticking coefficient of Mn is derived by comparing experimental results with a kinetic growth model. For high manganese content a transition to three-dimensional growth occurs. (orig.).

  11. Plasma-Assisted Pretreatment of Wheat Straw

    DEFF Research Database (Denmark)

    Schultz-Jensen, Nadja; Leipold, Frank; Bindslev, Henrik

    2011-01-01

    O3 generated in a plasma at atmospheric pressure and room temperature, fed with dried air (or oxygen-enriched dried air), has been used for the degradation of lignin in wheat straw to optimize the enzymatic hydrolysis and to get more fermentable sugars. A fixed bed reactor was used combined...... with a CO2 detector and an online technique for O3 measurement in the fed and exhaust gas allowing continuous measurement of the consumption of O3. This rendered it possible for us to determine the progress of the pretreatment in real time (online analysis). The process time can be adjusted to produce wheat...... straw with desired lignin content because of the online analysis. The O3 consumption of wheat straw and its polymeric components, i.e., cellulose, hemicellulose, and lignin, as well as a mixture of these, dry as well as with 50% water, were studied. Furthermore, the process parameters dry matter content...

  12. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    Science.gov (United States)

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  13. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  14. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  15. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bass, Utz

    2012-10-16

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  16. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    International Nuclear Information System (INIS)

    Bass, Utz

    2012-01-01

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  17. The diverse applications of plasma

    International Nuclear Information System (INIS)

    Sharma, Mukul; Darwhekar, Gajanan; Dubey, Shivani; Jain, Sudhir Kumar

    2015-01-01

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences

  18. The diverse applications of plasma

    Science.gov (United States)

    Sharma, Mukul; Dubey, Shivani; Darwhekar, Gajanan; Jain, Sudhir Kumar

    2015-07-01

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences.

  19. The diverse applications of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Mukul, E-mail: mukulsharma@acropolis.edu.in; Darwhekar, Gajanan, E-mail: gdarwhekar@acropolis.edu.in [Acropolis Institute of Pharmaceutical Education & Research, Indore MP India (India); Dubey, Shivani, E-mail: dubeyshivani08@rediffmail.com [Mata Gujri College of Professional Studies, Indore MP India (India); Jain, Sudhir Kumar, E-mail: sudhirkjain1@rediffmail.com [School of Studies in Microbiology, Vikram University, Ujjain MP India (India)

    2015-07-31

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences.

  20. Design and Performance Evaluation of a Solar Assisted Heat Pump Dryer Integrated with Biomass Furnace for Red Chilli

    Directory of Open Access Journals (Sweden)

    M. Yahya

    2016-01-01

    Full Text Available The performance of a solar assisted heat pump dryer integrated with biomass furnace has been designed and evaluated for drying red chillies, and drying kinetics of red chillies were evaluated. The red chillies were dried from 22 kg with moisture content of 4.26 db to moisture content of 0.08 db which needed 11 hours, with the average drying chamber temperature, drying chamber relative humidity, and an air mass flow rate of 70.5°C, 10.1%, and 0.124 kg/s, respectively, while the open sun drying needed 62 hours. Compared to open sun drying, this dryer yielded 82% saving in drying time. The drying rate, the specific moisture extraction rate, and thermal efficiency of the dryer were estimated in average to be about 1.57 kg/h, 0.14 kg/kWh, and 9.03%, respectively. Three mathematical models, the Newton, Henderson-Pabis, and Page models, were fitted to the experimental data on red chillies dried by solar assisted heat pump dryer integrated with biomass furnace and open sun drying. The performance of these models was evaluated by comparing the coefficient of determination (R2, mean bias error (MBE, and root mean-square error (RMSE. The Page model gave the best results for representing drying kinetics of red chillies.

  1. Plasmas pour valorisation totale ou partielle des gaz contenant de l'H2S Plasmas for Total Or Partial Upgrading of H2s Containing Gases

    Directory of Open Access Journals (Sweden)

    Czernichowski A.

    2006-12-01

    Full Text Available Des procédés par plasmas alternatifs au procédé Claus sont proposés pour produire de l'hydrogène et récupérer du soufre à partir des gaz contenant une forte teneur en H2S. Quand la teneur en H2S est moins importante, des techniques plasma peuvent également être utiles pour assister certains étages du procédé classique Claus ou réaliser ce procédé pour des gaz non conventionnels. Les tests allant jusqu'à l'échelle industrielle sont menés dans divers réacteurs à plasmas et les résultats encourageants rapportés de la littérature et de la recherche propre laissent envisager des prochaines applications. Plasma assisted processes are proposed to act as an alternative to the Claus process in order to recover hydrogen and sulphur from high H2S gases. For less concentrated gases plasma techniques may also be inserted into classical Claus plant or may allow treatment of non conventional gases. Up to industrial scale tests are performed using different plasma reactors. The literature-based results as well as our own ones make the future applications quite optimistic.

  2. Controllable synthesizing DLC nano structures as a super hydrophobic layer on cotton fabric using a low-cost ethanol electrospray-assisted atmospheric plasma jet

    Science.gov (United States)

    Sohbatzadeh, F.; Eshghabadi, M.; Mohsenpour, T.

    2018-06-01

    The surface modification of cotton samples was carried out using a liquid (ethanol) electrospray-assisted atmospheric pressure plasma jet. X-ray photoelectron spectroscopy (XPS) and Raman analysis confirmed the successful deposition of diamond like carbon (DLC) nano structures on the cotton surface. The super hydrophobic state of the samples was probed by contact angle measurements. The water repellency of the layers was tuned by controlling the voltage applied to the electrospray electrode. An investigation of the morphological and chemical structures of the samples by field emission scanning microscopy, atomic force microscopy (AFM) and XPS indicated that the physical shape, distribution and amorphization of the DLC structures were successfully adjusted and improved by applying a voltage to the electrospray electrode. Finally wash durability of the best sample was tested for 35 cycles. In this work, the use of a well-developed atmospheric pressure plasma jet for DLC nano structures deposition can enable a promising environmentally friendly and low-cost approach for modifying cotton fabrics for super water-repellent fabric applications.

  3. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  4. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  5. Investigation and comparison of GaN nanowire nucleation and growth by the catalyst-assisted and self-induced approaches

    Energy Technology Data Exchange (ETDEWEB)

    Cheze, Caroline

    2010-03-04

    This work focuses on the nucleation and growth mechanisms of GaN nanowires (NWs) by molecular beam epitaxy (MBE). The two main novelties of this study are the intensive employment of in-situ techniques and the direct comparison of selfinduced and catalyst-induced NWs. On silicon substrates, GaN NWs form in MBE without the use of any external catalyst seed. On sapphire, in contrast, NWs grow under identical conditions only in the presence of Ni seeds. NW nucleation was studied in situ by reflection high-energy electron diffraction (RHEED) in correlation with line-of-sight quadrupole mass spectrometry (QMS). The latter technique allows to monitor the incorporated amount of Ga. For the catalyst-assisted approach, three nucleation stages were identified: first incorporation of Ga into the Ni seeds, second transformation of the seed crystal structure due to Ga accumulation, and last GaN growth under the seeds. The crystalline structure of the seeds during the first two stages is in accord with the Ni-Ga binary phase diagram and evidenced that only Ga incorporates into the Ni particles. GaN forms only after the Ga concentration is larger than the one of Ni. The observation of diffraction patterns generated by the Ni-Ga seed particles during the whole nucleation evidences the solid state of the seeds. Moreover, the QMS study showed that it is not Ga incorporation into Ni but GaN nucleation itself that limits the growth processes. For the self-induced NWs, QMS and RHEED investigations indicate very similar nucleation processes on Si(001) and Si(111) and two nucleation stages were identified. Transmission electron microscopy on samples grown on Si(001) revealed that the first stage is characterized by the competition between the nucleation of crystalline Si{sub x}N{sub y} and GaN. During this stage, the Si surface strongly roughens by the formation of pits and Si mounds. At the same time, very few GaN islands nucleate. During the second stage, the amorphization of the Si

  6. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  7. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    International Nuclear Information System (INIS)

    Borisova, Svetlana

    2012-01-01

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  8. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Borisova, Svetlana

    2012-05-23

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  9. Interaction of Plasma Discharges with a Flame: Experimental and Numerical Study

    International Nuclear Information System (INIS)

    Vincent-Randonnier, Axel; Teixeira, David

    2010-01-01

    This paper presents experimental results and numerical simulations of methane/air non-premixed flame under plasma assistance. Without plasma assistance, the flame blows off at a 28-30 m·s -1 bulk velocity (power around 3 kW). When the discharge is on, the flame can be maintained up to a bulk velocity of 53 m·s -1 (power around 6 kW), corresponding to +90% gain in power with only a few watt of plasma power. The plasma discharges present short duration current pulses (between 100 ns and 200 ns) and occur non-monotonically (delay between two pulses from 6x10 -5 s to 0.1 s). The probability density function of this occurrence is significantly influenced by the mass flow rate or the absence of flame, revealing the strong coupling of the plasma with hydrodynamic and combustion. For the numerical section of this work, we simulated the flame using a Computational Fluid Dynamics code based on Direct Numerical Simulation (direct solving of Navier-Stokes equations), and investigated the thermal and/or chemical effects of discharges on the flame stability.

  10. The mechanism of plasma-assisted penetration of NO2- in model tissues

    Science.gov (United States)

    He, Tongtong; Liu, Dingxin; Liu, Zhijie; Liu, Zhichao; Li, Qiaosong; Rong, Mingzhe; Kong, Michael G.

    2017-11-01

    Cold atmospheric plasmas are reportedly capable of enhancing the percutaneous absorption of drugs, which is a development direction of plasma medicine. This motivated us to study how the enhancement effect was realized. In this letter, gelatin gel films were used as surrogates of human tissues, NaNO2 was used as a representative of small-molecule drugs, and cross-field and linear-field plasma jets were used for the purpose of enhancing the penetration of NaNO2 through the gelatin gel films. The permeability of gelatin gel films was quantified by measuring the NO2- concentration in water which was covered by those films. It was found that the gas flow and electric field of cold plasmas played a crucial role in the permeability enhancement of the model tissues, but the effect of gas flow was mainly confined in the surface layer, while the effect of the electric field was holistic. Those effects might be attributed to the localized squeezing of particles by gas flow and the weakening of the ion-dipole interaction by the AC electric field. The enhancement effect decreases with the increasing mass fraction of gelatin because the macromolecules of gelatin could significantly hinder the penetration of small molecules in the model tissues.

  11. Humanização da assistência ao parto no Brasil: os muitos sentidos de um movimento Humanization of childbirth care in Brazil: the numerous meanings of a movement

    Directory of Open Access Journals (Sweden)

    Carmen Simone Grilo Diniz

    2005-09-01

    Full Text Available Este texto recupera as origens do termo humanização do parto, o reconhecimento da sua assistência ao parto como evento desumanizante, a crítica técnica à assistência, o surgimento de um movimento nacional e internacional de humanização do parto, as políticas de humanização do parto desenvolvidas no Brasil, e as relações entre a crítica à assistência e a criação do movimento pela medicina baseada em evidências (MBE. Com base em um estudo de duas maternidades "humanizadas" do SUS, discute os diferentes (às vezes contraditórios sentidos do termo, e seu alcance em questionar a cultura técnico-assistencial, a anatomia, a fisiologia femininas, e as relações de gênero. Entre os diferentes sentidos estão: o uso da MBE, o respeito aos direitos (reprodutivos e sexuais, ao acesso universal e ao consumo de tecnologia, o tratamento acolhedor e respeitoso, o manejo da dor do parto e a prevenção da dor iatrogênica, novas atribuições profissionais e disputas corporativas; a relação custo-benefício etc. Longe de querer achar a "humanização certa", busca-se compreender nos diferentes sentidos um diálogo, tenso e produtivo, entre atores sociais em disputa.This paper recovers the origins of the term "humanization of childbirth", the recognition of birth care as a dehumanizing event, the technical criticism to the organization of care, the emergence of a national and international movement, and the related public policies in Brazil. It explores the relationships between the critique to maternal care and the emergence of Evidence-Based Medicine (EBM. Based on a research about "humanized maternity hospitals" in the Brazilian Public Health System, the paper explores the understanding of "humanization", describing the different (often contradictory meanings, its possibilities to change the technical culture, the understanding of women’s anatomy and physiology, and gender relations. Those meanings are: the use of EBM, respect for

  12. n-VO{sub 2}/p-GaN based nitride–oxide heterostructure with various thickness of VO{sub 2} layer grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Minhuan [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Bian, Jiming, E-mail: jmbian@dlut.edu.cn [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Sun, Hongjun; Liu, Weifeng [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Zhang, Yuzhi [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Luo, Yingmin [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2016-12-15

    Graphical abstract: The significant influences of VO{sub 2} layer thickness on the structural, electrical and contact properties of the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure were investigated systemically. - Highlights: • High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). • A distinct reversible SMT phase transition was observed for the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure. • The clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer. • The XPS analyses confirmed the valence state of V in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. • The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure devices will benefit significantly from these achievements. - Abstract: High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO{sub 2} layer thickness on the SMT properties of the as-grown n-VO{sub 2}/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure

  13. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  14. Electronic structure of Cr doped Fe3O4 thin films by X-ray absorption near-edge structure spectroscopy

    Science.gov (United States)

    Chen, Chi-Liang; Dong, Chung-Li; Asokan, Kandasami; Chern, G.; Chang, C. L.

    2018-04-01

    Present study reports the electronic structures of Cr doped Fe3O4 (Fe3-xCrxO4 (0 ≤ x ≤ 3) grown on MgO (100) substrates in the form of thin films fabricated by a plasma-oxygen assisted Molecular Beam Epitaxy (MBE). X-ray absorption near-edge structure (XANES) spectra at Cr & Fe L-, and O K-edges were used to understand the electronic structure: changes in the bonding nature, valence states, and site occupancies. Cr doping in Fe3O4 results in the change of charge transfer, crystal structure, and selective occupation of ions in octahedral and tetrahedral sites. Such change modifies the electrical and magnetic properties due to the covalency of Cr ions. The physical and chemical properties of ferrites are strongly dependent on the lattice site, ion size of dopant, and magnetic nature present at different structural symmetry of the spinel structure.

  15. Microwave assisted extraction of iodine and bromine from edible seaweed for inductively coupled plasma-mass spectrometry determination.

    Science.gov (United States)

    Romarís-Hortas, Vanessa; Moreda-Piñeiro, Antonio; Bermejo-Barrera, Pilar

    2009-08-15

    The feasibility of microwave energy to assist the solubilisation of edible seaweed samples by tetramethylammonium hydroxide (TMAH) has been investigated to extract iodine and bromine. Inductively coupled plasma-mass spectrometry (ICP-MS) has been used as a multi-element detector. Variables affecting the microwave assisted extraction/solubilisation (temperature, TMAH volume, ramp time and hold time) were firstly screened by applying a fractional factorial design (2(5-1)+2), resolution V and 2 centre points. When extracting both halogens, results showed statistical significance (confidence interval of 95%) for TMAH volume and temperature, and also for the two order interaction between both variables. Therefore, these two variables were finally optimized by a 2(2)+star orthogonal central composite design with 5 centre points and 2 replicates, and optimum values of 200 degrees C and 10 mL for temperature and TMAH volume, respectively, were found. The extraction time (ramp and hold times) was found statistically non-significant, and values of 10 and 5 min were chosen for the ramp time and the hold time, respectively. This means a fast microwave heating cycle. Repeatability of the over-all procedure has been found to be 6% for both elements, while iodine and bromine concentrations of 24.6 and 19.9 ng g(-1), respectively, were established for the limit of detection. Accuracy of the method was assessed by analyzing the NIES-09 (Sargasso, Sargassum fulvellum) certified reference material (CRM) and the iodine and bromine concentrations found have been in good agreement with the indicative values for this CRM. Finally, the method was applied to several edible dried and canned seaweed samples.

  16. Tunable molten oxide pool assisted plasma-melter vitrification systems

    Science.gov (United States)

    Titus, Charles H.; Cohn, Daniel R.; Surma, Jeffrey E.

    1998-01-01

    The present invention provides tunable waste conversion systems and apparatus which have the advantage of highly robust operation and which provide complete or substantially complete conversion of a wide range of waste streams into useful gas and a stable, nonleachable solid product at a single location with greatly reduced air pollution to meet air quality standards. The systems provide the capability for highly efficient conversion of waste into high quality combustible gas and for high efficiency conversion of the gas into electricity by utilizing a high efficiency gas turbine or an internal combustion engine. The solid product can be suitable for various commercial applications. Alternatively, the solid product stream, which is a safe, stable material, may be disposed of without special considerations as hazardous material. In the preferred embodiment, the arc plasma furnace and joule heated melter are formed as a fully integrated unit with a common melt pool having circuit arrangements for the simultaneous independently controllable operation of both the arc plasma and the joule heated portions of the unit without interference with one another. The preferred configuration of this embodiment of the invention utilizes two arc plasma electrodes with an elongated chamber for the molten pool such that the molten pool is capable of providing conducting paths between electrodes. The apparatus may additionally be employed with reduced use or without further use of the gases generated by the conversion process. The apparatus may be employed as a net energy or net electricity producing unit where use of an auxiliary fuel provides the required level of electricity production. Methods and apparatus for converting metals, non-glass forming waste streams and low-ash producing inorganics into a useful gas are also provided. The methods and apparatus for such conversion include the use of a molten oxide pool having predetermined electrical, thermal and physical

  17. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  18. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  19. Flow reactor studies of non-equilibrium plasma-assisted oxidation of n-alkanes.

    Science.gov (United States)

    Tsolas, Nicholas; Lee, Jong Guen; Yetter, Richard A

    2015-08-13

    The oxidation of n-alkanes (C1-C7) has been studied with and without the effects of a nanosecond, non-equilibrium plasma discharge at 1 atm pressure from 420 to 1250 K. Experiments have been performed under nearly isothermal conditions in a flow reactor, where reactive mixtures are diluted in Ar to minimize temperature changes from chemical reactions. Sample extraction performed at the exit of the reactor captures product and intermediate species and stores them in a multi-position valve for subsequent identification and quantification using gas chromatography. By fixing the flow rate in the reactor and varying the temperature, reactivity maps for the oxidation of fuels are achieved. Considering all the fuels studied, fuel consumption under the effects of the plasma is shown to have been enhanced significantly, particularly for the low-temperature regime (T<800 K). In fact, multiple transitions in the rates of fuel consumption are observed depending on fuel with the emergence of a negative-temperature-coefficient regime. For all fuels, the temperature for the transition into the high-temperature chemistry is lowered as a consequence of the plasma being able to increase the rate of fuel consumption. Using a phenomenological interpretation of the intermediate species formed, it can be shown that the active particles produced from the plasma enhance alkyl radical formation at all temperatures and enable low-temperature chain branching for fuels C3 and greater. The significance of this result demonstrates that the plasma provides an opportunity for low-temperature chain branching to occur at reduced pressures, which is typically observed at elevated pressures in thermal induced systems. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  20. Low-loss interference filter arrays made by plasma-assisted reactive magnetron sputtering (PARMS) for high-performance multispectral imaging

    Science.gov (United States)

    Broßmann, Jan; Best, Thorsten; Bauer, Thomas; Jakobs, Stefan; Eisenhammer, Thomas

    2016-10-01

    Optical remote sensing of the earth from air and space typically utilizes several channels in the visible and near infrared spectrum. Thin-film optical interference filters, mostly of narrow bandpass type, are applied to select these channels. The filters are arranged in filter wheels, arrays of discrete stripe filters mounted in frames, or patterned arrays on a monolithic substrate. Such multi-channel filter assemblies can be mounted close to the detector, which allows a compact and lightweight camera design. Recent progress in image resolution and sensor sensitivity requires improvements of the optical filter performance. Higher demands placed on blocking in the UV and NIR and in between the spectral channels, in-band transmission and filter edge steepness as well as scattering lead to more complex filter coatings with thicknesses in the range of 10 - 25μm. Technological limits of the conventionally used ion-assisted evaporation process (IAD) can be overcome only by more precise and higher-energetic coating technologies like plasma-assisted reactive magnetron sputtering (PARMS) in combination with optical broadband monitoring. Optics Balzers has developed a photolithographic patterning process for coating thicknesses up to 15μm that is fully compatible with the advanced PARMS coating technology. This provides the possibility of depositing multiple complex high-performance filters on a monolithic substrate. We present an overview of the performance of recently developed filters with improved spectral performance designed for both monolithic filter-arrays and stripe filters mounted in frames. The pros and cons as well as the resulting limits of the filter designs for both configurations are discussed.

  1. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  2. Achieving Uniform Carriers Distribution in MBE Grown Compositionally Graded InGaN Multiple-Quantum-Well LEDs

    KAUST Repository

    Mishra, Pawan; Janjua, Bilal; Ng, Tien Khee; Shen, Chao; Salhi, Abdelmajid; Alyamani, Ahmed; El-Desouki, Munir; Ooi, Boon S.

    2015-01-01

    We investigated the design and growth of compositionally-graded InGaN multiple quantum wells (MQW) based light-emitting diode (LED) without an electron-blocking layer (EBL). Numerical investigation showed uniform carrier distribution in the active region, and higher radiative recombination rate for the optimized graded-MQW design, i.e. In0→xGa1→(1-x)N / InxGa(1-x)N / Inx→0Ga(1-x)→1N, as compared to the conventional stepped-MQW-LED. The composition-grading schemes, such as linear, parabolic, and Fermi-function profiles were numerically investigated for comparison. The stepped- and graded-MQW-LED were then grown using plasma assisted molecular beam epitaxy (PAMBE) through surface-stoichiometry optimization based on reflection high-energy electron-diffraction (RHEED) in-situ observations. Stepped- and graded-MQW-LED showed efficiency roll over at 160 A/cm2 and 275 A/cm2, respectively. The extended threshold current density roll-over (droop) in graded-MQW-LED is due to the improvement in carrier uniformity and radiative recombination rate, consistent with the numerical simulation.

  3. Achieving Uniform Carriers Distribution in MBE Grown Compositionally Graded InGaN Multiple-Quantum-Well LEDs

    KAUST Repository

    Mishra, Pawan

    2015-05-06

    We investigated the design and growth of compositionally-graded InGaN multiple quantum wells (MQW) based light-emitting diode (LED) without an electron-blocking layer (EBL). Numerical investigation showed uniform carrier distribution in the active region, and higher radiative recombination rate for the optimized graded-MQW design, i.e. In0→xGa1→(1-x)N / InxGa(1-x)N / Inx→0Ga(1-x)→1N, as compared to the conventional stepped-MQW-LED. The composition-grading schemes, such as linear, parabolic, and Fermi-function profiles were numerically investigated for comparison. The stepped- and graded-MQW-LED were then grown using plasma assisted molecular beam epitaxy (PAMBE) through surface-stoichiometry optimization based on reflection high-energy electron-diffraction (RHEED) in-situ observations. Stepped- and graded-MQW-LED showed efficiency roll over at 160 A/cm2 and 275 A/cm2, respectively. The extended threshold current density roll-over (droop) in graded-MQW-LED is due to the improvement in carrier uniformity and radiative recombination rate, consistent with the numerical simulation.

  4. Predictive Analysis for the Thermal Diffusion of the Plasma-Assisted Machining of Superalloy Inconel-718 Based on Exponential Smoothing

    Directory of Open Access Journals (Sweden)

    Chen Shao-Hsien

    2018-01-01

    Full Text Available Nickel base and titanium base materials have been widely applied to engines in aerospace industry, and these engines are essential components of airplanes. The machining characteristics of aerospace materials may cause machining cutters to be worn down in a short time and thus reduce the accuracy of processing. The plasma-assisted machining adopted in the research is a kind of the complex machining method. In the cases of nickel base and titanium base alloys, the method can heat workpieces in an extremely short duration to soften the materials for the ease of cutting so that the cutting force, cutter wear, and machining cost will all be reduced. The research adopted plasma heating to soften parts of the materials and aimed to explore the heating of nickel base alloy. The temperature variation of the materials was investigated and measured by adjusting the current and feed velocity. Moreover, Inconel-718 superalloy was adopted for the comparison with nickel base alloy for the observation of the influence and change brought by heat, and the method of exponential smoothing was adopted to conduct the prediction and analysis of thermal diffusion for understanding the influence and change brought by electric current on nickel base materials. Finally, given the current from 20 A to 80 A and feed velocity from 1,000 mm/min to 3,000 mm/min, the influence of thermal diffusion was investigated and the related model was built.

  5. A soft lithographic approach to fabricate InAs nanowire field-effect transistors

    DEFF Research Database (Denmark)

    Madsen, Morten; Lee, S. H.; Shin, S.-H.

    2018-01-01

    -down approach and an epitaxial layer transfer process, using MBE-grown ultrathin InAs as a source wafer. The width of the InAs nanowires was controlled using solvent-assisted nanoscale embossing (SANE), descumming, and etching processes. By optimizing these processes, NWs with a width less than 50 nm were...

  6. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  7. Assisting Gas Optimization in CO2 Laser Welding

    DEFF Research Database (Denmark)

    Gong, Hui; Olsen, Flemming Ove

    1996-01-01

    High quality laser welding is achieved under the condition of optimizing all process parameters. Assisting gas plays an important role for sound welds. In the conventional welding process assisting gas is used as a shielding gas to prevent that the weld seam oxidates. In the laser welding process...... assisting gas is also needed to control the laser induced plasma.Assisting gas is one of the most important parameters in the laser welding process. It is responsible for obtaining a quality weld which is characterized by deep penetration, no interior imperfections, i.e. porosity, no crack, homogeneous seam...... surface, etc. In this work a specially designed flexible off-axis nozzle capable of adjusting the angle of the nozzle, the diameter of the nozzle, and the distance between the nozzle end and the welding zone is tested. In addition to the nozzle parameters three gases, Nitrogen, Argon, and Helium...

  8. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  9. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  10. Growth of a New Ternary BON Crystal on Si(100) by Plasma-Assisted MOCVD and Study on the Effects of Fed Gas and Growth Temperature

    Science.gov (United States)

    Chen, G. C.; Lee, S.-B.; Boo, J.-H.

    A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.

  11. An environmentally-friendly, highly efficient, gas pressure-assisted sample introduction system for ICP-MS and its application to detection of cadmium and lead in human plasma.

    Science.gov (United States)

    Cao, Yupin; Deng, Biyang; Yan, Lizhen; Huang, Hongli

    2017-05-15

    An environmentally friendly and highly efficient gas pressure-assisted sample introduction system (GPASIS) was developed for inductively-coupled plasma mass spectrometry. A GPASIS consisting of a gas-pressure control device, a customized nebulizer, and a custom-made spray chamber was fabricated. The advantages of this GPASIS derive from its high nebulization efficiencies, small sample volume requirements, low memory effects, good precision, and zero waste emission. A GPASIS can continuously, and stably, nebulize 10% NaCl solution for more than an hour without clogging. Sensitivity, detection limits, precision, long-term stability, double charge and oxide ion levels, nebulization efficiencies, and matrix effects of the sample introduction system were evaluated. Experimental results indicated that the performance of this GPASIS, was equivalent to, or better than, those obtained by conventional sample introduction systems. This GPASIS was successfully used to determine Cd and Pb by ICP-MS in human plasma. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  13. Tailored ion energy distributions on plasma electrodes

    International Nuclear Information System (INIS)

    Economou, Demetre J.

    2013-01-01

    As microelectronic device features continue to shrink approaching atomic dimensions, control of the ion energy distribution on the substrate during plasma etching and deposition becomes increasingly critical. The ion energy should be high enough to drive ion-assisted etching, but not too high to cause substrate damage or loss of selectivity. In many cases, a nearly monoenergetic ion energy distribution (IED) is desired to achieve highly selective etching. In this work, the author briefly reviews: (1) the fundamentals of development of the ion energy distribution in the sheath and (2) methods to control the IED on plasma electrodes. Such methods include the application of “tailored” voltage waveforms on an electrode in continuous wave plasmas, or the application of synchronous bias on a “boundary electrode” during a specified time window in the afterglow of pulsed plasmas

  14. XAFS Study of Epitaxial CoxTi1-xO2-x Anatase

    International Nuclear Information System (INIS)

    Heald, S.M.; Chambers, S.A.; Droubay, T.

    2009-01-01

    Co doped TiO 2 -anatase is a promising candidate for a room-temperature ferromagnetic semiconductor. XAFS measurements have been used to investigate the local Co environment and Co valence for several Co-anatase films. The samples were grown on LaAlO 3 (001) by oxygen plasma assisted molecular beam epitaxy and on SrTiO 3 by atomic oxygen assisted MBE. Co concentrations were about 5%. The measurements were made at the PNC-CAT bending magnet and undulator beamlines at the Advanced Photon Source. For the films on LaAlO 3 , the near edge clearly shows the presence of only Co(2+), and no evidence for metallic Co, while the films on SrTiO 3 showed significant metallic Co. Analysis of the extended fine structure for the LaAlO 3 films finds that the Co substitutes for Ti with some distortion of the lattice. Both in-plane and out-of-plane Co-O bonds are expanded from the Ti-O bonds in anatase. The in-plane bonds are expanded approximately twice as much. A deficit in the oxygen coordination number suggests a correlation of oxygen vacancies with Co sites.

  15. Effect of plasma voltage on sulfurization of α-MoO{sub 3} nanostructured thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Prabhat, E-mail: prabhat89k@gmail.com; Singh, Megha; Sharma, Rabindar K.; Reddy, G. B. [Thin Film Laboratory, Physics Department, Indian Institute of Technology Delhi, Hauz Khas, New Delhi-110016 (India)

    2016-05-06

    In this report, the effect of plasma voltage on plasma assisted sulfurization (PAS) of vertically aligned molybdenum trioxide (α- MoO{sub 3}) nanoflakes (NFs) on glass substrates has been studied systematically. MoO{sub 3} NFs were deposited using plasma assisted sublimation process. These nanoflakes were subjected to H{sub 2}S/Ar plasma at two different plasma voltages 600 and 1000 volts; to study the effect of plasma ionization on degree of sulfurization of MoO{sub 3} into MoS{sub 2}. XRD and Raman analysis show that film sulfurized at 1000 volts have relatively higher degree of conversion into MoS{sub 2}, as more intense peaks of MoS{sub 2} and MoO{sub 2} are obtained than that sulfurized at 600 volts. HRTEM of sulfurized film shows that outer surface of nanoflake has been converted into MoS{sub 2} (4-5 monolayers). Meanwhile, MoO{sub 3} was reduced into MoO{sub 2} as confirmed by XRD and Raman results. All the observed results are well in consonance with each other.

  16. Microwave and plasma-assisted modification of composite fiber surface topography

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2003-02-04

    The present invention introduces a novel method for producing an undulated surface on composite fibers using plasma technology and microwave radiation. The undulated surface improves the mechanical interlocking of the fibers to composite resins and enhances the mechanical strength and interfacial sheer strength of the composites in which they are introduced.

  17. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  18. Synthesis of ZnO nanorods-Au nanoparticles hybrids via in-situ plasma sputtering-assisted method for simultaneous electrochemical sensing of ascorbic acid and uric acid

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Chao [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China); Liu, Hongying, E-mail: liuhongying@hdu.edu.cn [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China); State Key Laboratory of Analytical Chemistry for Life Science, School of Chemistry & Chemical Engineering, Nanjing University, Nanjing 210093 (China); Zhang, Dan; Yang, Chi [Department of Pharmacy, Nantong University, Nantong 226001 (China); Zhang, Mingzhen [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China)

    2016-05-05

    In this study, ZnO nanorods-Au nanoparticles (ZnO NRs-Au NPs) hybrids were prepared using an in-situ plasma sputtering-assisted method without any template. Characterization results from scanning electron microscopy, high-resolution transmission electron microscopy, and energy dispersive X-ray spectroscopy showed that Au NPs are highly dispersed and tightly anchored on the surface of ZnO NRs. The size and surface coverage of Au NPs were well controlled by plasma sputtering time. Moreover, the hybrids exhibited excellent electrocatalytic properties towards oxidation of ascorbic acid (AA) and uric acid (UA) due to large surface area of Au NPs and ZnO NRs, and thus can be used as electrochemical sensors. Differential pulse voltammetry results showed that AA and UA could be detected simultaneously by ZnO NRs-Au NPs hybrids modified glassy carbon electrode. The linear ranges for AA and UA are 0.1 to 4 mM and 0.01 to 0.4 mM, respectively. The results suggest promising future applications in clinical diagnosis. - Highlights: • ZnO nanorods-Au nanoparticles were synthesized by in-situ plasma sputtering method. • Influence of sputtering time on the formation of Au nanoparticles was studied. • It exhibited a strong electrocatalytic activity toward the oxidation of ascorbic acid and uric acid. • A portable and cheap approach for simultaneous detection of ascorbic acid and uric acid was developed.

  19. Synthesis of ZnO nanorods-Au nanoparticles hybrids via in-situ plasma sputtering-assisted method for simultaneous electrochemical sensing of ascorbic acid and uric acid

    International Nuclear Information System (INIS)

    Hou, Chao; Liu, Hongying; Zhang, Dan; Yang, Chi; Zhang, Mingzhen

    2016-01-01

    In this study, ZnO nanorods-Au nanoparticles (ZnO NRs-Au NPs) hybrids were prepared using an in-situ plasma sputtering-assisted method without any template. Characterization results from scanning electron microscopy, high-resolution transmission electron microscopy, and energy dispersive X-ray spectroscopy showed that Au NPs are highly dispersed and tightly anchored on the surface of ZnO NRs. The size and surface coverage of Au NPs were well controlled by plasma sputtering time. Moreover, the hybrids exhibited excellent electrocatalytic properties towards oxidation of ascorbic acid (AA) and uric acid (UA) due to large surface area of Au NPs and ZnO NRs, and thus can be used as electrochemical sensors. Differential pulse voltammetry results showed that AA and UA could be detected simultaneously by ZnO NRs-Au NPs hybrids modified glassy carbon electrode. The linear ranges for AA and UA are 0.1 to 4 mM and 0.01 to 0.4 mM, respectively. The results suggest promising future applications in clinical diagnosis. - Highlights: • ZnO nanorods-Au nanoparticles were synthesized by in-situ plasma sputtering method. • Influence of sputtering time on the formation of Au nanoparticles was studied. • It exhibited a strong electrocatalytic activity toward the oxidation of ascorbic acid and uric acid. • A portable and cheap approach for simultaneous detection of ascorbic acid and uric acid was developed.

  20. State of the art in medical applications using non-thermal atmospheric pressure plasma

    Science.gov (United States)

    Tanaka, Hiromasa; Ishikawa, Kenji; Mizuno, Masaaki; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Metelmann, Hans-Robert; Hori, Masaru

    2017-12-01

    Plasma medical science is a novel interdisciplinary field that combines studies on plasma science and medical science, with the anticipation that understanding the scientific principles governing plasma medical science will lead to innovations in the field. Non-thermal atmospheric pressure plasma has been used for medical treatments, such as for cancer, blood coagulation, and wound healing. The interactions that occur between plasma and cells/tissues have been analyzed extensively. Direct and indirect treatment of cells with plasma has broadened the applications of non-thermal atmospheric pressure plasma in medicine. Examples of indirect treatment include plasma-assisted immune-therapy and plasma-activated medium. Controlling intracellular redox balance may be key in plasma cancer treatment. Animal studies are required to test the effectiveness and safety of these treatments for future clinical applications.

  1. Synthesis of yttrium oxide nanoparticles via a facile microplasma-assisted process

    NARCIS (Netherlands)

    Lin, Liangliang; Starostin, Sergey A.; Li, Sirui; Khan, Saif A.; Hessel, Volker

    2018-01-01

    Plasma electrochemistry is an emerging technique for nanomaterial synthesis. The present study reports the preparation of yttrium oxide nanoparticles via a simple, environmentally benign, microplasma-assisted process operated in pin-to-liquid configuration under ambient atmospheric conditions using

  2. A highly stable (SnOx-Sn)@few layered graphene composite anode of sodium-ion batteries synthesized by oxygen plasma assisted milling

    Science.gov (United States)

    Cheng, Deliang; Liu, Jiangwen; Li, Xiang; Hu, Renzong; Zeng, Meiqing; Yang, Lichun; Zhu, Min

    2017-05-01

    The (SnOx-Sn)@few layered graphene ((SnOx-Sn)@FLG) composite has been synthesized by oxygen plasma-assisted milling. Owing to the synergistic effect of rapid plasma heating and ball mill grinding, SnOx (1 ≤ x ≤ 2) nanoparticles generated from the reaction of Sn with oxygen are tightly wrapped by FLG nanosheets which are simultaneously exfoliated from expanded graphite, forming secondary micro granules. Inside the granules, the small size of the SnOx nanoparticles enables the fast kinetics for Na+ transfer. The in-situ formed FLG and residual Sn nanoparticles improve the electrical conductivity of the composite, meanwhile alleviate the aggregation of SnOx nanoparticles and relieve the volume change during the cycling, which is beneficial for the cyclic stability for the Na+ storage. As an anode material for sodium-ion batteries, the (SnOx-Sn)@FLG composite exhibits a high reversible capacity of 448 mAh g-1 at a current density of 100 mA g-1 in the first cycle, with 82.6% capacity retention after 250 cycles. Even when the current density increases to 1000 mA g-1, this composite retains 316.5 mAh g-1 after 250 cycles. With superior Na+ storage stability, the (SnOx-Sn)@FLG composite can be a promising anode material for high performance sodium-ion batteries.

  3. Salting-Out Assisted Liquid-Liquid Extraction for Quantification of Febuxostat in Plasma Using RP-HPLC and Its Pharmacokinetic Application.

    Science.gov (United States)

    Tandel, Devang; Shah, Purvi; Patel, Kalpana; Thakkar, Vaishali; Patel, Kirti; Gandhi, Tejal

    2016-11-01

    A rapid and sensitive reversed-phase high-performance liquid chromatography (HPLC) method using novel salting-out assisted liquid-liquid extraction technique has been developed for the quantitative determination of febuxostat (FEB), used for the treatment of gout, in rat plasma. The method was validated according to US FDA guideline. Separation was achieved using a Phenomenex Luna-C 18 (250 × 4.60 mm, 5 µm) column and mobile phase composed of potassium dihydrogen orthophosphate buffer 25 mM, adjusted to pH 6.8 with triethylamine:methanol in a ratio of 35:65 (v/v) showing retention time 5.56 and 8.86 min for FEB and internal standard, respectively. The optimal salting-out parameters; 1 mL of acetonitrile and 200 µL of 2 M ammonium acetate salt showed extraction recovery >90% for FEB from plasma. This extraction procedure afforded clear samples resulting in convenient and cost-saving procedure and showed good linear relationship (r > 0.9997) between peak area ratio and concentration from 0.3 to 20 µg/mL. The results of pharmacokinetic study showed that absorption profile of spherical agglomerate of FEB compared to marketed formulation was higher indicating greater systemic absorption. In conclusion, the developed SALLE-HPLC method with simple ultraviolet detection offered a number of advantages including good quantitative ability, wide linear range, high recovery, short analysis time as well as low cost. © The Author 2016. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  4. Microwave-assisted versus conventional decomposition procedures applied to a ceramic potsherd standard reference material by inductively coupled plasma atomic emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Papadopoulou, D.N.; Zachariadis, G.A.; Anthemidis, A.N.; Tsirliganis, N.C.; Stratis, J.A

    2004-03-03

    Inductively coupled plasma atomic emission spectrometry (ICP-AES) is a powerful, sensitive analytical technique with numerous applications in chemical characterization including that of ancient pottery, mainly due to its multi-element character, and the relatively short time required for the analysis. A critical step in characterization studies of ancient pottery is the selection of a suitable decomposition procedure for the ceramic matrix. The current work presents the results of a comparative study of six decomposition procedures applied on a standard ceramic potsherd reference material, SARM 69. The investigated decomposition procedures included three microwave-assisted decomposition procedures, one wet decomposition (WD) procedure by conventional heating, one combined microwave-assisted and conventional heating WD procedure, and one fusion procedure. Chemical analysis was carried out by ICP-AES. Five major (Si, Al, Fe, Ca, Mg), three minor (Mn, Ba, Ti) and two trace (Cu, Co) elements were determined and compared with their certified values. Quantitation was performed at two different spectral lines for each element and multi-element matrix-matched calibration standards were used. The recovery values for the six decomposition procedures ranged between 75 and 110% with a few notable exceptions. Data were processed statistically in order to evaluate the investigated decomposition procedures in terms of recovery, accuracy and precision, and eventually select the most appropriate one for ancient pottery analysis.

  5. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  6. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  7. Ion-pair vortex assisted liquid-liquid microextraction with back extraction coupled with high performance liquid chromatography-UV for the determination of metformin in plasma.

    Science.gov (United States)

    Alshishani, Anas; Makahleh, Ahmad; Yap, Hui Fang; Gubartallah, Elbaleeq Adam; Salhimi, Salizawati Muhamad; Saad, Bahruddin

    2016-12-01

    A new sample preparation method, ion-pair vortex assisted liquid-liquid microextraction (VALLME-BE), for the determination of a highly polar anti-diabetic drug (metformin) in plasma sample was developed. The VALLME-BE was performed by diluting the plasma in borate buffer and extracted to 150µL 1-octanol containing 0.2M di-(2-ethylhexyl)phosphoric acid as intermediate phase. The drug was next back-extracted into 20µL of 0.075M HCl solution. The effects of pH, ion-pair concentration, type of organic solvent, volume of extraction phases, ionic strength, vortexing and centrifugation times on the extraction efficiency were investigated. The optimum conditions were at pH 9.3, 60s vortexing and 2min centrifugation. The microextract, contained metformin and buformin (internal standard), was directly injected into a HPLC unit using C1 column (250mm×4.6mm×10µm) and detected at 235nm. The method was validated and calibration curve was linear with r 2 >0.99 over the range of 20-2000µgL -1 . The limits of detection and quantitation were 1.4 and 4.1µgL -1 , respectively. The accuracy was within 94.8-108% of the nominal concentration. The relative standard deviation for inter- and intra-day precision was less than 10.8%. The method was conveniently applied for the determination of metformin in plasma samples. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Simulations of planar non-thermal plasma assisted ignition at atmospheric pressure

    KAUST Repository

    Casey, Tiernan A.; Han, Jie; Belhi, Memdouh; Arias, Paul G.; Bisetti, Fabrizio; Im, Hong G.; Chen, Jyh Yuan

    2016-01-01

    neutrals and ions to the non-thermal electrons. A two-temperature plasma mechanism describing gas phase combustion, excitation of neutral species, and high-energy electron kinetics is employed to account for non-thermal effects. Charged species transported

  9. Diagnostics for Combustion and Ignition Enhancement Using the Non-Equilibrium Plasma

    National Research Council Canada - National Science Library

    Ju, Yiguang; Ombrello, Timothy; Won, Sanghee

    2008-01-01

    .... OH concentrations, O3 and O(1D) emissions, temperature distributions in plasma assisted combustion were measured by using the planar laser induced fluorescence, emission spectroscopy, and Rayleigh scattering...

  10. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  11. Dwell time considerations for large area cold plasma decontamination

    Science.gov (United States)

    Konesky, Gregory

    2009-05-01

    Atmospheric discharge cold plasmas have been shown to be effective in the reduction of pathogenic bacteria and spores and in the decontamination of simulated chemical warfare agents, without the generation of toxic or harmful by-products. Cold plasmas may also be useful in assisting cleanup of radiological "dirty bombs." For practical applications in realistic scenarios, the plasma applicator must have both a large area of coverage, and a reasonably short dwell time. However, the literature contains a wide range of reported dwell times, from a few seconds to several minutes, needed to achieve a given level of reduction. This is largely due to different experimental conditions, and especially, different methods of generating the decontaminating plasma. We consider these different approaches and attempt to draw equivalencies among them, and use this to develop requirements for a practical, field-deployable plasma decontamination system. A plasma applicator with 12 square inches area and integral high voltage, high frequency generator is described.

  12. Human Plasma N-glycosylation as Analyzed by Matrix-Assisted Laser Desorption/Ionization-Fourier Transform Ion Cyclotron Resonance-MS Associates with Markers of Inflammation and Metabolic Health*

    Science.gov (United States)

    Reiding, Karli R.; Ruhaak, L. Renee; Uh, Hae-Won; el Bouhaddani, Said; van den Akker, Erik B.; Plomp, Rosina; McDonnell, Liam A.; Houwing-Duistermaat, Jeanine J.; Slagboom, P. Eline; Beekman, Marian; Wuhrer, Manfred

    2017-01-01

    Glycosylation is an abundant co- and post-translational protein modification of importance to protein processing and activity. Although not template-defined, glycosylation does reflect the biological state of an organism and is a high-potential biomarker for disease and patient stratification. However, to interpret a complex but informative sample like the total plasma N-glycome, it is important to establish its baseline association with plasma protein levels and systemic processes. Thus far, large-scale studies (n >200) of the total plasma N-glycome have been performed with methods of chromatographic and electrophoretic separation, which, although being informative, are limited in resolving the structural complexity of plasma N-glycans. MS has the opportunity to contribute additional information on, among others, antennarity, sialylation, and the identity of high-mannose type species. Here, we have used matrix-assisted laser desorption/ionization (MALDI)-Fourier transform ion cyclotron resonance (FTICR)-MS to study the total plasma N-glycome of 2144 healthy middle-aged individuals from the Leiden Longevity Study, to allow association analysis with markers of metabolic health and inflammation. To achieve this, N-glycans were enzymatically released from their protein backbones, labeled at the reducing end with 2-aminobenzoic acid, and following purification analyzed by negative ion mode intermediate pressure MALDI-FTICR-MS. In doing so, we achieved the relative quantification of 61 glycan compositions, ranging from Hex4HexNAc2 to Hex7HexNAc6dHex1Neu5Ac4, as well as that of 39 glycosylation traits derived thereof. Next to confirming known associations of glycosylation with age and sex by MALDI-FTICR-MS, we report novel associations with C-reactive protein (CRP), interleukin 6 (IL-6), body mass index (BMI), leptin, adiponectin, HDL cholesterol, triglycerides (TG), insulin, gamma-glutamyl transferase (GGT), alanine aminotransferase (ALT), and smoking. Overall, the

  13. Novel biomaterials: plasma-enabled nanostructures and functions

    International Nuclear Information System (INIS)

    Levchenko, Igor; Ostrikov, Kostya; Keidar, Michael; Cvelbar, Uroš; Mariotti, Davide; Mai-Prochnow, Anne; Fang, Jinghua

    2016-01-01

    Material processing techniques utilizing low-temperature plasmas as the main process tool feature many unique capabilities for the fabrication of various nanostructured materials. As compared with the neutral-gas based techniques and methods, the plasma-based approaches offer higher levels of energy and flux controllability, often leading to higher quality of the fabricated nanomaterials and sometimes to the synthesis of the hierarchical materials with interesting properties. Among others, nanoscale biomaterials attract significant attention due to their special properties towards the biological materials (proteins, enzymes), living cells and tissues. This review briefly examines various approaches based on the use of low-temperature plasma environments to fabricate nanoscale biomaterials exhibiting high biological activity, biological inertness for drug delivery system, and other features of the biomaterials make them highly attractive. In particular, we briefly discuss the plasma-assisted fabrication of gold and silicon nanoparticles for bio-applications; carbon nanoparticles for bioimaging and cancer therapy; carbon nanotube-based platforms for enzyme production and bacteria growth control, and other applications of low-temperature plasmas in the production of biologically-active materials. (topical review)

  14. Preliminary experiment of non-induced plasma current startup on SUNIST spherical tokamak

    International Nuclear Information System (INIS)

    He Yexi; Zhang Liang; Xie Lifeng; Tang Yi; Yang Xuanzong; Fu Hongjun

    2005-01-01

    Non-inductive plasma current startup is an important motivation on the SUNIST spherical tokamak. In this experiment, a 100 kW, 2.45 GHz magnetron microwave system has been applied to the plasma current startup. Besides the toroidal field, a vertical field was applied to generate a preliminary toroidal plasma current without action of the central solenoid. As the evidence of the plasma current startup by the vertical field drift effect, the direction of the plasma current is changed with the changing direction of the vertical field during ECR startup discharge. We have also observed the plasma current maximum by scanning the vertical field in both directions. Additionally, we have used electrode discharge to assist the ECR current startup. (author)

  15. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  16. Spark-plasma-sintering magnetic field assisted compaction of Co{sub 80}Ni{sub 20} nanowires for anisotropic ferromagnetic bulk materials

    Energy Technology Data Exchange (ETDEWEB)

    Ouar, Nassima; Schoenstein, Frédéric; Mercone, Silvana; Farhat, Samir; Jouini, Noureddine [Laboratoire des Sciences des Procédés et des Matériaux, CNRS, LSPM—UPR 3407, Université Paris 13, Sorbonne-Paris-Cité, 99 Avenue J.-B. Clément, 93430 Villetaneuse (France); Villeroy, Benjamin [Institut de Chimie et des Matériaux Paris Est, CNRS, ICMPE—UMR 7182, Equipe de Chimie Métallurgique des Terres Rares, 2-8 rue Henri Dunant, 94320 Thiais Cedex (France); Leridon, Brigitte [Laboratoire de Physique et d’Étude des Matériaux, LPEM, ESPCI-ParisTech, CNRS, UPMC, 10 rue Vauquelin, F-75231 Paris Cedex 5 (France)

    2013-10-28

    We developed a two-step process showing the way for sintering anisotropic nanostructured bulk ferromagnetic materials. A new reactor has been optimized allowing the synthesis of several grams per batch of nanopowders via a polyol soft chemistry route. The feasibility of the scale-up has been successfully demonstrated for Co{sub 80}Ni{sub 20} nanowires and a massic yield of ∼97% was obtained. The thus obtained nanowires show an average diameter of ∼6 nm and a length of ∼270 nm. A new bottom-up strategy allowed us to compact the powder into a bulk nanostructured system. We used a spark-plasma-sintering technique under uniaxial compression and low temperature assisted by a permanent magnetic field of 1 T. A macroscopic pellet of partially aligned nanowire arrays has been easily obtained. This showed optimized coercive properties along the direction of the magnetic field applied during compaction (i.e., the nanowires' direction)

  17. Two-dimensional nanoparticle self-assembly using plasma-induced Ostwald ripening

    International Nuclear Information System (INIS)

    Tang, J; Photopoulos, P; Tsoukalas, D; Tserepi, A

    2011-01-01

    In this work, a novel Ag nanoparticle self-assembly process based on plasma-induced two-dimensional Ostwald ripening is demonstrated. Ag nanoparticles are deposited on p-doped Si substrates using a DC magnetron sputtering process. With the assistance of O 2 /Ar plasma treatment, different sizes and patterns of Ag nanoparticles are formed, due to the Ostwald ripening. The evolution of plasma-induced nanoparticle ripening is studied and a clear increase in particle size and a decrease in particle density are observed with increasing plasma treatment. From the experiments, it is concluded that the initial nanoparticle density and the plasma gas mixture (Ar/O 2 ratio) are important factors that affect the ripening process. The proposed plasma-directed Ag nanoparticle self-assembly provides a rapid method of tailoring the nanoparticle distribution on substrates, with potential applications in the fields of solar cells, biosensors, and catalysis.

  18. Plasma-assisted reduction of silver ions impregnated into a natural zeolite framework

    Science.gov (United States)

    Osonio, Airah P.; Vasquez, Magdaleno R.

    2018-02-01

    A green, dry, and energy-efficient method for the fabrication of silver-zeolite (AgZ) composite via 13.56 MHz radio-frequency plasma reduction is demonstrated. Impregnation by soaking and ion-exchange deposition were performed to load the silver ions (Ag+) into the sodium-zeolite samples. Characterization was performed by optical emission spectroscopy, Fourier transform infrared spectroscopy, X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, and Brunauer-Emmett-Teller analyses. Results indicate the successful reduction of Ag+ to its metallic state on the surface of the zeolite with a mean diameter of 165 nm. This plasma-induced reduction technique opens possibilities in several areas including catalysis, adsorption, water treatment, and medicine.

  19. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    Science.gov (United States)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  20. Control of work function of graphene by plasma assisted nitrogen doping

    International Nuclear Information System (INIS)

    Akada, Keishi; Terasawa, Tomo-o; Imamura, Gaku; Obata, Seiji; Saiki, Koichiro

    2014-01-01

    Nitrogen doping is expected to provide several intriguing properties to graphene. Nitrogen plasma treatment to defect-free and defective highly oriented pyrolytic graphite (HOPG) samples causes doping of nitrogen atom into the graphene layer. Nitrogen atoms are initially doped at a graphitic site (inside the graphene) for the defect-free HOPG, while doping to a pyridinic or a pyrrolic site (edge of the graphene) is dominant for the defective HOPG. The work function of graphene correlates strongly with the site and amount of doped nitrogen. Nitrogen atoms doped at a graphitic site lower the work function, while nitrogen atoms at a pyridinic or a pyrrolic site increase the work function. Control of plasma treatment time and the amount of initial defect could change the work function of graphite from 4.3 eV to 5.4 eV, which would open a way to tailor the nature of graphene for various industrial applications

  1. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  2. Real-time monitoring and control of the plasma hearth process

    International Nuclear Information System (INIS)

    Power, M.A.; Carney, K.P.; Peters, G.G.

    1996-01-01

    A distributed monitoring and control system is proposed for a plasma hearth, which will be used to decompose hazardous organic materials, encapsulate actinide waste in an obsidian-like slag, and reduce storage volume of actinide waste. The plasma hearth will be installed at ANL-West with the assistance of SAIC. Real-time monitoring of the off-gas system is accomplished using a Sun Workstation and embedded PCs. LabWindows/CVI software serves as the graphical user interface

  3. A dipole-assisted solid-phase extraction microchip combined with inductively coupled plasma-mass spectrometry for online determination of trace heavy metals in natural water.

    Science.gov (United States)

    Shih, Tsung-Ting; Hsu, I-Hsiang; Chen, Shun-Niang; Chen, Ping-Hung; Deng, Ming-Jay; Chen, Yu; Lin, Yang-Wei; Sun, Yuh-Chang

    2015-01-21

    We employed a polymeric material, poly(methyl methacrylate) (PMMA), for fabricating a microdevice and then implanted the chlorine (Cl)-containing solid-phase extraction (SPE) functionality into the PMMA chip to develop an innovative on-chip dipole-assisted SPE technique. Instead of the ion-ion interactions utilized in on-chip SPE techniques, the dipole-ion interactions between the highly electronegative C-Cl moieties in the channel interior and the positively charged metal ions were employed to facilitate the on-chip SPE procedures. Furthermore, to avoid labor-intensive manual manipulation, a programmable valve manifold was designed as an interface combining the dipole-assisted SPE microchip and inductively coupled plasma-mass spectrometry (ICP-MS) to achieve the fully automated operation. Under the optimized operation conditions for the established system, the detection limits for each analyte ion were obtained based on three times the standard deviation of seven measurements of the blank eluent solution. The limits ranged from 3.48 to 20.68 ng L(-1), suggesting that this technique appears uniquely suited for determining the levels of heavy metal ions in natural water. Indeed, a series of validation procedures demonstrated that the developed method could be satisfactorily applied to the determination of trace heavy metals in natural water. Remarkably, the developed device was durable enough to be reused more than 160 times without any loss in its analytical performance. To the best of our knowledge, this is the first study reporting on the combination of a dipole-assisted SPE microchip and elemental analysis instrument for the online determination of trace heavy metal ions.

  4. Drastic Improvement in Adhesion Property of Polytetrafluoroethylene (PTFE) via Heat-Assisted Plasma Treatment Using a Heater.

    Science.gov (United States)

    Ohkubo, Yuji; Ishihara, Kento; Shibahara, Masafumi; Nagatani, Asahiro; Honda, Koji; Endo, Katsuyoshi; Yamamura, Kazuya

    2017-08-25

    The heating effect on the adhesion property of plasma-treated polytetrafluoroethylene (PTFE) was examined. For this purpose, a PTFE sheet was plasma-treated at atmospheric pressure while heating using a halogen heater. When plasma-treated at 8.3 W/cm 2 without using the heater (Low-P), the surface temperature of Low-P was about 95 °C. In contrast, when plasma-treated at 8.3 W/cm 2 while using the heater (Low-P+Heater), the surface temperature of Low-P+Heater was controlled to about 260 °C. Thermal compression of the plasma-treated PTFE with or without heating and isobutylene-isoprene rubber (IIR) was performed, and the adhesion strength of the IIR/PTFE assembly was measured via the T-peel test. The adhesion strengths of Low-P and Low-P+Heater were 0.12 and 2.3 N/mm, respectively. Cohesion failure of IIR occurred during the T-peel test because of its extremely high adhesion property. The surfaces of the plasma-treated PTFE with or without heating were investigated by the measurements of electron spin resonance, X-ray photoelectron spectroscopy, nanoindentation, scanning electron microscopy, and scanning probe microscopy. These results indicated that heating during plasma treatment promotes the etching of the weak boundary layer (WBL) of PTFE, resulting in a sharp increase in the adhesion property of PTFE.

  5. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  6. Plasma-gun-assisted field-reversed configuration formation in a conical θ-pinch

    Energy Technology Data Exchange (ETDEWEB)

    Weber, T. E., E-mail: tweber@lanl.gov; Intrator, T. P. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Smith, R. J. [Department of Aeronautics and Astronautics, University of Washington, Seattle, Washington 98195 (United States)

    2015-04-15

    Injection of plasma via an annular array of coaxial plasma guns during the pre-ionization phase of field-reversed configuration (FRC) formation is shown to catalyze the bulk ionization of a neutral gas prefill in the presence of a strong axial magnetic field and change the character of outward flux flow during field-reversal from a convective process to a much slower resistive diffusion process. This approach has been found to significantly improve FRC formation in a conical θ-pinch, resulting in a ∼350% increase in trapped flux at typical operating conditions, an expansion of accessible formation parameter space to lower densities and higher temperatures, and a reduction or elimination of several deleterious effects associated with the pre-ionization phase.

  7. Plasma-gun-assisted field-reversed configuration formation in a conical θ-pinch

    Science.gov (United States)

    Weber, T. E.; Intrator, T. P.; Smith, R. J.

    2015-04-01

    Injection of plasma via an annular array of coaxial plasma guns during the pre-ionization phase of field-reversed configuration (FRC) formation is shown to catalyze the bulk ionization of a neutral gas prefill in the presence of a strong axial magnetic field and change the character of outward flux flow during field-reversal from a convective process to a much slower resistive diffusion process. This approach has been found to significantly improve FRC formation in a conical θ-pinch, resulting in a ˜350% increase in trapped flux at typical operating conditions, an expansion of accessible formation parameter space to lower densities and higher temperatures, and a reduction or elimination of several deleterious effects associated with the pre-ionization phase.

  8. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.

  9. Direct visual observation of powder dynamics in RF plasma-assisted deposition

    International Nuclear Information System (INIS)

    Howling, A.A.; Hollenstein, C.; Paris, P.J.

    1991-04-01

    Contamination due to particles generated and suspended in silane rf plasmas is investigated. Powder is rendered visible by illumination of the reactor volume. This simple diagnostic for global, spatio-temporal powder dynamics is used to study particle formation, trapping and powder reduction by power modulation. (author) 4 figs., 11 refs

  10. Plasma assisted growth of MoO{sub 3} films on different substrate locations relative to sublimation source

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Rabindar K., E-mail: rkrksharma6@gmail.com; Saini, Sujit K.; Kumar, Prabhat; Singh, Megha; Reddy, G. B. [Thin film laboratory, Department of Physics, Indian Institute of Technology Delhi, New Delhi – 110016 (India)

    2016-05-06

    In the present paper, we reported the role of substrate locations relative to source on the growth of MoO{sub 3} films deposited on Ni coated glass substrates using plasma assisted sublimation process (PASP). According to the XRD and SEM results, substrate location is very crucial factor to control the morphology of MoO{sub 3} films and the best nanostructure growth (in terms of alignments and features) is obtained in case of Sample B (in which substrate is placed on source). The structural results point out that all films exhibit only orthorhombic phase of molybdenum oxide (i.e. α-MoO{sub 3})but the most preferential growth is recorded in Sample B due to the presence of intense peaks crossponding to only (0 k 0) family of crystal planes (k = 2, 4,6..). The Raman analysis again confirms the orthorhombic nature of MoO{sub 3} NFs and details of vibrational bondsin Sample B have been given in the present report. The MoO{sub 3} NFs show intense PL emission in wavelength range of 300-700 nm with three peaks located at 415, 490, and 523 nm in accordance to the improved crystallinity in Sample B.

  11. Reduction of thermal expansion in Z-pinches by electron beam assisted magnetic field generation

    International Nuclear Information System (INIS)

    Heikkinen, J.A.; Karttunen, S.J.

    1989-01-01

    Weak radial expansion of a Z-pinch plasma column during its strong initial ohmic heating phase is expected when the generation of a confining magnetic field is assisted by a correctly formed electron beam pulse. Appropriate one-dimensional magnetohydrodynamic equations are numerically solved, and the observed increase of plasma radius as a function of time for various discharge parameters is compared to a normal Z-pinch discharge initiation. (author)

  12. European winter conference on plasma spectrochemistry 2009. Book of abstracts

    International Nuclear Information System (INIS)

    Francesconi, K.; Goessler, W.; Kuehnelt, D.; Raber, G.; Tuider, A.; Knapp, G.; Kuczewski, B.; Wiltsche, H.; Eberl, M.

    2009-01-01

    The oral and poster presentations were dedicated to recent developments in the following areas: fundamental plasma spectrochemistry; instrumentation: sources and spectrometers, sample introduction and sample preparation; laser-assisted plasma spectrochemistry; stable isotope measurements and isotope dilution analysis; certified reference materials; speciation analysis, and its applications in various fields such as clinical, environmental, biological, forensic, industrial, geological, mining/exploration, advanced materials. This book of abstracts contains their summaries and those contributions which are in the INIS subject scope are indexed individually. (nevyjel)

  13. Kinetic mechanism of plasma-assisted ignition of hydrocarbons

    International Nuclear Information System (INIS)

    Kosarev, I N; Aleksandrov, N L; Kindysheva, S V; Starikovskaia, S M; Starikovskii, A Yu

    2008-01-01

    Ignition of hydrocarbon-containing gaseous mixtures has been studied experimentally and numerically under the action of a high-voltage nanosecond discharge at elevated temperatures. Ignition delay times were measured behind a reflected shock wave in stoichiometric C n H 2n+2 : O 2 mixtures (10%) diluted with Ar (90%) for n = 1-5. It was shown that the application of the gas discharge leads to more than an order of magnitude decrease in ignition delay time for all hydrocarbons under consideration. The measured values of ignition delay time agree well with the results of a numerical simulation of the ignition based on the calculation of atom and radical production during the discharge and in its afterglow. The analysis of simulation results showed that a non-equilibrium plasma favours the ignition mainly due to O atoms produced in the active phase of the discharge. (fast track communication)

  14. Human Plasma N-glycosylation as Analyzed by Matrix-Assisted Laser Desorption/Ionization-Fourier Transform Ion Cyclotron Resonance-MS Associates with Markers of Inflammation and Metabolic Health.

    Science.gov (United States)

    Reiding, Karli R; Ruhaak, L Renee; Uh, Hae-Won; El Bouhaddani, Said; van den Akker, Erik B; Plomp, Rosina; McDonnell, Liam A; Houwing-Duistermaat, Jeanine J; Slagboom, P Eline; Beekman, Marian; Wuhrer, Manfred

    2017-02-01

    Glycosylation is an abundant co- and post-translational protein modification of importance to protein processing and activity. Although not template-defined, glycosylation does reflect the biological state of an organism and is a high-potential biomarker for disease and patient stratification. However, to interpret a complex but informative sample like the total plasma N-glycome, it is important to establish its baseline association with plasma protein levels and systemic processes. Thus far, large-scale studies (n >200) of the total plasma N-glycome have been performed with methods of chromatographic and electrophoretic separation, which, although being informative, are limited in resolving the structural complexity of plasma N-glycans. MS has the opportunity to contribute additional information on, among others, antennarity, sialylation, and the identity of high-mannose type species.Here, we have used matrix-assisted laser desorption/ionization (MALDI)-Fourier transform ion cyclotron resonance (FTICR)-MS to study the total plasma N-glycome of 2144 healthy middle-aged individuals from the Leiden Longevity Study, to allow association analysis with markers of metabolic health and inflammation. To achieve this, N-glycans were enzymatically released from their protein backbones, labeled at the reducing end with 2-aminobenzoic acid, and following purification analyzed by negative ion mode intermediate pressure MALDI-FTICR-MS. In doing so, we achieved the relative quantification of 61 glycan compositions, ranging from Hex 4 HexNAc 2 to Hex 7 HexNAc 6 dHex 1 Neu5Ac 4 , as well as that of 39 glycosylation traits derived thereof. Next to confirming known associations of glycosylation with age and sex by MALDI-FTICR-MS, we report novel associations with C-reactive protein (CRP), interleukin 6 (IL-6), body mass index (BMI), leptin, adiponectin, HDL cholesterol, triglycerides (TG), insulin, gamma-glutamyl transferase (GGT), alanine aminotransferase (ALT), and smoking. Overall

  15. Confinement properties of high energy density plasmas in the Wisconsin levitated octupole

    International Nuclear Information System (INIS)

    Twichell, J.C.

    1984-08-01

    The confinement of particles and energy is critically dependent on the plasma-wall interaction. Results of a study detailing this interaction are presented. High power ICRF heated and gun afterglow plasmas were studied to detail the mechanisms determining particle and energy confinement. An extensive zero-D simulation code is used to assist in interpreting the experimental data. Physically reasonable models for plasma surface interactions, time dependent coronal treatment of impurities and multiple region treatment of neutrals are used in modeling the plasma. Extensive diagnostic data are used to verify the model. Non-heated plasmas decay from 28 to 3 eV allowing clear identification of wall impact energy thresholds for desorption and particle reflection. The charge state distribution of impurities verifies the reflux to plasma diffusion rate ratio. Close agreement between the simulation and experimental data is found

  16. Quantitative lipidomic analysis of plasma and plasma lipoproteins using MALDI-TOF mass spectrometry.

    Science.gov (United States)

    Serna, Jorge; García-Seisdedos, David; Alcázar, Alberto; Lasunción, Miguel Ángel; Busto, Rebeca; Pastor, Óscar

    2015-07-01

    Knowledge of the plasma lipid composition is essential to clarify the specific roles of different lipid species in various pathophysiological processes. In this study, we developed an analytical strategy combining high-performance liquid chromatography with evaporative light scattering detection (HPLC-ELSD) and off-line coupling with matrix-assisted laser desorption/ionization with time-of-flight mass spectrometry (MALDI-TOF/MS) to determine the composition of plasma and major lipoproteins at two levels, lipid classes and lipid species. We confirmed the suitability of MALDI-TOF/MS as a quantitative measurement tool studying the linearity and repeatability for triglycerides (TG), phosphatidylethanolamine (PE) and phosphatidylcholine (PC). Moreover, data obtained with this method were correlated with other lipid classes and species measurements using currently available technologies. To establish the potential utility of our approach, human plasma very low density- (VLDL), low density- (LDL) and high density- (HDL) lipoproteins from 10 healthy donors were separated using ultracentrifugation, and compositions of nine lipid classes, cholesteryl esters (CE), TG, free cholesterol (FC), PE, phosphatidylinositol (PI), sulfatides (S), PC, lysophosphatidylcholine (LPC) and sphingomyelin (SM), analyzed. In total, 157 lipid species in plasma, 182 in LDL, 171 in HDL, and 148 in VLDL were quantified. The lipidomic profile was consistent with known differences in lipid classes, but also revealed unexpected differences in lipid species distribution of lipoproteins, particularly for LPC and SM. In summary, the methodology developed in this study constitutes a valid approach to determine the lipidomic composition of plasma and lipoproteins. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  17. Determination of atenolol in human plasma using ionic-liquid-based ultrasound-assisted in situ solvent formation microextraction followed by high-performance liquid chromatography.

    Science.gov (United States)

    Zeeb, Mohsen; Farahani, Hadi; Papan, Mohammad Kazem

    2016-06-01

    An efficient analytical method called ionic-liquid-based ultrasound-assisted in situ solvent formation microextraction followed by high-performance liquid chromatography was developed for the determination of atenolol in human plasma. A hydrophobic ionic liquid (1-butyl-3-methylimidazolium hexafluorophosphate) was formed by the addition of a hydrophilic ionic liquid (1-butyl-3-methylimidazolium tetrafluoroborate) to a sample solution containing an ion-pairing agent during microextraction. The analyte was extracted into the ionic liquid phase while the microextraction solvent was dispersed throughout the sample by utilizing ultrasound. The sample was then centrifuged, and the extracting phase retracted into the microsyringe and injected to liquid chromatography. After optimization, the calibration curve showed linearity in the range of 2-750 ng/mL with the regression coefficient corresponding to 0.998. The limits of detection (S/N = 3) and quantification (S/N = 10) were 0.5 and 2 ng/mL, respectively. A reasonable relative recovery range of 90-96.7% and satisfactory intra-assay (4.8-5.1%, n = 6) and interassay (5.0-5.6%, n = 9) precision along with a substantial sample clean-up demonstrated good performance of the procedure. It was applied for the determination of atenolol in human plasma after oral administration and some pharmacokinetic data were obtained. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Non-conventional plasma assisted catalysts for diesel exhaust treatment. A case study

    International Nuclear Information System (INIS)

    Rajanikanth, B.S.; Srinivas Kumar, P.K.; Ravi, V.

    2002-01-01

    The author reports the application of pulse discharges along with catalysts in treating the exhaust gas at higher temperatures. In the present work, a plasma reactor, filled with catalysts, called as plasma catalytic reactor, is studied for removal of oxides of nitrogen, total hydrocarbons and carbon monoxide. The experiments are conducted on an actual diesel engine exhaust at no-load and at different temperatures starting from room temperature to 300 degree C. The removal efficiencies of these pollutants are studied. The experiments are carried out with both conventional and non-conventional catalysts. The idea is to explore the pollutant removal efficiency characteristics by non-conventional catalysts. The efficiency results are compared with that of conventional catalysts. The experiments are carried out at a constant pulse repetition rate of 120 pps. Both pellet and honeycomb type catalysts are used in the study

  19. Composite films prepared by plasma ion-assisted deposition (IAD) for design and fabrication of antireflection coatings in visible and near-infrared spectral regions

    Science.gov (United States)

    Tsai, Rung-Ywan; Ho, Fang C.

    1994-11-01

    Ion-assisted deposition (IAD) processes configured with a well-controlled plasma source at the center base of a vacuum chamber, which accommodates two independent e-gun sources, is used to deposition TiO2MgF2 and TiO2-SiO2 composite films of selected component ratios. Films prepared by this technology are found durable, uniform, and nonabsorbing in visible and near-IR regions. Single- and multilayer antireflection coatings with refractive index from 1.38 to 2.36 at (lambda) equals 550 nm are presented. Methods of enhancement in optical performance of these coatings are studied. The advantages of AR coatings formed by TiO2-MgF2 composite films over those similar systems consisting of TiO2-SiO2 composite films in both visible and near-IR regions are also presented.

  20. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    Science.gov (United States)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  1. Initiation of an early-stage plasma during picosecond laser ablation of solids

    International Nuclear Information System (INIS)

    Mao, Samuel S.; Mao, Xianglei; Greif, Ralph; Russo, Richard E.

    2000-01-01

    Picosecond time-resolved images of plasma initiation were recorded during pulsed-laser ablation of metal targets in an air atmosphere. An early-stage plasma was observed to form before the release of a material vapor plume. Close to the target surface, interferometry measurements indicate that the early-stage plasma has an electron number density on the order of 10 20 cm -3 . The longitudinal expansion of the ionization front for this plasma has a velocity 10 9 cm/s, during the laser pulse. In contrast, a material--vapor plume forms approximately 200 ps after the laser pulse, and it moves away from the target at 10 6 cm/s. The experimental observations of the early-stage plasma were simulated by using a theoretical model based on a two-fluids description of laser plasmas. The results indicate that the initiation of the plasma is due to air breakdown assisted by electron emission from the target

  2. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    International Nuclear Information System (INIS)

    Mathur, A.; Roy, S.S.; Hazra, K.S.; Wadhwa, S.; Ray, S.C.; Mitra, S.K.; Misra, D.S.; McLaughlin, J.A.

    2012-01-01

    Highlights: ► We showed Ar/O 2 plasma can be effective for the end opening of aligned CNTs. ► The field emission property was dramatically enhanced after plasma modification. ► Microstructures were clearly understood by Raman and SEM analysis. ► Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15–20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110° to 40°. It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from ∼0.80 V μm −1 (untreated) to ∼0.60 V μm −1 (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  3. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Mathur, A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Roy, S.S., E-mail: sinharoy@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Hazra, K.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); Wadhwa, S. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Ray, S.C. [School of Physics, University of the Witwatersrand, WITS 2050, Johannesburg (South Africa); Mitra, S.K. [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Misra, D.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); McLaughlin, J.A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer We showed Ar/O{sub 2} plasma can be effective for the end opening of aligned CNTs. Black-Right-Pointing-Pointer The field emission property was dramatically enhanced after plasma modification. Black-Right-Pointing-Pointer Microstructures were clearly understood by Raman and SEM analysis. Black-Right-Pointing-Pointer Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15-20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110 Degree-Sign to 40 Degree-Sign . It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from {approx}0.80 V {mu}m{sup -1} (untreated) to {approx}0.60 V {mu}m{sup -1} (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  4. Kinetics in Gas Mixtures for Problem of Plasma Assisted Combustion

    Science.gov (United States)

    2010-05-01

    precautions: in the case of relatively low elec- tron density, as it is realized for N2 or for O2, non–zero background due to accumulation of residual electron...and Lave L B 2003 Evaluating automobile fuel/propulsion system technologies Progress in Energy and Combustion Science 29 (2003) 1--69 [11] Polak L S...43 79—110 [41] Janev R K and Reiter D 2004 Collision processes of C2,3Hy and C2,3H + y hydrocarbons with electrons and protons Phys. Plasmas 11 780—829

  5. Development of robotic plasma radiochemical assays for positron emission tomography

    International Nuclear Information System (INIS)

    Alexoff, D.L.; Shea, C.; Fowler, J.S.; Gatley, S.J.; Schlyer, D.J.

    1995-01-01

    A commercial laboratory robot system (Zymate PyTechnology II Laboratory Automation System; Zymark Corporation, Hopkinton, MA) was interfaced to standard and custom laboratory equipment and programmed to perform rapid radiochemical analyses for quantitative PET studies. A Zymark XP robot arm was used to carry out the determination of unchanged (parent) radiotracer in plasma using only solid phase extraction methods. Robotic throughput for the assay of parent radiotracer in plasma is 4--6 samples/hour depending on the radiotracer. Robotic assays of parent compound in plasma were validated for the radiotracers [ 11 C]Benztropine, [ 11 C]cocaine, [ 11 C]clorgyline, [ 11 C]deprenyl, [ 11 C]methadone, [ 11 C]methylphenidate, [ 11 C]raclorpride, and [ 11 C]SR46349B. A simple robot-assisted methods development strategy has been implemented to facilitate the automation of plasma assays of new radiotracers

  6. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  7. Role of metastable atoms in argon-diluted silane Rf plasmas

    International Nuclear Information System (INIS)

    Sansonnens, L.; Howling, A.A.; Hollenstein, C.; Dorier, J.L.; Kroll, U.

    1994-01-01

    The evolution of the argon metastable density has been studied by absorption spectroscopy in power-modulated plasmas of argon and a mixture of 4% silane in argon. A small concentration of silane suppresses the argon metastable density by molecular quenching. This molecular quenching adds to the electronic collisional dissociation to increase the silane dissociation rate as compared with pure silane plasmas. Using time-resolved emission spectroscopy, the role of metastables in excitation to the argon 2P 2 state has been determined in comparison with production from the ground state. In silane plasmas, emission from SiH* is due essentially to electron impact dissociation of silane, whereas in 4% silane-in-argon plasmas, emission from SiH* seems to be due to electron impact excitation of the SiH ground state. These studies demonstrate that argon is not simply a buffer gas but has an influence on the dissociation rate in the plasma-assisted deposition of amorphous silicon using argon-diluted silane plasmas. (author) 7 figs., 30 refs

  8. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  9. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  10. Plasma polymer-functionalized silica particles for heavy metals removal.

    Science.gov (United States)

    Akhavan, Behnam; Jarvis, Karyn; Majewski, Peter

    2015-02-25

    Highly negatively charged particles were fabricated via an innovative plasma-assisted approach for the removal of heavy metal ions. Thiophene plasma polymerization was used to deposit sulfur-rich films onto silica particles followed by the introduction of oxidized sulfur functionalities, such as sulfonate and sulfonic acid, via water-plasma treatments. Surface chemistry analyses were conducted by X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy. Electrokinetic measurements quantified the zeta potentials and isoelectric points (IEPs) of modified particles and indicated significant decreases of zeta potentials and IEPs upon plasma modification of particles. Plasma polymerized thiophene-coated particles treated with water plasma for 10 min exhibited an IEP of less than 3.5. The effectiveness of developed surfaces in the adsorption of heavy metal ions was demonstrated through copper (Cu) and zinc (Zn) removal experiments. The removal of metal ions was examined through changing initial pH of solution, removal time, and mass of particles. Increasing the water plasma treatment time to 20 min significantly increased the metal removal efficiency (MRE) of modified particles, whereas further increasing the plasma treatment time reduced the MRE due to the influence of an ablation mechanism. The developed particulate surfaces were capable of removing more than 96.7% of both Cu and Zn ions in 1 h. The combination of plasma polymerization and oxidative plasma treatment is an effective method for the fabrication of new adsorbents for the removal of heavy metals.

  11. Heavy Ion Fusion Accelerator Research (HIFAR) half-year report, October 1, 1989--March 31, 1990

    International Nuclear Information System (INIS)

    1990-03-01

    This report discusses the following topics: Transverse Emittance Studies on MBE-4; MBE-4 Simulations; Beam Centroid Motion and Misalignments in MBE-4; Survey and Alignment of MBE-4; Energy Analysis of the 5mA MBE-4 Beam; An Improved 10 mA Ion Source for MBE-4; Emittance Degradation via a Wire Grid; Ion Source Development; 2 MV Injector; Electrostatic Quadrupole Prototype Development Activity; Magnetic Induction Core Studies; A Preliminary Consideration of Beam Splitting in Momentum Space; and Status of the Optimization Code HILDA

  12. Determination of Pb in river water samples by inductively coupled plasma optical emission spectrometry after ultrasound-assisted co-precipitation with manganese dioxide

    International Nuclear Information System (INIS)

    Sousa Bispo, Marcia; Santos da Boa Morte, Elane; Korn das Gracas Andrade, Maria; Sena Gomes Teixeira, Leonardo; Korn, Mauro; Costa, Antonio Celso Spinola

    2005-01-01

    A simple and efficient procedure for separation and pre-concentration using ultrasound-assisted co-precipitation with manganese dioxide was developed for Pb determination by inductively coupled plasma optical emission spectrometry (ICP OES). The optimization process was carried out using a two-level factorial design and a Doehlert matrix. Three variables (i.e. concentration of oxidizing solution-KMnO 4 , concentration of MnSO 4 solution and time of ultrasonic irradiation) were used as factors in the optimization. The recoveries, based on the analysis of spiked samples, were between 90% and 105%, and the precision was ≤ 5%. The detection limit and quantification limit for Pb determination were 3.2 and 10.7 μg L -1 , respectively. The proposed method was applied for the determination of Pb in water samples from a river heavily polluted by industrial effluents. The recovery measured by analyte addition technique showed that the proposed pre-concentration method had good accuracy

  13. Impact of elective resection on plasma TIMP-1 levels in patients with colon cancer

    DEFF Research Database (Denmark)

    Hammer, J. H.; Basse, L.; Svedsen, M. N.

    2006-01-01

    -1 measurements. PATIENTS AND METHODS: Consecutively, 48 patients with colon cancer (CC) and 12 patients with nonmalignant colonic disease were randomised to undergo elective laparoscopically assisted or open resection followed by fast track recovery. Plasma samples were collected just before and 1......OBJECTIVE: Pre- and post-operative plasma tissue inhibitor of metalloproteinases-1 (TIMP-1) levels have a prognostic impact on patients with colorectal cancer. However, the surgical trauma may play an essential role in regulation of plasma TIMP-1 levels, which in turn may influence subsequent TIMP...

  14. Impact of elective resection on plasma TIMP-1 levels in patients with colon cancer

    DEFF Research Database (Denmark)

    Hammer, J. H.; Basse, L.; Svedsen, M. N.

    2006-01-01

    OBJECTIVE: Pre- and post-operative plasma tissue inhibitor of metalloproteinases-1 (TIMP-1) levels have a prognostic impact on patients with colorectal cancer. However, the surgical trauma may play an essential role in regulation of plasma TIMP-1 levels, which in turn may influence subsequent TIMP......-1 measurements. PATIENTS AND METHODS: Consecutively, 48 patients with colon cancer (CC) and 12 patients with nonmalignant colonic disease were randomised to undergo elective laparoscopically assisted or open resection followed by fast track recovery. Plasma samples were collected just before and 1...

  15. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  16. High-quality InN grown on KOH wet etched N-polar InN template by RF-MBE

    International Nuclear Information System (INIS)

    Muto, D.; Araki, T.; Kitagawa, S.; Kurouchi, M.; Nanishi, Y.; Naoi, H.; Na, H.

    2006-01-01

    We have succeeded in dramatically decreasing the density of dislocations in InN by regrowing InN films on micro-facetted N-polar InN templates. The micro-facetted N-polar InN templates were formed by wet etching in a 10 mol/l KOH solution. InN films were regrown on the micro-facetted N-polar InN templates and on flat surface N-polar InN templates for comparison by radio-frequency plasma-assisted molecular beam epitaxy. InN regrown on micro-facetted InN had considerably smaller twist distribution than that grown on the flat InN templates. From transmission electron microscopy observation, it was confirmed that the InN grown on the micro-facetted InN template had much lower density of dislocations than that grown on the flat InN template, and moreover the propagation of edge dislocations was almost completely terminated at the interface between the regrown InN and the micro-facetted InN template. Based on the results, we propose that regrowth of InN on micro-facetted InN templates is an effective way to obtain high-quality InN films. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  18. Comparison of the top-down and bottom-up approach to fabricate nanowire-based Silicon/Germanium heterostructures

    International Nuclear Information System (INIS)

    Wolfsteller, A.; Geyer, N.; Nguyen-Duc, T.-K.; Das Kanungo, P.; Zakharov, N.D.; Reiche, M.; Erfurth, W.; Blumtritt, H.; Werner, P.; Goesele, U.

    2010-01-01

    Silicon nanowires (NWs) and vertical nanowire-based Si/Ge heterostructures are expected to be building blocks for future devices, e.g. field-effect transistors or thermoelectric elements. In principle two approaches can be applied to synthesise these NWs: the 'bottom-up' and the 'top-down' approach. The most common method for the former is the vapour-liquid-solid (VLS) mechanism which can also be applied to grow NWs by molecular beam epitaxy (MBE). Although MBE allows a precise growth control under highly reproducible conditions, the general nature of the growth process via a eutectic droplet prevents the synthesis of heterostructures with sharp interfaces and high Ge concentrations. We compare the VLS NW growth with two different top-down methods: The first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50 nm. The second top-down method combines the growth of Si/Ge superlattices by MBE with electron beam lithography and reactive ion etching. Again, large and homogeneous arrays of NWs were created, this time with a diameter of 40 nm and the Si/Ge superlattice inside.

  19. Ion beam assisted deposition of metal-coatings on beryllium

    International Nuclear Information System (INIS)

    Tashlykov, I.S.; Tul'ev, V.V.

    2015-01-01

    Thin films were applied on beryllium substrates on the basis of metals (Cr, Ti, Cu and W) with method of the ion-assisted deposition in vacuum. Me/Be structures were prepared using 20 kV ions irradiation during deposition on beryllium neutral fraction generated from vacuum arc plasma. Rutherford back scattering and computer simulation RUMP code were applied to investigate the composition of the modified beryllium surface. Researches showed that the superficial structure is formed on beryllium by thickness ~ 50-60 nm. The covering composition includes atoms of the deposited metal (0.5-3.3 at. %), atoms of technological impurity carbon (0.8-1.8 at. %) and oxygen (6.3-9.9 at. %), atoms of beryllium from the substrate. Ion assisted deposition of metals on beryllium substrate is accompanied by radiation enhanced diffusion of metals, oxygen atoms in the substrate, out diffusion of beryllium, carbon atoms in the deposited coating and sputtering film-forming ions assists. (authors)

  20. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  1. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  2. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  3. On the optical and microstrain analysis of graded InGaN/GaN MQWs based on plasma assisted molecular beam epitaxy

    KAUST Repository

    Mishra, Pawan; Janjua, Bilal; Ng, Tien Khee; Anjum, Dalaver H.; Elafandy, Rami T.; Prabaswara, Aditya; Shen, Chao; Salhi, Abdelmajid; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ooi, Boon S.

    2016-01-01

    In this paper, c-plane stepped- and graded- InGaN/GaN multiple quantum wells (MQWs) are grown using plasma assisted molecular beam epitaxy (PAMBE) by in situ surface stoichiometry monitoring (i-SSM). Such a technique considerably reduces the strain build-up due to indium clustering within and across graded-MQWs; especially for QW closer to the top which results in mitigation of the quantum-confined Stark effect (QCSE). This is validated by a reduced power dependent photoluminescence blueshift of 10 meV in graded-MQWs as compared to a blueshift of 17 meV for stepped-MQWs. We further analyze microstrain within the MQWs, using Raman spectroscopy and geometrical phase analysis (GPA) on high-angle annular dark-field (HAADF)-scanning transmission electron microscope (STEM) images of stepped- and graded-MQWs, highlighting the reduction of ~1% strain in graded-MQWs over stepped-MQWs. Our analysis provides direct evidence of the advantage of graded-MQWs for the commercially viable c-plane light-emitting and laser diodes. © 2016 Optical Society of America.

  4. On the optical and microstrain analysis of graded InGaN/GaN MQWs based on plasma assisted molecular beam epitaxy

    KAUST Repository

    Mishra, Pawan

    2016-05-23

    In this paper, c-plane stepped- and graded- InGaN/GaN multiple quantum wells (MQWs) are grown using plasma assisted molecular beam epitaxy (PAMBE) by in situ surface stoichiometry monitoring (i-SSM). Such a technique considerably reduces the strain build-up due to indium clustering within and across graded-MQWs; especially for QW closer to the top which results in mitigation of the quantum-confined Stark effect (QCSE). This is validated by a reduced power dependent photoluminescence blueshift of 10 meV in graded-MQWs as compared to a blueshift of 17 meV for stepped-MQWs. We further analyze microstrain within the MQWs, using Raman spectroscopy and geometrical phase analysis (GPA) on high-angle annular dark-field (HAADF)-scanning transmission electron microscope (STEM) images of stepped- and graded-MQWs, highlighting the reduction of ~1% strain in graded-MQWs over stepped-MQWs. Our analysis provides direct evidence of the advantage of graded-MQWs for the commercially viable c-plane light-emitting and laser diodes. © 2016 Optical Society of America.

  5. Plasma enhanced modification of TMP fiber and its effect on tensile strength of wood fiber/PP composite

    Science.gov (United States)

    Sangyeob Lee; Todd F. Shupe; Chung Y. Hse

    2009-01-01

    Plasma-assisted surface treatment on thermomechanical pulp (TMP) fiber and polypropylene (PP) film was investigated to obtain interfacial adhesion at the wood fiber and PP interface. A metal plate between electrodes prevented thermal damage to the TMP fiber handsheets and PP film. Oxygen-plasma treatment provided better surface activation on the TMP fiber and...

  6. Thermal plasma synthesis of Fe1−xNix alloy nanoparticles

    International Nuclear Information System (INIS)

    Raut, Suyog A.; Kanhe, Nilesh S.; Bhoraskar, S. V.; Mathe, V. L.; Das, A. K.

    2014-01-01

    Fe-Ni alloy nanoparticles are of great interest because of diverse practical applications in the fields such as magnetic fluids, high density recording media, catalysis and medicine. We report the synthesis of Fe-Ni nanoparticles via thermal plasma route. Thermal plasma assisted synthesis is a high temperature process and gives high yields of production. Here, we have used direct arc thermal plasma plume of 6kw as a source of energy at operating pressure 500 Torr. The mixture of Fe-Ni powder in required proportion (Fe 1−x Ni x ; x=0.30, 0.32, 0.34, 0.36, 0.38 and 0.40) was made to evaporate simultaneously from the graphite anode in thermal plasma reactor to form Fe-Ni bimetallic nanoparticles. The as synthesized particles were characterized by X-Ray Diffraction (XRD), Thermo-Gravimetric Analysis/Differential Scanning Calorimtry (TGA/DSC)

  7. Effect of different stages of tensile deformation on micromagnetic parameters in high-strength, low-alloy steel

    Energy Technology Data Exchange (ETDEWEB)

    Vaidyanathan, S.; Moorthy, V.; Kalyanasundaram, P.; Jayakumar, T.; Raj, B. [Indira Gandhi Centre for Atomic Research, Kalpakkam (India). Metallurgy and Materials Group

    1999-08-01

    The influence of tensile deformation on the magnetic Barkhausen emissions (MBE) and hysteresis loop has been studied in a high-strength, low-alloy steel (HSLA) and its weldment. The magnetic measurements were made both in loaded and unloaded conditions for different stress levels. The root-mean-square (RMS) voltage of the MBE has been used for analysis. This study shows that the preyield and postyield deformation can be identified from the change in the MBE profile. The initial elastic deformation showed a linear increase in the MBE level in the loaded condition, and the MBE level remained constant in the unloaded condition. The microplastic yielding, well below the macroyield stress, significantly reduces the MBE, indicating the operation of grain-boundary dislocation sources below the macroyield stress. This is indicated by the slow increase in the MBE level in the loaded condition and the decrease in the MBE level in the unloaded condition. The macroyielding resulted in a significant increase in the MBE level in the loaded condition and, more clearly, in the unloaded condition. The increase in the MBE level during macroyielding has been attributed to the grain rotation phenomenon, in order to maintain the boundary integrity between adjacent grains, which would preferentially align the magnetic domains along the stress direction. This study shows that MBE during tensile deformation can be classified into four stages: (1) perfectly elastic, (2) microplastic yielding, (3) macroyielding, and (4) progressive plastic deformation. A multimagnetic parameter approach, combining the hysteresis loop and MBE, has been suggested to evaluate the residual stresses.

  8. Plasma-Assisted Synthesis and Surface Modification of Electrode Materials for Renewable Energy.

    Science.gov (United States)

    Dou, Shuo; Tao, Li; Wang, Ruilun; El Hankari, Samir; Chen, Ru; Wang, Shuangyin

    2018-02-14

    Renewable energy technology has been considered as a "MUST" option to lower the use of fossil fuels for industry and daily life. Designing critical and sophisticated materials is of great importance in order to realize high-performance energy technology. Typically, efficient synthesis and soft surface modification of nanomaterials are important for energy technology. Therefore, there are increasing demands on the rational design of efficient electrocatalysts or electrode materials, which are the key for scalable and practical electrochemical energy devices. Nevertheless, the development of versatile and cheap strategies is one of the main challenges to achieve the aforementioned goals. Accordingly, plasma technology has recently appeared as an extremely promising alternative for the synthesis and surface modification of nanomaterials for electrochemical devices. Here, the recent progress on the development of nonthermal plasma technology is highlighted for the synthesis and surface modification of advanced electrode materials for renewable energy technology including electrocatalysts for fuel cells, water splitting, metal-air batteries, and electrode materials for batteries and supercapacitors, etc. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  10. Controlling the properties of ferroelectric-nickelate interfaces

    Science.gov (United States)

    Marshall, Matthew S. J.; Malashevich, Andrei; Disa, Ankit; Han, Myung-Geun; Zhu, Yimei; Ismail-Beigi, Sohrab; Walker, Frederick; Ahn, Charles

    2015-03-01

    Ferroelectrics are a class of materials that exhibit a stable, reversible polarization making them useful for non-volatile electronic devices. In devices consisting of thin film ferroelectric PZT acting as a gate and a thin film of the conductive oxide LaNiO3 grown on LaAlO3(001) acting as a channel, we have realized a large change in room temperature channel resistance by switching the ferroelectric polarization. The effect of switching the polarization of the ferroelectric is to modify the electronic structure of the interface between the gate and channel, resulting in conduction in the otherwise insulating ferroelectric. Here, we discuss how changing the epitaxial strain and interface termination of LaNiO3 can result in larger changes in resistivity. The epitaxial strain is varied by growing the devices on LaAlO3 for tensile strain and SrTiO3 for compressive strain. An interface termination of either an atomic layer of NiO2 or LaO is achieved via atomic layering using oxygen plasma assisted molecular beam epitaxy (MBE).

  11. Establishing the need and identifying goals for a curriculum in medical business ethics: a survey of students and residents at two medical centers in Missouri.

    Science.gov (United States)

    Kraus, Elena M; Bakanas, Erin; Gursahani, Kamal; DuBois, James M

    2014-10-09

    In recent years, issues in medical business ethics (MBE), such as conflicts of interest (COI), Medicare fraud and abuse, and the structure and functioning of reimbursement systems, have received significant attention from the media and professional associations in the United States. As a result of highly publicized instances of financial interests altering physician decision-making, major professional organizations and government bodies have produced reports and guidelines to encourage self-regulation and impose rules to limit physician relationships with for-profit entities. Nevertheless, no published curricula exist in the area of MBE. This study aimed to establish a baseline level of knowledge and the educational goals medical students and residents prioritize in the area of MBE. 732 medical students and 380 residents at two academic medical centers in the state of Missouri, USA, completed a brief survey indicating their awareness of major MBE guidance documents, knowledge of key MBE research, beliefs about the goals of an education in MBE, and the areas of MBE they were most interested in learning more about. Medical students and residents had little awareness of recent and major reports on MBE topics, and had minimal knowledge of basic MBE facts. Residents scored statistically better than medical students in both of these areas. Medical students and residents were in close agreement regarding the goals of an MBE curriculum. Both groups showed significant interest in learning more about MBE topics with an emphasis on background topics such as "the business aspects of medicine" and "health care delivery systems". The content of major reports by professional associations and expert bodies has not trickled down to medical students and residents, yet both groups are interested in learning more about MBE topics. Our survey suggests potentially beneficial ways to frame and embed MBE topics into the larger framework of medical education.

  12. Characteristics of Atmospheric Pressure Rotating Gliding Arc Plasmas

    Science.gov (United States)

    Zhang, Hao; Zhu, Fengsen; Tu, Xin; Bo, Zheng; Cen, Kefa; Li, Xiaodong

    2016-05-01

    In this work, a novel direct current (DC) atmospheric pressure rotating gliding arc (RGA) plasma reactor has been developed for plasma-assisted chemical reactions. The influence of the gas composition and the gas flow rate on the arc dynamic behaviour and the formation of reactive species in the N2 and air gliding arc plasmas has been investigated by means of electrical signals, high speed photography, and optical emission spectroscopic diagnostics. Compared to conventional gliding arc reactors with knife-shaped electrodes which generally require a high flow rate (e.g., 10-20 L/min) to maintain a long arc length and reasonable plasma discharge zone, in this RGA system, a lower gas flow rate (e.g., 2 L/min) can also generate a larger effective plasma reaction zone with a longer arc length for chemical reactions. Two different motion patterns can be clearly observed in the N2 and air RGA plasmas. The time-resolved arc voltage signals show that three different arc dynamic modes, the arc restrike mode, takeover mode, and combined modes, can be clearly identified in the RGA plasmas. The occurrence of different motion and arc dynamic modes is strongly dependent on the composition of the working gas and gas flow rate. supported by National Natural Science Foundation of China (No. 51576174), the Specialized Research Fund for the Doctoral Program of Higher Education of China (No. 20120101110099) and the Fundamental Research Funds for the Central Universities (No. 2015FZA4011)

  13. The design of remote participation platform for EAST plasma control

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Q.P., E-mail: qpyuan@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Xiao, B.J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China); Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Chai, W.T.; Liu, J.; Xiao, R.; Zhou, Z.C.; Pei, X.F. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China)

    2016-11-15

    Highlights: • The remote participation platform for EAST plasma control is composed of real time control service and scenario management. • The web based interface has been developed for supporting remote participation. • The functionality module has been designed and assistant tools have been developed. - Abstract: EAST has become a physics experimental platform for high parameter and steady-state long-pulse plasma operation. A new remote participation platform for EAST plasma control is designed, which is composed of gatekeeper system, web-based user interface system, discharge scenario management system, online simulation system and data interface with on-site plasma control system (PCS). The identification and access privilege of remote participator is validated by the gatekeeper system. Only authorized users can set control parameters for next shot plasma control or making discharge scenario for future shot through WebPCS which is a web-based user interface and designed based on B/S structure. The systematic architecture design and preliminary deployment of such remote platform will be presented in this paper.

  14. Ion acceleration in non-equilibrium plasmas driven by fast drifting electron

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Di Bartolo, F., E-mail: fdibartolo@unime.it [Università di Messina, V.le F. Stagno D’Alcontres 31, 98166, Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Metodologie Fisiche e Chimiche per L’ingegneria, Viale A.Doria 6, 95125 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F.P. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Anzalone, A.; Celona, L.; Gammino, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Di Giugno, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Lanaia, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Tudisco, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy)

    2013-05-01

    We hereby present results on ion acceleration mechanisms in non equilibrium plasmas generated by microwaves or high intensity laser pulses. Experiments point out that in magnetized plasmas X–B conversion takes place for under resonance values of the magnetic field, i.e. an electromagnetic mode is converted into an electrostatic wave. The strong self-generated electric field, of the order of 10{sup 7} V/m, causes a E × B drift which accelerates both ions and electrons, as it is evident by localized sputtering in the plasma chamber. These fields are similar (in magnitude) to the ones obtainable in laser generated plasmas at intensity of 10{sup 12} W/cm{sup 2}. In this latter case, we observe that the acceleration mechanism is driven by electrons drifting much faster than plasma bulk, thus generating an extremely strong electric field ∼10{sup 7} V/m. The two experiments confirm that ions acceleration at low energy is possible with table-top devices and following complementary techniques: i.e. by using microwave-driven (producing CW beams) plasmas, or non-equilibrium laser-driven plasmas (producing pulsed beams). Possible applications involve ion implantation, materials surface modifications, ion beam assisted lithography, etc.

  15. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    Science.gov (United States)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  16. Ion accelerator based on plasma vircator

    CERN Document Server

    Onishchenko, I N

    2001-01-01

    The conception of a collective ion accelerator is proposed to be developed in the frameworks of STCU project 1569 (NSC KIPT, Ukraine) in coordination with the ISTC project 1629 (VNIEF, Russia). The main processes of acceleration are supposed to be consisted of two stages.First one is the plasma assistance virtual cathode (VC) in which plasma ions are accelerated in a potential well of VC. Along with ion acceleration the relaxation oscillations, caused by diminishing the potential well due to ion compensation, arise that provides the low-frequency (inverse ion transit time) temporal modulation of an intense relativistic electron beam (IREB) current. At the second stage temporally modulated IREB is injected into the spatially periodic magnetic field. The further ion acceleration is realized by the slow space charge wave that arises in IREB due to its simultaneous temporal and spatial modulation.

  17. Application of seminal plasma to female genital tract prior to embryo transfer in assisted reproductive technology cycles (IVF, ICSI and frozen embryo transfer).

    Science.gov (United States)

    Ata, Baris; Abou-Setta, Ahmed M; Seyhan, Ayse; Buckett, William

    2018-02-28

    The female genital tract is not exposed to seminal plasma during standard assisted reproductive technology (ART) cycles. However, it is thought that the inflammatory reaction triggered by seminal plasma may be beneficial by inducing maternal tolerance to paternal antigens expressed by the products of conception, and may increase the chance of successful implantation and live birth. To assess the effectiveness and safety of application of seminal plasma to the female genital tract prior to embryo transfer in ART cycles. We searched the following databases from inception to October 2017: Cochrane Gynaecology and Fertility Group Specialised Register of Controlled Trials, Cochrane Central Register of Studies Online (CRSO), MEDLINE, Embase, CINAHL and PsycINFO. We also searched trial registers for ongoing trials, including International Clinical Trials Registry Platform (ICTRP) Search Portal and ClinicalTrials.gov. Other sources searched were; Web of Knowledge, OpenGrey, LILACS, PubMed, Google Scholar and the reference lists of relevant articles. We included randomised controlled trials (RCTs) conducted among women undergoing ART, comparing any procedure that would expose the female genital tract to seminal plasma during the period starting five days before embryo transfer and ending two days after it versus no seminal plasma application. Two review authors independently selected trials, assessed risk of bias, and extracted data. We pooled data to calculate relative risks (RRs) and 95% confidence intervals (CIs). We assessed statistical heterogeneity using the I 2 statistic. We assessed the overall quality of the evidence for the main outcomes using GRADE methods. Our primary outcomes were live birth rate and miscarriage rate. Secondary outcomes were live birth/ongoing pregnancy rate, clinical pregnancy rate, multiple pregnancy rate, ectopic pregnancy rate and the incidence of other adverse events. We included 11 RCTs (3215 women). The quality of the evidence ranged

  18. Self-excitation of microwave oscillations in plasma-assisted slow-wave oscillators by an electron beam with a movable focus

    Science.gov (United States)

    Bliokh, Yu. P.; Nusinovich, G. S.; Shkvarunets, A. G.; Carmel, Y.

    2004-10-01

    Plasma-assisted slow-wave oscillators (pasotrons) operate without external magnetic fields, which makes these devices quite compact and lightweight. Beam focusing in pasotrons is provided by ions, which appear in the device due to the impact ionization of a neutral gas by beam electrons. Typically, the ionization time is on the order of the rise time of the beam current. This means that, during the rise of the current, beam focusing by ions becomes stronger. Correspondingly, a beam of electrons, which was initially diverging radially due to the self-electric field, starts to be focused by ions, and this focus moves towards the gun as the ion density increases. This feature makes the self-excitation of electromagnetic (em) oscillations in pasotrons quite different from practically all other microwave sources where em oscillations are excited by a stationary electron beam. The process of self-excitation of em oscillations has been studied both theoretically and experimentally. It is shown that in pasotrons, during the beam current rise the amount of current entering the interaction space and the beam coupling to the em field vary. As a result, the self-excitation can proceed faster than in conventional microwave sources with similar operating parameters such as the operating frequency, cavity quality-factor and the beam current and voltage.

  19. Interaction of supra-thermal ions with turbulence in a magnetized toroidal plasma

    International Nuclear Information System (INIS)

    Plyushchev, G.

    2009-01-01

    This thesis addresses the interaction of a supra-thermal ion beam with turbulence in the simple magnetized toroidal plasma of TORPEX. The first part of the Thesis deals with the ohmic assisted discharges on TORPEX. The aim of these discharges is the investigation of the open to closed magnetic field line transition. The relevant magnetic diagnostics were developed. Ohmic assisted discharges with a maximum plasma current up to 1 kA are routinely obtained. The equilibrium conditions on the vacuum magnetic field configuration were investigated. In the second part of the Thesis, the design of the fast ion source and detector are discussed. The accelerating electric field needed for the fast ion source was optimized. The fast ion source was constructed and commissioned. To detect the fast ions a specially designed gridded energy analyzer was used. The electron energy distribution function was obtained to demonstrate the efficiency of the detector. The experiments with the fast ion beam were conducted in different plasma regions of TORPEX. In the third part of the Thesis, numerical simulations are used to interpret the measured fast ion beam behavior. It is shown that a simple single particle equation of motion explains the beam behavior in the experiments in the absence of plasma. To explain the fast ion beam experiments with the plasma a turbulent electric field must be used. The model that takes into account this turbulent electrical field qualitatively explains the shape of the fast ion current density profile in the different plasma regions of TORPEX. The vertically elongated fast ion current density profiles are explained by a spread in the fast ion velocity distribution. The theoretically predicted radial fast ion beam spreading due to the turbulent electric field was observed in the experiment. (author)

  20. Robust inference in summary data Mendelian randomization via the zero modal pleiotropy assumption.

    Science.gov (United States)

    Hartwig, Fernando Pires; Davey Smith, George; Bowden, Jack

    2017-12-01

    Mendelian randomization (MR) is being increasingly used to strengthen causal inference in observational studies. Availability of summary data of genetic associations for a variety of phenotypes from large genome-wide association studies (GWAS) allows straightforward application of MR using summary data methods, typically in a two-sample design. In addition to the conventional inverse variance weighting (IVW) method, recently developed summary data MR methods, such as the MR-Egger and weighted median approaches, allow a relaxation of the instrumental variable assumptions. Here, a new method - the mode-based estimate (MBE) - is proposed to obtain a single causal effect estimate from multiple genetic instruments. The MBE is consistent when the largest number of similar (identical in infinite samples) individual-instrument causal effect estimates comes from valid instruments, even if the majority of instruments are invalid. We evaluate the performance of the method in simulations designed to mimic the two-sample summary data setting, and demonstrate its use by investigating the causal effect of plasma lipid fractions and urate levels on coronary heart disease risk. The MBE presented less bias and lower type-I error rates than other methods under the null in many situations. Its power to detect a causal effect was smaller compared with the IVW and weighted median methods, but was larger than that of MR-Egger regression, with sample size requirements typically smaller than those available from GWAS consortia. The MBE relaxes the instrumental variable assumptions, and should be used in combination with other approaches in sensitivity analyses. © The Author 2017. Published by Oxford University Press on behalf of the International Epidemiological Association

  1. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  2. Investigations of Nitrogen Oxide Plasmas: Fundamental Chemistry and Surface Reactivity and Monitoring Student Perceptions in a General Chemistry Recitation

    Science.gov (United States)

    Blechle, Joshua M.

    2016-01-01

    Part I of this dissertation focuses on investigations of nitrogen oxide plasma systems. With increasing concerns over the environmental presence of NxOy species, there is growing interest in utilizing plasma-assisted conversion techniques. Advances, however, have been limited because of the lack of knowledge regarding the fundamental chemistry of…

  3. Comparison of postoperative pain and inflammation reaction in dogs undergoing preventive laparoscopic-assisted and incisional gastropexy

    OpenAIRE

    HARAGUCHI, Tomoya; KIMURA, Shiho; ITOH, Harumichi; NISHIKAWA, Shimpei; HIYAMA, Masato; TANI, Kenji; ISERI, Toshie; ITOH, Yoshiki; NAKAICHI, Munekazu; TAURA, Yasuho; ITAMOTO, Kazuhito

    2017-01-01

    This study compared the effects of postoperative pain and inflammation reaction after preventive laparoscopic-assisted gastropexy (LAG) and incisional gastropexy (IG) in 10 clinically normal Beagles. Surgical time, incision length, visual analog scale (VAS) score, University of Melbourne Pain Scale (UMPS) score, and plasma C-reactive protein (CRP), plasma cortisol (COR), and serum interleukin-6 (IL-6) levels were evaluated. The VAS and UMPS scores and COR and IL-6 levels were recorded at 0.5,...

  4. Ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction and derivatization of sulfonamides in river water, honey, milk, and animal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xu Xu; Su Rui; Zhao Xin; Liu Zhuang; Zhang Yupu; Li Dan; Li Xueyuan; Zhang Hanqi [College of Chemistry, Jilin University, Changchun 130012 (China); Wang Ziming, E-mail: analchem@jlu.edu.cn [College of Chemistry, Jilin University, Changchun 130012 (China)

    2011-11-30

    Graphical abstract: The extraction and derivatization efficiency of SAs is dependent on type and volume of extraction solvent, type and volume of disperser, microwave power and irradiation time, volume of derivatization reagent, pH of sample solution as well as ionic strength. Highlights: Black-Right-Pointing-Pointer A new, rapid and sensitive method for determining sulfonamides (SAs) was proposed. Black-Right-Pointing-Pointer Derivatization, extraction and preconcentration of SAs were performed in one step. Black-Right-Pointing-Pointer IL-based MADLLME and derivatization were first applied for the determination of SAs. Black-Right-Pointing-Pointer Trace SAs in river water, honey, milk, and pig plasma were determined. - Abstract: The ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction (IL-based MADLLME) and derivatization was applied for the pretreatment of six sulfonamides (SAs) prior to the determination by high-performance liquid chromatography (HPLC). By adding methanol (disperser), fluorescamine solution (derivatization reagent) and ionic liquid (extraction solvent) into sample, extraction, derivatization, and preconcentration were continuously performed. Several experimental parameters, such as the type and volume of extraction solvent, the type and volume of disperser, amount of derivatization reagent, microwave power, microwave irradiation time, pH of sample solution, and ionic strength were investigated and optimized. When the microwave power was 240 W, the analytes could be derivatized and extracted simultaneously within 90 s. The proposed method was applied to the analysis of river water, honey, milk, and pig plasma samples, and the recoveries of analytes obtained were in the range of 95.0-110.8, 95.4-106.3, 95.0-108.3, and 95.7-107.7, respectively. The relative standard deviations varied between 1.5% and 7.3% (n = 5). The results showed that the proposed method was a rapid, convenient and feasible method for the determination

  5. Ionic liquid-based microwave-assisted dispersive liquid–liquid microextraction and derivatization of sulfonamides in river water, honey, milk, and animal plasma

    International Nuclear Information System (INIS)

    Xu Xu; Su Rui; Zhao Xin; Liu Zhuang; Zhang Yupu; Li Dan; Li Xueyuan; Zhang Hanqi; Wang Ziming

    2011-01-01

    Graphical abstract: The extraction and derivatization efficiency of SAs is dependent on type and volume of extraction solvent, type and volume of disperser, microwave power and irradiation time, volume of derivatization reagent, pH of sample solution as well as ionic strength. Highlights: ► A new, rapid and sensitive method for determining sulfonamides (SAs) was proposed. ► Derivatization, extraction and preconcentration of SAs were performed in one step. ► IL-based MADLLME and derivatization were first applied for the determination of SAs. ► Trace SAs in river water, honey, milk, and pig plasma were determined. - Abstract: The ionic liquid-based microwave-assisted dispersive liquid–liquid microextraction (IL-based MADLLME) and derivatization was applied for the pretreatment of six sulfonamides (SAs) prior to the determination by high-performance liquid chromatography (HPLC). By adding methanol (disperser), fluorescamine solution (derivatization reagent) and ionic liquid (extraction solvent) into sample, extraction, derivatization, and preconcentration were continuously performed. Several experimental parameters, such as the type and volume of extraction solvent, the type and volume of disperser, amount of derivatization reagent, microwave power, microwave irradiation time, pH of sample solution, and ionic strength were investigated and optimized. When the microwave power was 240 W, the analytes could be derivatized and extracted simultaneously within 90 s. The proposed method was applied to the analysis of river water, honey, milk, and pig plasma samples, and the recoveries of analytes obtained were in the range of 95.0–110.8, 95.4–106.3, 95.0–108.3, and 95.7–107.7, respectively. The relative standard deviations varied between 1.5% and 7.3% (n = 5). The results showed that the proposed method was a rapid, convenient and feasible method for the determination of SAs in liquid samples.

  6. RELATIONSHIP BETWEEN LEVEL OF COPPER IN BOVINE SEMINAL PLASMA AND SPERMATOZOA MOTILITY

    Directory of Open Access Journals (Sweden)

    Zuzana Kňažická

    2013-02-01

    Full Text Available The aim of this study was to evaluate relationship between copper (Cu concentration of bovine seminal plasma and spermatozoa motility. Semen samples were collected from 13 breeding bulls. The motility analysis was carried out using the Computer Assisted Sperm Analysis (CASA system. The mean value for the percentage of motile spermatozoa (MOT was 92.46±3.99% and the progressive motility of the spermatozoa (PROG as 90.23±4.02%. The seminal plasma Cu concentrations were analyzed by UV/VIS spectrophotometry. The total Cu concentration of the seminal plasma was 4.28±1.47 μM/L. The correlation analysis revealed a strong negative correlation between MOT and seminal plasma Cu concentration (rp=-0.781; P<0.01 as well as between PROG and Cu content in the seminal plasma (rp=-0.726; P<0.01. The data obtained from this study clearly indicated that concentration of copper in seminal plasma negatively affects the spermatozoa motility parameters and subsequently might cause reproductive alteration in male sexual functions.

  7. 15th International Congress on Plasma Physics & 13th Latin American Workshop on Plasma Physics

    Science.gov (United States)

    Soto, Leopoldo

    2014-05-01

    : Fundamentals of Plasma Physics, Fusion Plasmas, Plasmas in Astrophysics and Space Physics, Plasma Applications and Technologies, Complex Plasmas, High Energy Density Plasmas, Quantum Plasmas, Laser-Plasma Interaction and among others. A total of 180 delegates from 34 different countries took part in the ICPP-LAWPP-2010. Sixty delegates received economical assistance from the local organized committee, thanks to the support of the International Union for Pure and Applied Physics (IUPAP) and the Chilean Nuclear Energy Commission (CCHEN). The ICPP-LAWPP-2010 Program was elaborated by the following Program Committee: Carlos Alejaldre, ITER Maria Virginia Alves, Brazil Julio Herrera, Mexico Günter Mank, IAEA George Morales, USA Padma Kant Shukla, Germany Guido Van Oost, Belgium Leopoldo Soto, Chile (Chairman) This Program Committee was formed by selected members from the International Advisory Committee of the ICPP and by selected members from the International Advisory Committee of the LAWPP. In particular, Plenary Lectures and Invited Topical Lectures were selected by the Program Committee from a list of nominated presentations by the International Advisory Committees of both ICPP and LAWPP. Also, the classification of oral and poster presentations was elaborated by the Program Committee. The congress included: 15 invited plenary talks, 33 invited topical talks, 45 oral contributions, and 160 poster contributions. A major part of the plenary and topical lectures were published in a special issue of the Plasma Physics and Controlled Fusion, IOP Publishing (Plasma Phys. Control Fusion Volume 53, Number 7, July 2011: http://iopscience.iop.org/0741-3335/53/7). The papers were refereed according to the standards of the journal Plasma Physics and Controlled Fusion. An large number of the participants sent their contributions articles to this volume of Journal of Physics: Conference Series, IOP Publishing. The articles received were reviewed by the local organizing committee and by

  8. On-line digestion in a focused microwave-assisted oven for elements determination in orange juice by inductively coupled plasma optical emission spectrometry

    Directory of Open Access Journals (Sweden)

    Fili Sabrina P.

    2003-01-01

    Full Text Available A flow injection system coupled to focused microwave-assisted oven was used for on-line orange juice sample digestion for determination of Ca, Cu, Fe, K, Mg, Mn, Na, P, and Zn by inductively coupled plasma optical emission spectrometry. The reactor coil was a PTFE tube (4.0 m long and 1.6 mm i.d. positioned into the commercial glass tube of the focused microwave oven. Aliquots of 500 muL of sample and 1000 muL of reagent (80% v/v HNO3 were mixed in a confluence and carried out to the reactor coil by air carrier. The relative standard deviation for five replicates of sample was lower than 5.0%. Good recoveries varying from 91 to 111% were obtained for added concentrations of the interest elements. The results obtained using the proposed digestion system are in agreement with those obtained for total digestion at the 95% confidence level. With this on-line digestion system was possible to carry out 12 samples h-1, minimizing contamination, saving consumption of samples and reagent and low residue generation.

  9. Low-frequency REB modulation and acceleration of ions in a supercritical mode during plasma injection

    International Nuclear Information System (INIS)

    Chupikov, P.T.; Medvedev, D.V.; Onishchenko, I.N.; Panasenko, B.D.

    2004-01-01

    Low-frequency modulation of a high-current relativistic electron beam (REB) and acceleration of ions in the first section of a collective ion accelerator as studied experimentally. This modulation was obtained due to periodic compensation of a virtual cathode charge by plasma ions. An ion flow was produced by an electric field of virtual cathode when plasma assists. Plasma was formed by the four Bostick plasma guns placed at equal distance along the periphery of the drift chamber. The low-frequency modulation with depth 10 % at frequency 46 MHz was obtained. The ion energy was measured using the magnetic analyzer. The ion energy that probably was obtained in the potential well of the virtual cathode exceeded the REB energy

  10. Isoniazid concentrations in hair and plasma area-under-the-curve exposure among children with tuberculosis.

    Directory of Open Access Journals (Sweden)

    Vidya Mave

    Full Text Available We measured hair and plasma concentrations of isoniazid among sixteen children with tuberculosis who underwent personal or video-assisted directly observed therapy and thus had 100% adherence. This study therefore defined typical isoniazid exposure parameters after two months of treatment among fully-adherent patients in both hair and plasma (plasma area under the concentration-time curve, AUC, estimated using pharmacokinetic data collected 0, 2, 4, and 6 hours after drug administration. We found that INH levels in hair among highly-adherent individuals did not correlate well with plasma AUC or trough concentrations, suggesting that each measure may provide incremental and complementary information regarding drug exposure in the context of TB treatment.

  11. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    Plasma plumes, or plasma jets, belong to a large family of gas discharges whereby the discharge plasma is extended beyond the plasma generation region into the surrounding ambience, either by a field (e.g. electromagnetic, convective gas flow, or shock wave) or a gradient of a directionless physical quantity (e.g. particle density, pressure, or temperature). This physical extension of a plasma plume gives rise to a strong interaction with its surrounding environment, and the interaction alters the properties of both the plasma and the environment, often in a nonlinear and dynamic fashion. The plasma is therefore not confined by defined physical walls, thus extending opportunities for material treatment applications as well as bringing in new challenges in science and technology associated with complex open-boundary problems. Some of the most common examples may be found in dense plasmas with very high dissipation of externally supplied energy (e.g. in electrical, optical or thermal forms) and often in or close to thermal equilibrium. For these dense plasmas, their characteristics are determined predominantly by strong physical forces of different fields, such as electrical, magnetic, thermal, shock wave, and their nonlinear interactions [1]. Common to these dense plasma plumes are significant macroscopic plasma movement and considerable decomposition of solid materials (e.g. vaporization). Their applications are numerous and include detection of elemental traces, synthesis of high-temperature materials and welding, laser--plasma interactions, and relativistic jets in particle accelerators and in space [2]-[4]. Scientific challenges in the understanding of plasma jets are exciting and multidisciplinary, involving interweaving transitions of all four states of matter, and their technological applications are wide-ranging and growing rapidly. Using the Web of Science database, a search for journal papers on non-fusion plasma jets reveals that a long initial phase up

  12. Recombination in deuterium plasma at cryogenic temperatures (down to 130 K)

    Energy Technology Data Exchange (ETDEWEB)

    Novotny, O.; Glosik, J.; Pysanenko, A.; Zakouril, P.; Plasil, R.; Tichy, M. [Prague Charles Univ., Faculty of Mathematics and Physics (Czech Republic)

    2004-07-01

    The ions H{sub 3}{sup +} and D{sub 3}{sup +} play an important role in the kinetics of media of astrophysical interest but also in laboratory produced plasmas (discharges, fusion plasmas). In the presented study variable temperature flowing afterglow with Langmuir probe (VT-FALP) was used to study plasma decay in D{sub 2}/He mixture at temperatures down to 130 K and total pressure up to 10 Torr. Large extend of partial number densities of D{sub 2} (10{sup 12} - 3 x 10{sup 15} cm{sup -3}) were used in the experiments. Langmuir probes and mass spectrometers were applied to monitor decay of the plasma during the afterglow. The study is a continuation of our previous measurements of recombination rate coefficients of D{sub 3}{sup +} and D{sub 5}{sup +} ions. In these studies we observed dependence of the recombination rate coefficient on partial pressure of deuterium indicating that third-body assisted recombination is efficient and significantly contributes to recombination in decaying deuterium-containing plasma. (authors)

  13. Plasma-surface interaction at sharp edges and corners during ion-assisted physical vapor deposition. Part I: Edge-related effects and their influence on coating morphology and composition

    International Nuclear Information System (INIS)

    Macak, E.B.; Muenz, W.-D.; Rodenburg, J.M.

    2003-01-01

    Ion-assisted physical vapor deposition (PVD) is a common industrial method for growing thin coatings of various interstitial nitride alloys. The interaction between the ions and three-dimensional nonflat samples during the deposition can, however, lead to unwanted local changes in the properties of the coating and thus its performance. We analyze the characteristics of the ion bombardment during ion-assisted PVD on sharp convex substrates and their effect on the growing coating. We show that the magnitude and the spatial extent of the edge-related changes are directly related to the characteristics of the plasma sheath around the biased edges. We examine the influence of the edge geometry and the deposition conditions. The edge-related effects are studied on the example of wedge-shaped samples coated with TiAlN/VN by closed-field unbalanced magnetron deposition process using high-flux low-energy Ar + -ion irradiation (J i /J me ∼4, E i =75-150 eV). The samples are analyzed by scanning electron microscopy and energy-dispersive x-ray spectroscopy. Significant changes in the morphology, thickness, and composition of the coatings are found in the edge region. In order to account for the changes, we apply a self-consistent model of the plasma sheath around wedge-shaped samples proposed by Watterson [J. Phys. D 22, 1300 (1989)], to our conditions. For a 30 deg. wedge coated at -150 V, the resputtering rate in the edge region is found to be increased by up to ten times as compared to flat substrate areas. The effect is due to the combined action of an increased ion flux and increased sputtering yield as a result of the nonperpendicular angle of incidence of ions in the edge region. The situation at sharp corners, where even more severe effects are observed, is analyzed and modeled in the companion article E. B. Macak et al., J. Appl. Phys. (2003) (Part II)

  14. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  15. Computational study of plasma-assisted photoacoustic response from gold nanoparticles irradiated by off-resonance ultrafast laser

    Energy Technology Data Exchange (ETDEWEB)

    Hatef, Ali, E-mail: alih@nipissingu.ca; Darvish, Behafarid [Nipissing University, Nipissing Computational Physics Laboratory (NCPL), Department of Computer Science and Mathematics (Canada); Sajjadi, Amir Yousef [Massachusetts General Hospital, Cutaneous Biology Research Center (United States)

    2017-02-15

    The gold nanoparticles (AuNPs) are capable of enhancing the incident laser field in the form of scattered near field for even an off-resonance irradiation where the incident laser wavelength is far away from the localized surface plasmon resonance (LSPR). If the intensity of the pulse laser is large enough, this capability can be employed to generate a highly localized free electron (plasma) in the vicinity of the particles. The generated plasma can absorb more energy during the pulse, and this energy deposition can be considered as an energy source for structural mechanics calculations in the surrounding media to generate a photoacoustic (PA) signal. To show this, in this paper, we model plasma-mediated PA pressure wave propagation from a 100-nm AuNPs and the surrounding media irradiated by an ultrashort pulse laser. In this model, the AuNP is immersed in water and the laser pulse width is ranging from 70 fs to 2 ps at the wavelength of 800 nm (off-resonance). Our results qualitatively show the substantial impact of the energy deposition in plasma on the PA signal through boosting the pressure amplitudes up to ∼1000 times compared to the conventional approach.

  16. Computational study of plasma-assisted photoacoustic response from gold nanoparticles irradiated by off-resonance ultrafast laser

    International Nuclear Information System (INIS)

    Hatef, Ali; Darvish, Behafarid; Sajjadi, Amir Yousef

    2017-01-01

    The gold nanoparticles (AuNPs) are capable of enhancing the incident laser field in the form of scattered near field for even an off-resonance irradiation where the incident laser wavelength is far away from the localized surface plasmon resonance (LSPR). If the intensity of the pulse laser is large enough, this capability can be employed to generate a highly localized free electron (plasma) in the vicinity of the particles. The generated plasma can absorb more energy during the pulse, and this energy deposition can be considered as an energy source for structural mechanics calculations in the surrounding media to generate a photoacoustic (PA) signal. To show this, in this paper, we model plasma-mediated PA pressure wave propagation from a 100-nm AuNPs and the surrounding media irradiated by an ultrashort pulse laser. In this model, the AuNP is immersed in water and the laser pulse width is ranging from 70 fs to 2 ps at the wavelength of 800 nm (off-resonance). Our results qualitatively show the substantial impact of the energy deposition in plasma on the PA signal through boosting the pressure amplitudes up to ∼1000 times compared to the conventional approach.

  17. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  18. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  19. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  20. Design of plasma facing components for the SST-1 tokamak

    International Nuclear Information System (INIS)

    Jacob, S.; Chenna Reddy, D.; Choudhury, P.; Khirwadkar, S.; Pragash, R.; Santra, P.; Saxena, Y.C.; Sinha, P.

    2000-01-01

    Steady state Superconducting Tokamak, SST-1, is a medium sized tokamak with major and minor radii of 1.10 m and 0.20 m respectively. Elongated plasma operation with double null poloidal divertor is planned with a maximum input power of 1 MW. The Plasma Facing Components (PFC) like Divertors and Baffles, Poloidal limiters and Passive stabilizers form the first material boundary around the plasma and hence receive high heat and particle fluxes. The PFC design should ensure efficient heat and particle removal during steady state tokamak operation. A closed divertor geometry is adopted to ensure high neutral pressure in the divertor region (and hence high recycling) and less impurity influx into the core plasma. A set of poloidal limiters are provided to assist break down, current ramp-up and current ramp down phases and for the protection of the in-vessel components. Two pairs of Passive stabilizers, one on the inboard and the other on the outboard side of the plasma, are provided to slow down the vertical instability growth rates of the shaped plasma column. All PFCs are actively cooled to keep the plasma facing surface temperature within the design limits. The PFCs have been shaped/profiled so that maximum steady state heat flux on the surface is less than 1 MW/m 2 . (author)

  1. Plasma-synthesized single-walled carbon nanotubes and their applications

    International Nuclear Information System (INIS)

    Hatakeyama, R; Kaneko, T; Kato, T; Li, Y F

    2011-01-01

    Plasma-based nanotechnology is a rapidly developing area of research ranging from physics of gaseous and liquid plasmas to material science, surface science and nanofabrication. In our case, nanoscopic plasma processing is performed to grow single-walled carbon nanotubes (SWNTs) with controlled chirality distribution and to further develop SWNT-based materials with new functions corresponding to electronic and biomedical applications. Since SWNTs are furnished with hollow inner spaces, it is very interesting to inject various kinds of atoms and molecules into their nanospaces based on plasma nanotechnology. The encapsulation of alkali-metal atoms, halogen atoms, fullerene or azafullerene molecules inside the carbon nanotubes is realized using ionic plasmas of positive and negative ions such as alkali-fullerene, alkali-halogen, and pair or quasipair ion plasmas. Furthermore, an electrolyte solution plasma with DNA negative ions is prepared in order to encapsulate DNA molecules into the nanotubes. It is found that the electronic and optical properties of various encapsulated SWNTs are significantly changed compared with those of pristine ones. As a result, a number of interesting transport phenomena such as air-stable n- and p-type behaviour, p-n junction characteristic, and photoinduced electron transfer are observed. Finally, the creation of an emerging SWNTs-based nanobioelectronics system is challenged. Specifically, the bottom-up electric-field-assisted reactive ion etching is proposed to control the chirality of SWNTs, unexplored SWNT properties of magnetism and superconductivity are aimed at being pioneered, and innovative biomedical-nanoengineering with encapsulated SWNTs of higher-order structure are expected to be developed by applying advanced gas-liquid interfacial plasmas.

  2. Plasma soluble prion protein, a potential biomarker for sport-related concussions: a pilot study.

    Science.gov (United States)

    Pham, Nam; Akonasu, Hungbo; Shishkin, Rhonda; Taghibiglou, Changiz

    2015-01-01

    Sport-related mild traumatic brain injury (mTBI) or concussion is a significant health concern to athletes with potential long-term consequences. The diagnosis of sport concussion and return to sport decision making is one of the greatest challenges facing health care clinicians working in sports. Blood biomarkers have recently demonstrated their potential in assisting the detection of brain injury particularly, in those cases with no obvious physical injury. We have recently discovered plasma soluble cellular prion protein (PrP(C)) as a potential reliable biomarker for blast induced TBI (bTBI) in a rodent animal model. In order to explore the application of this novel TBI biomarker to sport-related concussion, we conducted a pilot study at the University of Saskatchewan (U of S) by recruiting athlete and non-athlete 18 to 30 year-old students. Using a modified quantitative ELISA method, we first established normal values for the plasma soluble PrP(C) in male and female students. The measured plasma soluble PrP(C) in confirmed concussion cases demonstrated a significant elevation of this analyte in post-concussion samples. Data collected from our pilot study indicates that the plasma soluble PrP(C) is a potential biomarker for sport-related concussion, which may be further developed into a clinical diagnostic tool to assist clinicians in the assessment of sport concussion and return-to-play decision making.

  3. Experimental Study on the Plasma Purification for Diesel Engine Exhaust Gas

    Science.gov (United States)

    Chen, Jing; Zu, Kan; Wang, Mei

    2018-02-01

    It is known that the use of ternary catalysis is capable of significantly reducing the emission of pollutants from petrol vehicles. However, the disadvantages such as the temperature and other limitations make it unsuitable for diesel engines. The plasma-assisted catalyst technology has been applied in dealing with the diesel exhaust in the experiment in order to do further research on the effects of plasma in exhaust processing. The paper not only includes the experimental observation on the change of particle concentration after the operation of purification device, but also builds the kinetic model of chemical reactions to simulate the reactions of nitrogen oxides in plasma through using the software of Matlab, then compares the calculation results with experimental samples and finally gets some useful conclusions in practice.

  4. Isothermal (vapor + liquid) equilibria and excess enthalpy data of {1-hexene + methyl butyl ether (MBE)} and {1-hexene + methyl tert-butyl ether (MTBE)} binary systems at several temperatures

    International Nuclear Information System (INIS)

    Hani, Rachida; Solimando, Roland; Negadi, Latifa; Jose, Jacques; Ait Kaci, Ahmed

    2012-01-01

    Highlights: ► Vapor pressures of (1-hexene + methyl butyl ether) or (1-hexene + methyl tert-butyl ether) are reported between (263 and 363) K. ► The two mixtures exhibit positive G E . ► Additionally, molar excess enthalpies, H E , for the two binary systems have been measured at 303.15. - Abstract: The vapor pressures of {1-hexene + methyl butyl ether (MBE)} and {1-hexene + methyl tert-butyl ether (MTBE)} binary mixtures and of the three pure components were measured by means of a static device at temperatures between (263 and 333) K. The data were correlated with the Antoine equation. From these data, excess Gibbs functions were calculated for several constant temperatures and fitted to a third-order Redlich–Kister equation using the Barker’s method. Additionally, molar excess enthalpies, H E , for the two binary systems have been measured at 303.15 K using an isothermal flow calorimeter.

  5. Computer assisted treatments for image pattern data of laser plasma experiments

    International Nuclear Information System (INIS)

    Yaoita, Akira; Matsushima, Isao

    1987-01-01

    An image data processing system for laser-plasma experiments has been constructed. These image data are two dimensional images taken by X-ray, UV, infrared and visible light television cameras and also taken by streak cameras. They are digitized by frame memories. The digitized image data are stored in disk memories with the aid of a microcomputer. The data are processed by a host computer and stored in the files of the host computer and on magnetic tapes. In this paper, the over view of the image data processing system and some software for data handling in the host computer are reported. (author)

  6. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  7. ECH pre-ionization and assisted startup in the fully superconducting KSTAR tokamak using second harmonic

    International Nuclear Information System (INIS)

    Bae, Y.S.; Joung, M.; Kim, J.H.; Hahn, S.H.; Yoon, S.W.; Yang, H.L.; Kim, W.C.; Oh, Y.K.; England, A.C.; Bak, J.S.; Jeong, J.H.; Park, S.I.; Namkung, W.; Cho, M.H.; Jackson, G.L.

    2009-01-01

    This letter reports on the successful demonstration of the second harmonic electron cyclotron heating (ECH)-assisted startup in the first plasma experiments recently completed in the fully superconducting Korea Superconducting Tokamak Advanced Research (KSTAR) device whose major and minor radii are 1.8 m and 0.5 m, respectively. For the second harmonic ECH-assisted startup, an 84 GHz EC wave at 0.35 MW was launched before the onset of the toroidal electric field of the Ohmic system. And it was observed that this was sufficient to achieve breakdown in the ECH pre-ionization phase, allow burn-through and sustain the plasma during the current ramp with a low loop voltage of 2.0 V and a corresponding toroidal electric field of 0.24 V m -1 at the innermost vacuum vessel wall (R = 1.3 m). This is a lower value than 0.3 Vm -1 which is the maximum electric field in ITER. Due to the limited volt-seconds and the loop voltage of the Ohmic power system, the extended pulse duration of the ECH power up to 180 ms allowed the plasma current to rise up to more than 100 kA with a ramp-up rate of 0.8 MA s -1 . (letter)

  8. Some non-LTE diagnostic methods for hydrogen plasmas

    International Nuclear Information System (INIS)

    Eddy, T.L.; Cho, K.Y.

    1986-01-01

    This paper shows that if electric and magnetic fields are not negligible, then the 2-T model assumed by many non-LTE plasma diagnostic techniques may lead to serious errors. Significant difference between T e and T ex have been shown to exist with electric field strengths as low as ∼10 V/cm. Multithermal equilibrium (MTE) calculations show significant deviations in line emission coefficients when T e ≠ T ex compared to equivalent T e ≠ T q . A quasi non-dimentional MTE continuum relation is present to assist in diagnostics. Normalized line emission coefficients verses N e are used to indicate the type and extent of non-LTE. The MTE state diagram for hydrogen is used to show why non-LTE plasmas often appear to be in LTE based on N e determinations

  9. EDITORIAL: Invited papers from the 15th International Congress on Plasma Physics combined with the 13th Latin American Workshop on Plasma Physics Invited papers from the 15th International Congress on Plasma Physics combined with the 13th Latin American Workshop on Plasma Physics

    Science.gov (United States)

    Soto, Leopoldo

    2011-07-01

    , amongst others, the following topics: fundamentals of plasma physics, fusion plasmas, plasmas in astrophysics and space physics, plasma applications and technologies, complex plasmas, high energy density plasmas, quantum plasmas and laser-plasma interaction. A total of 180 delegates from 34 different countries took part in ICPP-LAWPP-2010, and 60 delegates received financial assistance from the Local Organizing Committee, thanks to the support granted by the International Union for Pure and Applied Physics (IUPAP) and by CCHEN. The ICPP-LAWPP-2010 Program was established by the following Program Committee: • Carlos Alejaldre, ITER • Maria Virginia Alves, Brazil • Julio Herrera, Mexico • Günter Mank, IAEA • George Morales, USA • Padma Kant Shukla, Germany • Guido Van Oost, Belgium • Leopoldo Soto, Chile (Chairman) This Program Committee was formed of selected members from the International Advisory Committee of the ICPP and from the International Advisory Committee of the LAWPP (http://www.icpp-lawpp-2010.cl/page/committees.php). In particular, plenary lectures and invited topical lectures were selected by the Program Committee from a list of nominated lectures presented by the International Advisory Committees of both ICPP and LAWPP. Also, the classification of oral and poster presentations was established by the Program Committee. The Congress included 15 invited plenary talks, 33 invited topical talks, 45 oral contributions, and 160 poster contributions. Most of the plenary and topical lectures are published in this special issue of Plasma Physics and Controlled Fusion. The papers were refereed according to the usual standards of the journal. Prior to ICPP-LAWPP 2010, an important activity usually associated with the Latin American Workshop on Plasma Physics took place. This activity was the LAWPP School on Plasma Physics, which was open to participants from all over the world, providing basic training to students and young researchers. The School was

  10. Enhancement of photovoltaic response in multilayer MoS2 induced by plasma doping.

    Science.gov (United States)

    Wi, Sungjin; Kim, Hyunsoo; Chen, Mikai; Nam, Hongsuk; Guo, L Jay; Meyhofer, Edgar; Liang, Xiaogan

    2014-05-27

    Layered transition-metal dichalcogenides hold promise for making ultrathin-film photovoltaic devices with a combination of excellent photovoltaic performance, superior flexibility, long lifetime, and low manufacturing cost. Engineering the proper band structures of such layered materials is essential to realize such potential. Here, we present a plasma-assisted doping approach for significantly improving the photovoltaic response in multilayer MoS2. In this work, we fabricated and characterized photovoltaic devices with a vertically stacked indium tin oxide electrode/multilayer MoS2/metal electrode structure. Utilizing a plasma-induced p-doping approach, we are able to form p-n junctions in MoS2 layers that facilitate the collection of photogenerated carriers, enhance the photovoltages, and decrease reverse dark currents. Using plasma-assisted doping processes, we have demonstrated MoS2-based photovoltaic devices exhibiting very high short-circuit photocurrent density values up to 20.9 mA/cm(2) and reasonably good power-conversion efficiencies up to 2.8% under AM1.5G illumination, as well as high external quantum efficiencies. We believe that this work provides important scientific insights for leveraging the optoelectronic properties of emerging atomically layered two-dimensional materials for photovoltaic and other optoelectronic applications.

  11. Inductive current startup in large tokamaks with expanding minor radius and RF assist

    International Nuclear Information System (INIS)

    Borowski, S.K.

    1983-01-01

    Auxiliary RF heating of electrons before and during the current rise phase of a large tokamak, such as the Fusion Engineering Device, is examined as a means of reducing both the initiation loop voltage and resistive flux expenditure during startup. Prior to current initiation, 1 to 2 MW of electron cyclotron resonance heating power at approx.90 GHz is used to create a small volume of high conductivity plasma (T/sub e/ approx. = 100 eV, n/sub e/ approx. = 10 19 m -3 ) near the upper hybrid resonance (UHR) region. This plasma conditioning permits a small radius (a 0 approx.< 0.4 m) current channel to be established with a relatively low initial loop voltage (approx.< 25 V as opposed to approx.100 V without RF assist). During the subsequent plasma expansion and current ramp phase, additional RF power is introduced to reduce volt-second consumption due to plasma resistance. To study the preheating phase, a near classical particle and energy transport model is developed to estimate the electron heating efficiency in a currentless toroidal plasma. The model assumes that preferential electron heating at the UHR leads to the formation of an ambipolar sheath potential between the neutral plasma and the conducting vacuum vessel and limiter

  12. Electron cyclotron waves, transport and instabilities in hot plasmas

    International Nuclear Information System (INIS)

    Westerhof, E.

    1987-01-01

    A number of topics relevant to the magnetic confinement approach to the thermonuclear fusion is addressed. The absorption and emission of electron cyclotron waves in a thermal plasma with a small population of supra-thermal, streaming electrons is examined and the properties of electron cyclotron waves in a plasma with a pure loss-cone distribution are studied. A report is given on the 1-D transport code simulations that were performed to assist the interpretation of the electron cyclotron heating experiments on the TFR tokamak. Transport code simulations of sawteeth discharges in the T-10 tokamak are discussed in order to compare the predictions of different models for the sawtooth oscillations with the experimental findings. 149 refs.; 69 figs.; 7 tabs

  13. Plasma concentration of ionized calcium in healthy iguanas.

    Science.gov (United States)

    Dennis, P M; Bennett, R A; Harr, K E; Lock, B A

    2001-08-01

    To measure plasma concentration of ionized calcium in healthy green iguanas. Prospective study. 9 juvenile and 21 (10 male, 11 female) adult iguanas. Blood samples were obtained from each iguana, and plasma calcium, glucose, phosphorus, uric acid, total protein, albumin, globulin, potassium, and ionized calcium concentrations, aspartate transaminase (AST) activity, and pH were measured. Heparinized blood was used for measurement of ionized calcium concentration and blood pH. A CBC was also performed to assess the health of the iguanas. Significant differences were not detected among the 3 groups (juveniles, males, and females) with regard to ionized calcium concentration. Mean ionized calcium concentration measured in blood was 1.47 +/- 0.105 mmol/L. Significant differences were detected between juveniles and adults for values of phosphorus, glucose, total protein, albumin, globulin, and AST activity. Ionized calcium concentration provides a clinical measurement of the physiologically active calcium in circulation. Evaluation of physiologically active calcium in animals with suspected calcium imbalance that have total plasma calcium concentrations within reference range or in gravid animals with considerably increased total plasma calcium concentrations is vital for determining a therapeutic plan. Accurate evaluation of calcium status will provide assistance in the diagnosis of renal disease and seizures and allow for better evaluation of the health status of gravid female iguanas.

  14. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  15. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  16. An evaluation of microwave-assisted fusion and microwave-assisted acid digestion methods for determining elemental impurities in carbon nanostructures using inductively coupled plasma optical emission spectrometry

    KAUST Repository

    Patole, Shashikant P.; Simõ es, Filipa; Yapici, Tahir; Warsama, Bashir H.; Anjum, Dalaver H.; Da Costa, Pedro M. F. J.

    2015-01-01

    A method for the complete digestion of carbon nanostructures has been demonstrated. Photographs (on the left side) show zirconium crucibles containing SWCNTs with flux of Na2CO3 and K2CO3, before and after microwave fusion; (on the right side) the appearance of the final solutions containing dissolved samples, from microwave-assisted fusion and microwave-assisted acid digestion. These solutions were used for determining the trace elemental impurities by ICP‒OES.

  17. A new ultrafast and high-throughput mass spectrometric approach for the therapeutic drug monitoring of the multi-targeted anti-folate pemetrexed in plasma from lung cancer patients

    NARCIS (Netherlands)

    R.J.W. Meesters (Roland); R. Cornelissen (Robin); R.J. van Klaveren (Rob); R. de Jonge (Robert); E. den Boer (Ethan); J. Lindemans (Jan); T.M. Luider (Theo)

    2010-01-01

    textabstractAn analytical assay has been developed and validated for ultrafast and high-throughput mass spectrometric determination of pemetrexed concentrations in plasma using matrix assisted laser desorption/ionization-triple quadrupole-tandem mass spectrometry. Patient plasma samples spiked with

  18. Plasma soluble prion protein, a potential biomarker for sport-related concussions: a pilot study.

    Directory of Open Access Journals (Sweden)

    Nam Pham

    Full Text Available Sport-related mild traumatic brain injury (mTBI or concussion is a significant health concern to athletes with potential long-term consequences. The diagnosis of sport concussion and return to sport decision making is one of the greatest challenges facing health care clinicians working in sports. Blood biomarkers have recently demonstrated their potential in assisting the detection of brain injury particularly, in those cases with no obvious physical injury. We have recently discovered plasma soluble cellular prion protein (PrP(C as a potential reliable biomarker for blast induced TBI (bTBI in a rodent animal model. In order to explore the application of this novel TBI biomarker to sport-related concussion, we conducted a pilot study at the University of Saskatchewan (U of S by recruiting athlete and non-athlete 18 to 30 year-old students. Using a modified quantitative ELISA method, we first established normal values for the plasma soluble PrP(C in male and female students. The measured plasma soluble PrP(C in confirmed concussion cases demonstrated a significant elevation of this analyte in post-concussion samples. Data collected from our pilot study indicates that the plasma soluble PrP(C is a potential biomarker for sport-related concussion, which may be further developed into a clinical diagnostic tool to assist clinicians in the assessment of sport concussion and return-to-play decision making.

  19. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.; Yonkee, Benjamin P.; Wu, Feng; Saifaddin, Burhan K.; Cohen, Daniel A.; DenBaars, Steve P.; Nakamura, Shuji; Speck, James S.

    2015-01-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  20. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.

    2015-09-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  1. Correlations and agreement between delta-9-tetrahydrocannabinol (THC) in blood plasma and Timeline Follow-Back (TLFB)-assisted self-reported use of cannabis of patients with cannabis use disorder and psychotic illness attending the CapOpus randomized clinical trial

    DEFF Research Database (Denmark)

    Hjorthøj, Carsten Rygaard; Fohlmann, Allan; Larsen, Anne-Mette

    2012-01-01

    -performance liquid chromatography with tandem mass spectrometry detection. Self-report of cannabis-use last month by TLFB. Pearson's r, sensitivity and specificity calculated as measures of correlation or agreement. Findings Correlations were strong; r = 0.75 for number of days and r = 0.83 for number of standard......Aims To assess correlations and agreement between timeline follow-back (TLFB)-assisted self-report and blood samples for cannabis use. Design Secondary analysis of a randomized trial. Setting Copenhagen, Denmark. Participants One hundred and three patients from the CapOpus trial with cannabis use.......96. Conclusions Timeline follow-back (TLFB)-assisted self-report of cannabis use correlates highly with plasma-delta-9-tetrahydrocannabinol in patients with comorbid cannabis use disorder and psychosis. Sensitivity and specificity of timeline follow-back appear to be optimized with 19 days as the cut-off point...

  2. One-Step Fast-Synthesized Foamlike Amorphous Co(OH)2 Flexible Film on Ti Foil by Plasma-Assisted Electrolytic Deposition as a Binder-Free Anode of a High-Capacity Lithium-Ion Battery.

    Science.gov (United States)

    Li, Tao; Nie, Xueyuan

    2018-05-23

    This research prepared an amorphous Co(OH) 2 flexible film on Ti foil using plasma-assisted electrolytic deposition within 3.5 min. Amorphous Co(OH) 2 structure was determined by X-ray diffraction and X-ray photoelectron spectroscopy. Its areal capacity testing as the binder and adhesive-free anode of a lithium-ion battery shows that the cycling capacity can reach 2000 μAh/cm 2 and remain at 930 μAh/cm 2 after 50 charge-discharge cycles, which benefits from the emerging Co(OH) 2 active material and amorphous foamlike structure. The research introduced a new method to synthesize amorphous Co(OH) 2 as the anode in a fast-manufactured low-cost lithium-ion battery.

  3. Inhaled Milrinone After Left Ventricular Assist Device Implantation.

    Science.gov (United States)

    Haglund, Nicholas A; Burdorf, Adam; Jones, Tara; Shostrom, Valerie; Um, John; Ryan, Timothy; Shillcutt, Sasha; Fischer, Patricia; Cox, Zachary L; Raichlin, Eugenia; Anderson, Daniel R; Lowes, Brian D; Dumitru, Ioana

    2015-10-01

    Proven strategies to reduce right ventricular (RV) dysfunction after continuous-flow left ventricular assist device (CF-LVAD) implantation are lacking. We sought to evaluate the tolerability, feasibility, efficacy, and pharmacokinetics of inhaled milrinone (iMil) delivery after CF-LVAD implantation. We prospectively evaluated fixed-dose nebulized iMil delivered into a ventilator circuit for 24 hours in 10 postoperative CF-LVAD (Heartmate-II) patients. Tolerability (arrhythmias, hypotension, and hypersensitivity reaction), efficacy (hemodynamics), pharmacokinetics (plasma milrinone levels), and cost data were collected.Mean age was 56 ± 9 years, 90% were male, and mean INTERMACS profile was 2.5 ± 0.8. No new atrial arrhythmia events occurred, although 3 (30%) ventricular tachycardia (1 nonsustained, 2 sustained) events occurred. Sustained hypotension, drug hypersensitivity, death, or need for right ventricular assist device were not observed. Invasive mean pulmonary arterial pressure from baseline to during iMil therapy was improved (P = .017). Mean plasma milrinone levels (ng/mL) at baseline, and 1, 4, 8, 12, and 24 hours were 74.2 ± 35.4, 111.3 ± 70.9, 135.9 ± 41.5, 205.0 ± 86.7, 176.8 ± 61.3 187.6 ± 105.5, respectively. Reduced institutional cost was observed when iMil was compared with nitric oxide therapy over 24 hours ($165.29 vs $1,944.00, respectively). iMil delivery after CF-LVAD implantation was well tolerated, feasible, and demonstrated favorable hemodynamic, pharmacokinetic, and cost profiles. iMil therapy warrants further study in larger clinical trials. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Atmospheric Pressure Plasma Jet as a Dry Alternative to Inkjet Printing in Flexible Electronics

    Science.gov (United States)

    Gandhiraman, Ram Prasad; Lopez, Arlene; Koehne, Jessica; Meyyappan, M.

    2016-01-01

    We have developed an atmospheric pressure plasma jet printing system that works at room temperature to 50 deg C unlike conventional aerosol assisted techniques which require a high temperature sintering step to obtain desired thin films. Multiple jets can be configured to increase throughput or to deposit multiple materials, and the jet(s) can be moved across large areas using a x-y stage. The plasma jet has been used to deposit carbon nanotubes, graphene, silver nanowires, copper nanoparticles and other materials on substrates such as paper, cotton, plastic and thin metal foils.

  5. Ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction and derivatization of sulfonamides in river water, honey, milk, and animal plasma.

    Science.gov (United States)

    Xu, Xu; Su, Rui; Zhao, Xin; Liu, Zhuang; Zhang, Yupu; Li, Dan; Li, Xueyuan; Zhang, Hanqi; Wang, Ziming

    2011-11-30

    The ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction (IL-based MADLLME) and derivatization was applied for the pretreatment of six sulfonamides (SAs) prior to the determination by high-performance liquid chromatography (HPLC). By adding methanol (disperser), fluorescamine solution (derivatization reagent) and ionic liquid (extraction solvent) into sample, extraction, derivatization, and preconcentration were continuously performed. Several experimental parameters, such as the type and volume of extraction solvent, the type and volume of disperser, amount of derivatization reagent, microwave power, microwave irradiation time, pH of sample solution, and ionic strength were investigated and optimized. When the microwave power was 240 W, the analytes could be derivatized and extracted simultaneously within 90 s. The proposed method was applied to the analysis of river water, honey, milk, and pig plasma samples, and the recoveries of analytes obtained were in the range of 95.0-110.8, 95.4-106.3, 95.0-108.3, and 95.7-107.7, respectively. The relative standard deviations varied between 1.5% and 7.3% (n=5). The results showed that the proposed method was a rapid, convenient and feasible method for the determination of SAs in liquid samples. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Patterned Well-Aligned ZnO Nanorods Assisted with Polystyrene Monolayer by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Hyun Ji Choi

    2016-08-01

    Full Text Available Zinc oxide is known as a promising material for sensing devices due to its piezoelectric properties. In particular, the alignment of ZnO nanostructures into ordered nanoarrays is expected to improve the device sensitivity due to the large surface area which can be utilized to capture significant quantities of gas particles. However, ZnO nanorods are difficult to grow on the quartz substrate with well-ordered shape. So, we investigated nanostructures by adjusting the interval distance of the arranged ZnO nanorods using polystyrene (PS spheres of various sizes (800 nm, 1300 nm and 1600 nm. In addition, oxygen plasma treatment was used to specify the nucleation site of round, patterned ZnO nanorod growth. Therefore, ZnO nanorods were grown on a quartz substrate with a patterned polystyrene monolayer by the hydrothermal method after oxygen plasma treatment. The obtained ZnO nanostructures were characterized by X-ray diffraction (XRD and field-emission scanning electron microscope (FE-SEM.

  7. Electron plasma waves and plasma resonances

    International Nuclear Information System (INIS)

    Franklin, R N; Braithwaite, N St J

    2009-01-01

    In 1929 Tonks and Langmuir predicted of the existence of electron plasma waves in an infinite, uniform plasma. The more realistic laboratory environment of non-uniform and bounded plasmas frustrated early experiments. Meanwhile Landau predicted that electron plasma waves in a uniform collisionless plasma would appear to be damped. Subsequent experimental work verified this and revealed the curious phenomenon of plasma wave echoes. Electron plasma wave theory, extended to finite plasmas, has been confirmed by various experiments. Nonlinear phenomena, such as particle trapping, emerge at large amplitude. The use of electron plasma waves to determine electron density and electron temperature has not proved as convenient as other methods.

  8. Plasma jet printing of electronic materials on flexible and nonconformal objects.

    Science.gov (United States)

    Gandhiraman, Ram P; Jayan, Vivek; Han, Jin-Woo; Chen, Bin; Koehne, Jessica E; Meyyappan, M

    2014-12-10

    We present a novel approach for the room-temperature fabrication of conductive traces and their subsequent site-selective dielectric encapsulation for use in flexible electronics. We have developed an aerosol-assisted atmospheric pressure plasma-based deposition process for efficiently depositing materials on flexible substrates. Silver nanowire conductive traces and silicon dioxide dielectric coatings for encapsulation were deposited using this approach as a demonstration. The paper substrate with silver nanowires exhibited a very low change in resistance upon 50 cycles of systematic deformation, exhibiting high mechanical flexibility. The applicability of this process to print conductive traces on nonconformal 3D objects was also demonstrated through deposition on a 3D-printed thermoplastic object, indicating the potential to combine plasma printing with 3D printing technology. The role of plasma here includes activation of the material present in the aerosol for deposition, increasing the deposition rate, and plasma polymerization in the case of inorganic coatings. The demonstration here establishes a low-cost, high-throughput, and facile process for printing electronic components on nonconventional platforms.

  9. Spark Plasma Sintering (SPS)-Assisted Synthesis and Thermoelectric Characterization of Magnéli Phase V6O11

    KAUST Repository

    Joos, Markus

    2018-01-11

    The Magnéli phase V6O11 was synthesized in gram amounts from a powder mixture of V6O11/V7O13 and vanadium metal, using the spark plasma sintering (SPS) technique. Its structure was determined with synchrotron X-ray powder diffraction data from a phase-pure sample synthesized by conventional solid-state synthesis. A special feature of Magnéli-type oxides is a combination of crystallographic shear and intrinsic disorder that leads to relatively low lattice thermal conductivities. SPS prepared V6O11 has a relatively low thermal conductivity of κ = 2.72 ± 0.06 W (m K)-1 while being a n-type conductor with an electrical conductivity of σ = 0.039 ± 0.005 (μΩ m)-1, a Seebeck coefficient of α = -(35 ± 2) μV K-1, which leads to a power factor of PF = 4.9 ± 0.8 × 10-5W (m K2)-1 at ∼600 K. Advances in the application of Magnéli phases are mostly hindered by synthetic and processing challenges, especially when metastable and nanostructured materials such as V6O11 are involved. This study gives insight into the complications of SPS-assisted synthesis of complex oxide materials, provides new information about the thermal and electrical properties of vanadium oxides at high temperatures, and supports the concept of reducing the thermal conductivity of materials with structural building blocks such as crystallographic shear (CS) planes.

  10. Spark Plasma Sintering (SPS)-Assisted Synthesis and Thermoelectric Characterization of Magnéli Phase V6O11

    KAUST Repository

    Joos, Markus; Cerretti, Giacomo; Veremchuk, Igor; Hofmann, Patrick; Frerichs, Hajo; Anjum, Dalaver H.; Reich, Tobias; Lieberwirth, Ingo; Panthö fer, Martin; Zeier, Wolfgang G.; Tremel, Wolfgang

    2018-01-01

    The Magnéli phase V6O11 was synthesized in gram amounts from a powder mixture of V6O11/V7O13 and vanadium metal, using the spark plasma sintering (SPS) technique. Its structure was determined with synchrotron X-ray powder diffraction data from a phase-pure sample synthesized by conventional solid-state synthesis. A special feature of Magnéli-type oxides is a combination of crystallographic shear and intrinsic disorder that leads to relatively low lattice thermal conductivities. SPS prepared V6O11 has a relatively low thermal conductivity of κ = 2.72 ± 0.06 W (m K)-1 while being a n-type conductor with an electrical conductivity of σ = 0.039 ± 0.005 (μΩ m)-1, a Seebeck coefficient of α = -(35 ± 2) μV K-1, which leads to a power factor of PF = 4.9 ± 0.8 × 10-5W (m K2)-1 at ∼600 K. Advances in the application of Magnéli phases are mostly hindered by synthetic and processing challenges, especially when metastable and nanostructured materials such as V6O11 are involved. This study gives insight into the complications of SPS-assisted synthesis of complex oxide materials, provides new information about the thermal and electrical properties of vanadium oxides at high temperatures, and supports the concept of reducing the thermal conductivity of materials with structural building blocks such as crystallographic shear (CS) planes.

  11. Effect of plasma power on reduction of printable graphene oxide thin films on flexible substrates

    Science.gov (United States)

    Banerjee, Indrani; Mahapatra, Santosh K.; Pal, Chandana; Sharma, Ashwani K.; Ray, Asim K.

    2018-05-01

    Room temperature hydrogen plasma treatment on solution processed 300 nm graphene oxide (GO) films on flexible indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates has been performed by varying the plasma power between 20 W and 60 W at a constant exposure time of 30 min with a view to examining the effect of plasma power on reduction of GO. X-ray powder diffraction (XRD) and Raman spectroscopic studies show that high energy hydrogen species generated in the plasma assist fast exfoliation of the oxygenated functional groups present in the GO samples. Significant decrease in the optical band gap is observed from 4.1 eV for untreated samples to 0.5 eV for 60 W plasma treated samples. The conductivity of the films treated with 60 W plasma power is estimated to be six orders of magnitude greater than untreated GO films and this enhancement of conductivity on plasma reduction has been interpreted in terms of UV-visible absorption spectra and density functional based first principle computational calculations. Plasma reduction of GO/ITO/PET structures can be used for efficiently tuning the electrical and optical properties of reduced graphene oxide (rGO) for flexible electronics applications.

  12. Characterization of O-glycosylated precursors of insulin-like growth factor II by matrix-assisted laser desorption/ionization mass spectrometry

    NARCIS (Netherlands)

    Jespersen, S.; Koedam, J.A.; Hoogerbrugge, C.M.; Tjaden, U.R.; Greef, J. van der; Brande, J.L. van den

    1996-01-01

    High molecular weight precursors of insulin-like growth factor II (IGF-II) were isolated from Cohn fraction IV of human plasma by ultrafiltration, affinity chromatography and reversed-phase high-performance liquid chromatography. Molecular weight determination by matrix-assisted laser

  13. Cryotrapping assisted mass spectrometry for the analysis of complex gas mixtures

    International Nuclear Information System (INIS)

    Ferreira, Jose A.; Tabares, Francisco L.

    2007-01-01

    A simple method is described for the unambiguous identification of the individual components in a gas mixture showing strong overlapping of their mass spectrometric cracking patterns. The method, herein referred to as cryotrapping assisted mass spectrometry, takes advantage of the different vapor pressure values of the individual components at low temperature (78 K for liquid nitrogen traps), and thus of the different depletion efficiencies and outgassing patterns during the fast cooling and slow warming up of the trap, respectively. Examples of the use of this technique for gas mixtures with application to plasma enhanced chemical vapor deposition of carbon and carbon-nitrogen hard films are shown. Detection of traces of specific C 3 hydrocarbons ( 2 containing deposition plasmas are addressed as representative examples of specific applications of the technique

  14. Schottky barrier height of Ni to β-(AlxGa1-x)2O3 with different compositions grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Oshima, Yuichi; Wu, Feng; Speck, James S.

    2017-03-01

    Coherent β-(AlxGa1-x)2O3 films (x = 0, 0.038, 0.084, 0.164) were grown successfully on a Sn-doped β-Ga2O3 (010) substrate using plasma-assisted molecular beam epitaxy. Atom probe tomography, transmission electron microscopy, and high resolution x-ray diffraction were used to verify the alloy composition and high quality of the films. Schottky diodes were then fabricated using Ni as the Schottky metal. Capacitance-voltage measurements revealed a very low (current-voltage (I-V) measurements performed at temperatures varying from 300 K to 500 K on the Schottky diodes. These measurements revealed that the apparent Schottky barrier height could have similar values for different compositions of β-(AlxGa1-x)2O3. We believe this is attributed to the lateral fluctuation in the alloy’s composition. This results in a lateral variation in the barrier height. Therefore, the average Schottky barrier height extracted from I-V measurements could be similar for β-(AlxGa1-x)2O3 films with different compositions.

  15. A state-of-the-art plasma polymerization coater for ICF targets

    International Nuclear Information System (INIS)

    Devine, G.; Letts, S.; Cook, R.; Brusasco, R.

    1992-01-01

    Increasingly stringent demands on the characteristics of plasma polymer coatings for use in Inertial Confinement Fusion (ICF) experiments have created a need for a plasma Polymerization coating system with the capability of producing a wide variety of different types of coatings as well as one that can be used to do fundamental investigations of the process conditions leading to desirable films. We report on the construction and use of just such a coating instrument. We have recognized the usefulness of computer assisted process monitoring and control, currently being developed by the semiconductor industry, in designing our own, State-of-the-art plasma polymerization device. Our system is fully computer interfaced to provide the user with the capability of collecting system parameter data over the length of the deposition experiment. The system is also designed to allow for any degree of computer control of the deposition process in the future

  16. The fabrication of a back-gated high electron mobility transistor - a novel approach using MBE regrowth on an in situ ion beam patterned epilayer

    International Nuclear Information System (INIS)

    Linfield, E.H.; Jones, G.A.C.; Ritchie, D.A.; Thompson, J.H.

    1993-01-01

    A new technique for the fabrication of GaAs/AlGaAs back-gated high electron mobility transistors (HEMTs) is described in this paper. First we demonstrate that a dose of > 2 x 10 13 cm -2 Ga ions at an energy of 10 keV can be used to damage a 67 nm n + GaAs layer, rendering the implanted regions non-conducting. After implantation the epilayer has a 4 K sheet resistivity which is increased by a factor of ∼ 10 7 when compared with the original unimplanted value. This isolation procedure is then used to form a patterned back-gated HEMT by MBE regrowth on top of an in situ ion-implanted n + GaAs layer. The resulting structure is designed so that the back gate is rendered highly resistive under the regions where the ohmic contacts to the two-dimensional electron gas (2DEG) are formed, thus making shallow ohmic contacts unnecessary. The results obtained characteristic of a high-quality 2DEG with mobility limited by remote ionized impurity scattering. This technique can therefore be used as a means of controlling the 2DEG carrier concentration, whilst leaving the surface of the HEMT structure free for conventional lithographic processing. (Author)

  17. Mind, Brain and Education as a Framework for Curricular Reform

    OpenAIRE

    Larrison, Abigail L.

    2013-01-01

    A growing collaboration between psychologists, neuroscientists, and educators has culminated in the emergence of a new academic discipline known as Mind, Brain and Education (MBE). MBE differs from previous efforts, such as educational neuroscience, in that it is focused on the problem of how we might bring findings from the learning sciences into the classroom. As such MBE is placed squarely in the classroom, and works through engaging teachers as primary participants. Hence, MBE must work t...

  18. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  19. Investigation of plasma–surface interaction at plasma beam facilities

    Energy Technology Data Exchange (ETDEWEB)

    Kurnaev, V., E-mail: kurnaev@plasma.mephi.ru [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Vizgalov, I.; Gutorov, K. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Tulenbergenov, T.; Sokolov, I.; Kolodeshnikov, A.; Ignashev, V.; Zuev, V.; Bogomolova, I. [Institute of Atomic Energy, National Nuclear Center the Republic of Kazakhstan, Street Krasnoarmejsky, 10, 071100 Kurchatov (Kazakhstan); Klimov, N. [SRC RF TRINITI, ul. Pushkovykh, vladenie 12, Troitsk, 142190 Moscow (Russian Federation)

    2015-08-15

    The new Plasma Beam Facility (PBF) has been put into operation for assistance in testing of plasma faced components at Material Science Kazakhstan Tokamak (KTM). PBF includes a powerful electron gun (up to 30 kV, 1 A) and a high vacuum chamber with longitudinal magnetic field coils (up to 0.2 T). The regime of high vacuum electron beam transportation is used for thermal tests with power density at the target surface up to 10 GW/m{sup 2}. The beam plasma discharge (BPD) regime with a gas-puff is used for generation of intensive ion fluxes up to 3 ⋅ 10{sup 22} m{sup −2} s{sup −1}. Initial tests of the KTM PBF’s capabilities were carried out: various discharge regimes, carbon deposits cleaning, simultaneous thermal and ion impacts on radiation cooled refractory targets. With a water-cooled target the KTM PBF could be used for high heat flux tests of materials (validated by the experiment with W mock-up at the PR-2 PBF)

  20. Characterization of a Very Small Plasma Focus in the Limit of Low Energy (50 J)

    International Nuclear Information System (INIS)

    Silva, P.; Sotol, L.; Moreno, J.; Sylvester, G.; Zambra, M.; Altamirano, L.; Bruzzone, H.; Clausse, A.; Moreno, C.

    2001-01-01

    A very small plasma focus device has been designed and constructed. The plasma focus operates in the limit of low energy (160 nF capacitor bank, 65 nH, 250-40 kV, ∼32-128 J). The design of the electrode was assisted by a simple model of a Mather plasma focus. The calculations indicate that yields of 10 4 -10 5 neutrons per shot are expected when the discharge is operated with deuterium. A single frame image converter camera (5 ns exposure) was used to obtain plasma images in the visible range. The umbrella-like current sheath running over the end of the coaxial electrodes and the pinch after the radial collapse can be clearly observed in the photographs. The observations are similar to the results obtained with devices operating at energies several order of magnitude higher. (author)