Long-time integration methods for mesoscopic models of pattern-forming systems
International Nuclear Information System (INIS)
Abukhdeir, Nasser Mohieddin; Vlachos, Dionisios G.; Katsoulakis, Markos; Plexousakis, Michael
2011-01-01
Spectral methods for simulation of a mesoscopic diffusion model of surface pattern formation are evaluated for long simulation times. Backwards-differencing time-integration, coupled with an underlying Newton-Krylov nonlinear solver (SUNDIALS-CVODE), is found to substantially accelerate simulations, without the typical requirement of preconditioning. Quasi-equilibrium simulations of patterned phases predicted by the model are shown to agree well with linear stability analysis. Simulation results of the effect of repulsive particle-particle interactions on pattern relaxation time and short/long-range order are discussed.
Directory of Open Access Journals (Sweden)
Y. Yuliana
2011-07-01
Full Text Available The aim of an orthodontic treatment is to achieve aesthetic, dental health and the surrounding tissues, occlusal functional relationship, and stability. The success of an orthodontic treatment is influenced by many factors, such as diagnosis and treatment plan. In order to do a diagnosis and a treatment plan, medical record, clinical examination, radiographic examination, extra oral and intra oral photos, as well as study model analysis are needed. The purpose of this study was to evaluate the differences in dental arch form between level four polynomial and pentamorphic arch form and to determine which one is best suitable for normal occlusion sample. This analytic comparative study was conducted at Faculty of Dentistry Universitas Padjadjaran on 13 models by comparing the dental arch form using the level four polynomial method based on mathematical calculations, the pattern of the pentamorphic arch and mandibular normal occlusion as a control. The results obtained were tested using statistical analysis T student test. The results indicate a significant difference both in the form of level four polynomial method and pentamorphic arch form when compared with mandibular normal occlusion dental arch form. Level four polynomial fits better, compare to pentamorphic arch form.
Biomimicry of Palm Tree Leaves Form and Pattern on Building Form
Directory of Open Access Journals (Sweden)
Agus Salim N.A.
2014-01-01
Full Text Available This article is a study on biomimicry of palm tree towards a building form. It is to find a suitable form and pattern that can be applied to building shell to ease building maintenance operation beside to enhance the aesthetic value of a building architecture. The research has been carried out by observation and modeling on some various species of palm tree’s patterns and forms. The result expectation can be found at the end of this research by producing the best pattern of palm tree that can be adapted to building envelop as the whole form of a building.
Pattern recognition methods in air pollution control
Energy Technology Data Exchange (ETDEWEB)
Tauber, S
1978-01-01
The use of pattern recognition methods for predicting air pollution developments is discussed. Computer analysis of historical pollution data allows comparison in graphical form. An example of crisis prediction for carbon monoxide concentrations, using the pattern recognition method of analysis, is presented. Results of the analysis agreed well with actual CO conditions. (6 graphs, 4 references, 1 table)
International Nuclear Information System (INIS)
Mantese, J.V.; Catalan, A.B.; Sell, J.A.; Meyer, M.S.; Mance, A.M.
1990-01-01
This patent describes a method for forming patterned films of superconductive materials forming a solution from the neodecanoates of yttrium, barium and copper. The neodecanoates forming an oxide mixture exhibiting superconductive properties upon subsequent thermal decompositions wherein the oxide mixture is characterized by a ratio of yttrium:barium:copper of approximately 1:2:4, the solution comprising an organic solvent such as xylene; adding to the solution an appropriate dye, depositing a film of the solution having the dye onto a strontium titanate substrate; exposing selective regions of the film with an Argon laser emitting the wavelength of light, such that the exposed regions of the film become insoluble in the xylene; immersing the film into the xylene so that the soluble; unexposed regions of the film are removed from the substrate; heating the film to thermally decompose the neodecanoates into a film containing yttrium, barium and copper oxides; to promote recrystallization and grain growth of the metal oxides within the film and induce a change therein by which the film exhibits superconducting properties
Method of fabricating patterned crystal structures
Yu, Liyang
2016-12-15
A method of manufacturing a patterned crystal structure for includes depositing an amorphous material. The amorphous material is modified such that a first portion of the amorphous thin-film layer has a first height/volume and a second portion of the amorphous thin-film layer has a second height/volume greater than the first portion. The amorphous material is annealed to induce crystallization, wherein crystallization is induced in the second portion first due to the greater height/volume of the second portion relative to the first portion to form patterned crystal structures.
Spatiotemporal patterns formed by deformed adhesive in peeling
International Nuclear Information System (INIS)
Yamazaki, Yoshihiro; Toda, Akihiko
2007-01-01
Dynamical properties of peeling an adhesive tape are investigated experimentally as an analogy of sliding friction. An adhesive tape is peeled by pulling an elastic spring connected to the tape. Controlling its spring constant k and pulling speed V, peel force is measured and spatiotemporal patterns formed on the peeled tape by deformed adhesive are observed. It is found that there exist two kinds of adhesive state in peeling front. The emergence of multiple states is caused by the stability of a characteristic structure (tunnel structure) formed by deformed adhesive. Tunnel structures are distributed spatiotemporally on adhesive tape after peeling. Based on the spatiotemporal distribution, a morphology-dynamical phase diagram is constructed on k-V space and is divided into the four regions: (A) uniform pattern with tunnel structure, (B) uniform pattern without tunnel structure, (C) striped pattern with oscillatory peeling, and (D) spatiotemporally coexistent pattern
Single camera analyses in studying pattern forming dynamics of player interactions in team sports.
Duarte, Ricardo; Fernandes, Orlando; Folgado, Hugo; Araújo, Duarte
2013-01-01
A network of patterned interactions between players characterises team ball sports. Thus, interpersonal coordination patterns are an important topic in the study of performance in such sports. A very useful method has been the study of inter-individual interactions captured by a single camera filming an extended performance area. The appropriate collection of positional data allows investigating the pattern forming dynamics emerging in different performance sub-phases of team ball sports. Thi...
Effects Of Combinations Of Patternmaking Methods And Dress Forms On Garment Appearance
Directory of Open Access Journals (Sweden)
Fujii Chinami
2017-09-01
Full Text Available We investigated the effects of the combinations of patternmaking methods and dress forms on the appearance of a garment. Six upper garments were made using three patternmaking methods used in France, Italy, and Japan, and two dress forms made in Japan and France. The patterns and the appearances of the garments were compared using geometrical measurements. Sensory evaluations of the differences in garment appearance and fit on each dress form were also carried out. In the patterns, the positions of bust and waist darts were different. The waist dart length, bust dart length, and positions of the bust top were different depending on the patternmaking method, even when the same dress form was used. This was a result of differences in the measurements used and the calculation methods employed for other dimensions. This was because the ideal body shape was different for each patternmaking method. Even for garments produced for the same dress form, the appearances of the shoulder, bust, and waist from the front, side, and back views were different depending on the patternmaking method. As a result of the sensory evaluation, it was also found that the bust and waist shapes of the garments were different depending on the combination of patternmaking method and dress form. Therefore, to obtain a garment with better appearance, it is necessary to understand the effects of the combinations of patternmaking methods and body shapes.
Methods of forming semiconductor devices and devices formed using such methods
Fox, Robert V; Rodriguez, Rene G; Pak, Joshua
2013-05-21
Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.
Densified waste form and method for forming
Garino, Terry J.; Nenoff, Tina M.; Sava Gallis, Dorina Florentina
2015-08-25
Materials and methods of making densified waste forms for temperature sensitive waste material, such as nuclear waste, formed with low temperature processing using metallic powder that forms the matrix that encapsulates the temperature sensitive waste material. The densified waste form includes a temperature sensitive waste material in a physically densified matrix, the matrix is a compacted metallic powder. The method for forming the densified waste form includes mixing a metallic powder and a temperature sensitive waste material to form a waste form precursor. The waste form precursor is compacted with sufficient pressure to densify the waste precursor and encapsulate the temperature sensitive waste material in a physically densified matrix.
Chang, Tzu-Hsuan; Xiong, Shisheng; Liu, Chi-Chun; Liu, Dong; Nealey, Paul F; Ma, Zhenqiang
2017-09-01
The direct self-assembly of cylinder-forming poly(styrene-block-methyl-methacrylate) (PS-b-PMMA) block copolymer is successfully assembled into two orientations, according to the underlying guiding pattern in different areas. Lying-down and perpendicular cylinders are formed, respectively, depending on the design of chemical pattern: sparse line/space pattern or hexagonal dot array. The first chemical pattern composed of prepatterned cross-linked polystyrene (XPS) line/space structure has a period (L S ) equal to twice the intercylinder period of the block copolymer (L 0 ). The PS-b-PMMA thin film on the prepared chemical template after thermal annealing forms a lying-down cylinder morphology when the width of the PS strips is less than the width of PS block in the PS-b-PMMA block copolymer. The morphology is only applicable at the discrete thickness of the PS-b-PMMA film. In addition to forming the lying-down cylinders directly on the XPS guiding pattern, the cylinder-forming block copolymer can also be assembled in a perpendicular way on the second guiding pattern (the hexagonal dot array). The block copolymer films are registered into two orientations in a single directed self-assembly process. The features of the assembled patterns are successfully transferred down to the silicon oxide substrate. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Effect of loading pattern on longitudinal bowing in flexible roll forming
Energy Technology Data Exchange (ETDEWEB)
Kim, Jae Hyun; Woo, Young Yun; Hwang, Tae Woo; Han, Sang Wook; Moon, Young Hoon [School of Mechanical Engineering, Engineering Research Center for Net Shape and Die Manufacturing, Pusan National University,Busan (Korea, Republic of)
2016-12-15
The flexible roll forming process can be used to fabricate products with a variable cross-section profile in the longitudinal direction. Transversal nonuniformity of the longitudinal strain is one of the fundamental characteristics of blank deformation in flexible roll forming. Longitudinal bowing is a shape defect caused by transversal nonuniformity of the longitudinal strain. In this study, loading patterns in flexible roll forming are investigated in order to reduce the longitudinal bowing in a roll-formed blank. To analyze the effects of loading patterns on longitudinal bowing, two different forming schedules are implemented. In schedule 1, loading patterns with different bending angle increments are designed under fixed initial and final bending angles. In schedule 2, loading patterns with different initial bending angles under the fixed final bending angle are designed. Our results show that the bowing heights are significantly affected by the loading patterns. The bowing susceptibilities vary with blank shape such as trapezoid, convex, and concave shapes. In addition to the peak longitudinal strain at the respective roll stands, the cumulative longitudinal strain from the initial to final stands is shown to be a reliable index in predicting the tendency of longitudinal bowing.
Kim, Junki; Jung, Yongmin; Oh, Kyunghwan; Chun, Chaemin; Hong, Jeachul; Kim, Dongyu
2005-03-01
We report a novel all-fiber, maskless lithograpic technology to form various concentric grating patterns for micro zone plate on azo polymer film. The proposed technology is based on the interference pattern out of the cleaved end of a coreless silica fiber (CSF)-single mode fiber (SMF) composite. The light guided along SMF expands into the CSF segment to generate various circular interference patterns depending on the length of CSF. Interference patterns are experimentally observed when the CSF length is over a certain length and the finer spacing between the concentric rings are obtained for a longer CSF. By using beam propagation method (BPM) package, we could further investigated the concentric interference patterns in terms of intensity distribution and fringe spacing as a function of CSF length. These intereference patterns are directly projected over azo polymer film and their intensity distrubution formed surface relief grating (SRG) patterns. Compared to photoresist films azo polymer layers produce surface relief grating (SRG), where the actual mass of layer is modulated rather than refractive index. The geometric parameters of the CSF length as well as diameter and the spacing between the cleaved end of a CSF and azo polymer film, were found to play a major role to generate various concentric structures. With the demonstration of the circular SRG patterns, we confirmed that the proposed technique do have an ample potential to fabricate micro fresnel zone plate, that could find applications in lens arrays for optical beam formings as well as compact photonic devices.
Radiation pattern synthesis of planar antennas using the iterative sampling method
Stutzman, W. L.; Coffey, E. L.
1975-01-01
A synthesis method is presented for determining an excitation of an arbitrary (but fixed) planar source configuration. The desired radiation pattern is specified over all or part of the visible region. It may have multiple and/or shaped main beams with low sidelobes. The iterative sampling method is used to find an excitation of the source which yields a radiation pattern that approximates the desired pattern to within a specified tolerance. In this paper the method is used to calculate excitations for line sources, linear arrays (equally and unequally spaced), rectangular apertures, rectangular arrays (arbitrary spacing grid), and circular apertures. Examples using these sources to form patterns with shaped main beams, multiple main beams, shaped sidelobe levels, and combinations thereof are given.
Method of forming aluminum oxynitride material and bodies formed by such methods
Bakas, Michael P [Ammon, ID; Lillo, Thomas M [Idaho Falls, ID; Chu, Henry S [Idaho Falls, ID
2010-11-16
Methods of forming aluminum oxynitride (AlON) materials include sintering green bodies comprising aluminum orthophosphate or another sacrificial material therein. Such green bodies may comprise aluminum, oxygen, and nitrogen in addition to the aluminum orthophosphate. For example, the green bodies may include a mixture of aluminum oxide, aluminum nitride, and aluminum orthophosphate or another sacrificial material. Additional methods of forming aluminum oxynitride (AlON) materials include sintering a green body including a sacrificial material therein, using the sacrificial material to form pores in the green body during sintering, and infiltrating the pores formed in the green body with a liquid infiltrant during sintering. Bodies are formed using such methods.
Influence of time and pressure of forming a pattern on mechanical properties
Directory of Open Access Journals (Sweden)
T. Pacyniak
2011-07-01
Full Text Available In this paper, the technology of forming patterns on a research station equipped with an autoclave A-600 of Polish company GROM is presented. This study was conducted to determine the influence of pressure and time of forming a pattern on the bending strength. Analysis of the results confirmed that bending strength increases with increasing the pressure. The time of forming a pattern has a similar effect.
Numerical Methods for Plate Forming by Line Heating
DEFF Research Database (Denmark)
Clausen, Henrik Bisgaard
2000-01-01
Line heating is the process of forming originally flat plates into a desired shape by means of heat treatment. Parameter studies are carried out on a finite element model to provide knowledge of how the process behaves with varying heating conditions. For verification purposes, experiments are ca...... are carried out; one set of experiments investigates the actual heat flux distribution from a gas torch and another verifies the validty of the FE calculations. Finally, a method to predict the heating pattern is described....
Externally controlled anisotropy in pattern-forming reaction-diffusion systems.
Escala, Dario M; Guiu-Souto, Jacobo; Muñuzuri, Alberto P
2015-06-01
The effect of centrifugal forces is analyzed in a pattern-forming reaction-diffusion system. Numerical simulations conducted on the appropriate extension of the Oregonator model for the Belousov-Zhabotinsky reaction show a great variety of dynamical behaviors in such a system. In general, the system exhibits an anisotropy that results in new types of patterns or in a global displacement of the previous one. We consider the effect of both constant and periodically modulated centrifugal forces on the different types of patterns that the system may exhibit. A detailed analysis of the patterns and behaviors observed for the different parameter values considered is presented here.
Islamic geometric patterns their historical development and traditional methods of construction
Bonner, Jay
2017-01-01
The main focus of this unique book is an in-depth examination of the polygonal technique; the primary method used by master artists of the past in creating Islamic geometric patterns. The author details the design methodology responsible for this all-but-lost art form and presents evidence for its use from the historical record, both of which are vital contributions to the understanding of this ornamental tradition. Additionally, the author examines the historical development of Islamic geometric patterns, the significance of geometric design within the broader context of Islamic ornament as a whole, the formative role that geometry plays throughout the Islamic ornamental arts (including calligraphy, the floral idiom, dome decoration, geometric patterns, and more), and the underexamined question of pattern classification. Featuring over 600 beautiful color images, Islamic Geometric Patterns: Their Historical Development and Traditional Methods of Construction is a valuable addition to the literature of Islam...
Fox, Robert V.; Rodriguez, Rene G.; Pak, Joshua J.; Sun, Chivin; Margulieux, Kelsey R.; Holland, Andrew W.
2014-09-09
Methods of forming single source precursors (SSPs) include forming intermediate products having the empirical formula 1/2{L.sub.2N(.mu.-X).sub.2M'X.sub.2}.sub.2, and reacting MER with the intermediate products to form SSPs of the formula L.sub.2N(.mu.-ER).sub.2M'(ER).sub.2, wherein L is a Lewis base, M is a Group IA atom, N is a Group IB atom, M' is a Group IIIB atom, each E is a Group VIB atom, each X is a Group VIIA atom or a nitrate group, and each R group is an alkyl, aryl, vinyl, (per)fluoro alkyl, (per)fluoro aryl, silane, or carbamato group. Methods of forming polymeric or copolymeric SSPs include reacting at least one of HE.sup.1R.sup.1E.sup.1H and MER with one or more substances having the empirical formula L.sub.2N(.mu.-ER).sub.2M'(ER).sub.2 or L.sub.2N(.mu.-X).sub.2M'(X).sub.2 to form a polymeric or copolymeric SSP. New SSPs and intermediate products are formed by such methods.
Monaghan, Philip Harold; Delvaux, John McConnell; Taxacher, Glenn Curtis
2015-06-09
A pre-form CMC cavity and method of forming pre-form CMC cavity for a ceramic matrix component includes providing a mandrel, applying a base ply to the mandrel, laying-up at least one CMC ply on the base ply, removing the mandrel, and densifying the base ply and the at least one CMC ply. The remaining densified base ply and at least one CMC ply form a ceramic matrix component having a desired geometry and a cavity formed therein. Also provided is a method of forming a CMC component.
International Nuclear Information System (INIS)
Yu Dagan
1992-01-01
The Volcanic uranium deposit of rock-magma belt-the Mid-Cz Volcano in the Southeast of China mainly formed around 120 ∼ 130 Ma and 90 ∼ 100 Ma Which is in harmony with the two rock magma activities of k within the region. The rock-magma system of this period formed around the turning period from pressure to tension in the continent margin of southeast China, which is mainly characterized by the appearance of A-type granite and alkaline, sub-alkaline rocks (trachyte, trachyandensite, trachybasalt, basic rock alkaline basalt). The uranium deposit is controlled by the base rift of dissection to the mantle, the volcanic basin is of the double characteristics of transversal rift valley basin (early period) ad tension rift valley basin (laster period). The leading role of the deep source is stressed in terms of internal-forming series of volcanic uranium deposits is considered to exist; and also in terms of internal-forming series of volcanic uranium deposits is considered to exist; and also in terms of mineral-forming patterns, the multi-pattern led by the deep-source is stressed, including the mineral-forming pattern of uranium deposit of continental thermos, repeated periphery mineral-forming pattern of uranium deposit and the mineral-forming pattern of uranium deposit of rising pole-like thermos. Ten suggestions are put forward to the next mineral-search according to the above thoughts
Growth of large patterned arrays of neurons using plasma methods
International Nuclear Information System (INIS)
Brown, I G; Bjornstad, K A; Blakely, E A; Galvin, J E; Monteiro, O R; Sangyuenyongpipat, S
2003-01-01
To understand how large systems of neurons communicate, we need to develop, among other things, methods for growing patterned networks of large numbers of neurons. Success with this challenge will be important to our understanding of how the brain works, as well as to the development of novel kinds of computer architecture that may parallel the organization of the brain. We have investigated the use of metal ion implantation using a vacuum-arc ion source, and plasma deposition with a filtered vacuum-arc system, as a means of forming regions of selective neuronal attachment on surfaces. Lithographic patterns created by the treating surface with ion species that enhance or inhibit neuronal cell attachment allow subsequent proliferation and/or differentiation of the neurons to form desired patterned neural arrays. In the work described here, we used glass microscope slides as substrates, and some of the experiments made use of simple masks to form patterns of ion beam or plasma deposition treated regions. PC-12 rat neurons were then cultured on the treated substrates coated with Type I Collagen, and the growth and differentiation was monitored. Particularly good selective growth was obtained using plasma deposition of diamond-like carbon films of about one hundred Angstroms thickness. Neuron proliferation and the elaboration of dendrites and axons after the addition of nerve growth factor both showed excellent contrast, with prolific growth and differentiation on the treated surfaces and very low growth on the untreated surfaces
Growth of large patterned arrays of neurons using plasma methods
Energy Technology Data Exchange (ETDEWEB)
Brown, I G; Bjornstad, K A; Blakely, E A; Galvin, J E; Monteiro, O R; Sangyuenyongpipat, S [Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States)
2003-05-01
To understand how large systems of neurons communicate, we need to develop, among other things, methods for growing patterned networks of large numbers of neurons. Success with this challenge will be important to our understanding of how the brain works, as well as to the development of novel kinds of computer architecture that may parallel the organization of the brain. We have investigated the use of metal ion implantation using a vacuum-arc ion source, and plasma deposition with a filtered vacuum-arc system, as a means of forming regions of selective neuronal attachment on surfaces. Lithographic patterns created by the treating surface with ion species that enhance or inhibit neuronal cell attachment allow subsequent proliferation and/or differentiation of the neurons to form desired patterned neural arrays. In the work described here, we used glass microscope slides as substrates, and some of the experiments made use of simple masks to form patterns of ion beam or plasma deposition treated regions. PC-12 rat neurons were then cultured on the treated substrates coated with Type I Collagen, and the growth and differentiation was monitored. Particularly good selective growth was obtained using plasma deposition of diamond-like carbon films of about one hundred Angstroms thickness. Neuron proliferation and the elaboration of dendrites and axons after the addition of nerve growth factor both showed excellent contrast, with prolific growth and differentiation on the treated surfaces and very low growth on the untreated surfaces.
Kong, Peter C.; Pink, Robert J.; Zuck, Larry D.
2008-08-19
A method for forming ammonia is disclosed and which includes the steps of forming a plasma; providing a source of metal particles, and supplying the metal particles to the plasma to form metal nitride particles; and providing a substance, and reacting the metal nitride particles with the substance to produce ammonia, and an oxide byproduct.
Standardized waste form test methods
International Nuclear Information System (INIS)
Slate, S.C.
1984-01-01
The Materials Characterization Center (MCC) is developing standard tests to characterize nuclear waste forms. Development of the first thirteen tests was originally initiated to provide data to compare different high-level waste (HLW) forms and to characterize their basic performance. The current status of the first thirteen MCC tests and some sample test results are presented: the radiation stability tests (MCC-6 and 12) and the tensile-strength test (MCC-11) are approved; the static leach tests (MCC-1, 2, and 3) are being reviewed for full approval; the thermal stability (MCC-7) and microstructure evaluation (MCC-13) methods are being considered for the first time; and the flowing leach test methods (MCC-4 and 5), the gas generation methods (MCC-8 and 9), and the brittle fracture method (MCC-10) are indefinitely delayed. Sample static leach test data on the ARM-1 approved reference material are presented. Established tests and proposed new tests will be used to meet new testing needs. For waste form production, tests on stability and composition measurement are needed to provide data to ensure waste form quality. In transporation, data are needed to evaluate the effects of accidents on canisterized waste forms. The new MCC-15 accident test method and some data are presented. Compliance testing needs required by the recent draft repository waste acceptance specifications are described. These specifications will control waste form contents, processing, and performance
Standardized waste form test methods
International Nuclear Information System (INIS)
Slate, S.C.
1984-11-01
The Materials Characterization Center (MCC) is developing standard tests to characterize nuclear waste forms. Development of the first thirteen tests was originally initiated to provide data to compare different high-level waste (HLW) forms and to characterize their basic performance. The current status of the first thirteen MCC tests and some sample test results is presented: The radiation stability tests (MCC-6 and 12) and the tensile-strength test (MCC-11) are approved; the static leach tests (MCC-1, 2, and 3) are being reviewed for full approval; the thermal stability (MCC-7) and microstructure evaluation (MCC-13) methods are being considered for the first time; and the flowing leach tests methods (MCC-4 and 5), the gas generation methods (MCC-8 and 9), and the brittle fracture method (MCC-10) are indefinitely delayed. Sample static leach test data on the ARM-1 approved reference material are presented. Established tests and proposed new tests will be used to meet new testing needs. For waste form production, tests on stability and composition measurement are needed to provide data to ensure waste form quality. In transportation, data are needed to evaluate the effects of accidents on canisterized waste forms. The new MCC-15 accident test method and some data are presented. Compliance testing needs required by the recent draft repository waste acceptance specifications are described. These specifications will control waste form contents, processing, and performance. 2 references, 2 figures
Fox, Robert V.; Rodriguez, Rene G.; Pak, Joshua J.; Sun, Chivin; Margulieux, Kelsey R.; Holland, Andrew W.
2012-12-04
Methods of forming single source precursors (SSPs) include forming intermediate products having the empirical formula 1/2{L.sub.2N(.mu.-X).sub.2M'X.sub.2}.sub.2, and reacting MER with the intermediate products to form SSPs of the formula L.sub.2N(.mu.-ER).sub.2M'(ER).sub.2, wherein L is a Lewis base, M is a Group IA atom, N is a Group IB atom, M' is a Group IIIB atom, each E is a Group VIB atom, each X is a Group VIIA atom or a nitrate group, and each R group is an alkyl, aryl, vinyl, (per)fluoro alkyl, (per)fluoro aryl, silane, or carbamato group. Methods of forming polymeric or copolymeric SSPs include reacting at least one of HE.sup.1R.sup.1E.sup.1H and MER with one or more substances having the empirical formula L.sub.2N(.mu.-ER).sub.2M'(ER).sub.2 or L.sub.2N(.mu.-X).sub.2M'(X).sub.2 to form a polymeric or copolymeric SSP. New SSPs and intermediate products are formed by such methods.
Chaudhry, Anil R; Dzugan, Robert; Harrington, Richard M; Neece, Faurice D; Singh, Nipendra P; Westendorf, Travis
2013-11-26
A method of creating a foam pattern comprises mixing a polyol component and an isocyanate component to form a liquid mixture. The method further comprises placing a temporary core having a shape corresponding to a desired internal feature in a cavity of a mold and inserting the mixture into the cavity of the mold so that the mixture surrounds a portion of the temporary core. The method optionally further comprises using supporting pins made of foam to support the core in the mold cavity, with such pins becoming integral part of the pattern material simplifying subsequent processing. The method further comprises waiting for a predetermined time sufficient for a reaction from the mixture to form a foam pattern structure corresponding to the cavity of the mold, wherein the foam pattern structure encloses a portion of the temporary core and removing the temporary core from the pattern independent of chemical leaching.
Effects of feed forms on growth pattern, behavioural responses and ...
African Journals Online (AJOL)
Effects of feed forms on growth pattern, behavioural responses and feacal microbial load ... load and behavioural activities (eating, drinking, physical pen interaction and ... Total organism counts varied significantly (p<0.05) with pigs on T1, T2, ...
Fox, Robert V.; Zhang, Fengyan; Rodriguez, Rene G.; Pak, Joshua J.; Sun, Chivin
2016-06-21
Single source precursors or pre-copolymers of single source precursors are subjected to microwave radiation to form particles of a I-III-VI.sub.2 material. Such particles may be formed in a wurtzite phase and may be converted to a chalcopyrite phase by, for example, exposure to heat. The particles in the wurtzite phase may have a substantially hexagonal shape that enables stacking into ordered layers. The particles in the wurtzite phase may be mixed with particles in the chalcopyrite phase (i.e., chalcopyrite nanoparticles) that may fill voids within the ordered layers of the particles in the wurtzite phase thus produce films with good coverage. In some embodiments, the methods are used to form layers of semiconductor materials comprising a I-III-VI.sub.2 material. Devices such as, for example, thin-film solar cells may be fabricated using such methods.
Implications of tristability in pattern-forming ecosystems
Zelnik, Yuval R.; Gandhi, Punit; Knobloch, Edgar; Meron, Ehud
2018-03-01
Many ecosystems show both self-organized spatial patterns and multistability of possible states. The combination of these two phenomena in different forms has a significant impact on the behavior of ecosystems in changing environments. One notable case is connected to tristability of two distinct uniform states together with patterned states, which has recently been found in model studies of dryland ecosystems. Using a simple model, we determine the extent of tristability in parameter space, explore its effects on the system dynamics, and consider its implications for state transitions or regime shifts. We analyze the bifurcation structure of model solutions that describe uniform states, periodic patterns, and hybrid states between the former two. We map out the parameter space where these states exist, and note how the different states interact with each other. We further focus on two special implications with ecological significance, breakdown of the snaking range and complex fronts. We find that the organization of the hybrid states within a homoclinic snaking structure breaks down as it meets a Maxwell point where simple fronts are stationary. We also discover a new series of complex fronts between the uniform states, each with its own velocity. We conclude with a brief discussion of the significance of these findings for the dynamics of regime shifts and their potential control.
Tolle, Charles R [Idaho Falls, ID; Clark, Denis E [Idaho Falls, ID; Smartt, Herschel B [Idaho Falls, ID; Miller, Karen S [Idaho Falls, ID
2009-10-06
A material-forming tool and a method for forming a material are described including a shank portion; a shoulder portion that releasably engages the shank portion; a pin that releasably engages the shoulder portion, wherein the pin defines a passageway; and a source of a material coupled in material flowing relation relative to the pin and wherein the material-forming tool is utilized in methodology that includes providing a first material; providing a second material, and placing the second material into contact with the first material; and locally plastically deforming the first material with the material-forming tool so as mix the first material and second material together to form a resulting material having characteristics different from the respective first and second materials.
Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer
Zhuhao Gong; Yulong Zhang; Xin Guo; Zewen Liu
2018-01-01
A radio-frequency micro-electro-mechanical system (RF MEMS) wafer-level packaging (WLP) method using pre-patterned benzo-cyclo-butene (BCB) polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to gener...
Data and statistical methods for analysis of trends and patterns
International Nuclear Information System (INIS)
Atwood, C.L.; Gentillon, C.D.; Wilson, G.E.
1992-11-01
This report summarizes topics considered at a working meeting on data and statistical methods for analysis of trends and patterns in US commercial nuclear power plants. This meeting was sponsored by the Office of Analysis and Evaluation of Operational Data (AEOD) of the Nuclear Regulatory Commission (NRC). Three data sets are briefly described: Nuclear Plant Reliability Data System (NPRDS), Licensee Event Report (LER) data, and Performance Indicator data. Two types of study are emphasized: screening studies, to see if any trends or patterns appear to be present; and detailed studies, which are more concerned with checking the analysis assumptions, modeling any patterns that are present, and searching for causes. A prescription is given for a screening study, and ideas are suggested for a detailed study, when the data take of any of three forms: counts of events per time, counts of events per demand, and non-event data
A Pattern-Oriented Approach to a Methodical Evaluation of Modeling Methods
Directory of Open Access Journals (Sweden)
Michael Amberg
1996-11-01
Full Text Available The paper describes a pattern-oriented approach to evaluate modeling methods and to compare various methods with each other from a methodical viewpoint. A specific set of principles (the patterns is defined by investigating the notations and the documentation of comparable modeling methods. Each principle helps to examine some parts of the methods from a specific point of view. All principles together lead to an overall picture of the method under examination. First the core ("method neutral" meaning of each principle is described. Then the methods are examined regarding the principle. Afterwards the method specific interpretations are compared with each other and with the core meaning of the principle. By this procedure, the strengths and weaknesses of modeling methods regarding methodical aspects are identified. The principles are described uniformly using a principle description template according to descriptions of object oriented design patterns. The approach is demonstrated by evaluating a business process modeling method.
Article and method of forming an article
Lacy, Benjamin Paul; Kottilingam, Srikanth Chandrudu; Dutta, Sandip; Schick, David Edward
2017-12-26
Provided are an article and a method of forming an article. The method includes providing a metallic powder, heating the metallic powder to a temperature sufficient to joint at least a portion of the metallic powder to form an initial layer, sequentially forming additional layers in a build direction by providing a distributed layer of the metallic powder over the initial layer and heating the distributed layer of the metallic powder, repeating the steps of sequentially forming the additional layers in the build direction to form a portion of the article having a hollow space formed in the build direction, and forming an overhang feature extending into the hollow space. The article includes an article formed by the method described herein.
International Nuclear Information System (INIS)
Setti, Francesco; Bini, Ruggero; Lunardelli, Massimo; Bosetti, Paolo; Bruschi, Stefania; De Cecco, Mariolino
2012-01-01
Many contemporary works show the interest of the scientific community in measuring the shape of artefacts made by single point incremental forming. In this paper, we will present an algorithm able to detect feature points with a random pattern, check the compatibility of associations exploiting multi-stereo constraints and reject outliers and perform a 3D reconstruction by dense random patterns. The algorithm is suitable for a real-time application, in fact it needs just three images and a synchronous relatively fast processing. The proposed method has been tested on a simple geometry and results have been compared with a coordinate measurement machine acquisition. (paper)
Geometric Methods in the Algebraic Theory of Quadratic Forms : Summer School
2004-01-01
The geometric approach to the algebraic theory of quadratic forms is the study of projective quadrics over arbitrary fields. Function fields of quadrics have been central to the proofs of fundamental results since the renewal of the theory by Pfister in the 1960's. Recently, more refined geometric tools have been brought to bear on this topic, such as Chow groups and motives, and have produced remarkable advances on a number of outstanding problems. Several aspects of these new methods are addressed in this volume, which includes - an introduction to motives of quadrics by Alexander Vishik, with various applications, notably to the splitting patterns of quadratic forms under base field extensions; - papers by Oleg Izhboldin and Nikita Karpenko on Chow groups of quadrics and their stable birational equivalence, with application to the construction of fields which carry anisotropic quadratic forms of dimension 9, but none of higher dimension; - a contribution in French by Bruno Kahn which lays out a general fra...
Wittgenstein on Forms of Life, Patterns of Life, and Ways of Living
Directory of Open Access Journals (Sweden)
Daniele Moyal-Sharrock
2015-10-01
Full Text Available This paper aims to distinguish Wittgenstein’s concept of ‘form of life’ from other concepts or expressions that have been confused or conflated with it, such as ‘language-game’, ‘certainty’, ‘patterns of life’, ‘ways of living’ and ‘facts of living’. Competing interpretations of Wittgenstein’s ‘form(s of life’ are reviewed (Baker & Hacker, Cavell, Conway, Garver, and it is concluded that Wittgenstein intended both a singular and a plural use of the concept; with, where the human is concerned, a single human form of life characterized by innumerable forms of human life.
A Versatile Method of Patterning Proteins and Cells.
Shrirao, Anil B; Kung, Frank H; Yip, Derek; Firestein, Bonnie L; Cho, Cheul H; Townes-Anderson, Ellen
2017-02-26
Substrate and cell patterning techniques are widely used in cell biology to study cell-to-cell and cell-to-substrate interactions. Conventional patterning techniques work well only with simple shapes, small areas and selected bio-materials. This article describes a method to distribute cell suspensions as well as substrate solutions into complex, long, closed (dead-end) polydimethylsiloxane (PDMS) microchannels using negative pressure. This method enables researchers to pattern multiple substrates including fibronectin, collagen, antibodies (Sal-1), poly-D-lysine (PDL), and laminin. Patterning of substrates allows one to indirectly pattern a variety of cells. We have tested C2C12 myoblasts, the PC12 neuronal cell line, embryonic rat cortical neurons, and amphibian retinal neurons. In addition, we demonstrate that this technique can directly pattern fibroblasts in microfluidic channels via brief application of a low vacuum on cell suspensions. The low vacuum does not significantly decrease cell viability as shown by cell viability assays. Modifications are discussed for application of the method to different cell and substrate types. This technique allows researchers to pattern cells and proteins in specific patterns without the need for exotic materials or equipment and can be done in any laboratory with a vacuum.
Face recognition system and method using face pattern words and face pattern bytes
Zheng, Yufeng
2014-12-23
The present invention provides a novel system and method for identifying individuals and for face recognition utilizing facial features for face identification. The system and method of the invention comprise creating facial features or face patterns called face pattern words and face pattern bytes for face identification. The invention also provides for pattern recognitions for identification other than face recognition. The invention further provides a means for identifying individuals based on visible and/or thermal images of those individuals by utilizing computer software implemented by instructions on a computer or computer system and a computer readable medium containing instructions on a computer system for face recognition and identification.
a Three-Step Spatial-Temporal Clustering Method for Human Activity Pattern Analysis
Huang, W.; Li, S.; Xu, S.
2016-06-01
How people move in cities and what they do in various locations at different times form human activity patterns. Human activity pattern plays a key role in in urban planning, traffic forecasting, public health and safety, emergency response, friend recommendation, and so on. Therefore, scholars from different fields, such as social science, geography, transportation, physics and computer science, have made great efforts in modelling and analysing human activity patterns or human mobility patterns. One of the essential tasks in such studies is to find the locations or places where individuals stay to perform some kind of activities before further activity pattern analysis. In the era of Big Data, the emerging of social media along with wearable devices enables human activity data to be collected more easily and efficiently. Furthermore, the dimension of the accessible human activity data has been extended from two to three (space or space-time) to four dimensions (space, time and semantics). More specifically, not only a location and time that people stay and spend are collected, but also what people "say" for in a location at a time can be obtained. The characteristics of these datasets shed new light on the analysis of human mobility, where some of new methodologies should be accordingly developed to handle them. Traditional methods such as neural networks, statistics and clustering have been applied to study human activity patterns using geosocial media data. Among them, clustering methods have been widely used to analyse spatiotemporal patterns. However, to our best knowledge, few of clustering algorithms are specifically developed for handling the datasets that contain spatial, temporal and semantic aspects all together. In this work, we propose a three-step human activity clustering method based on space, time and semantics to fill this gap. One-year Twitter data, posted in Toronto, Canada, is used to test the clustering-based method. The results show that the
Method of forming a package for MEMS-based fuel cell
Morse, Jeffrey D; Jankowski, Alan F
2013-05-21
A MEMS-based fuel cell package and method thereof is disclosed. The fuel cell package comprises seven layers: (1) a sub-package fuel reservoir interface layer, (2) an anode manifold support layer, (3) a fuel/anode manifold and resistive heater layer, (4) a Thick Film Microporous Flow Host Structure layer containing a fuel cell, (5) an air manifold layer, (6) a cathode manifold support structure layer, and (7) a cap. Fuel cell packages with more than one fuel cell are formed by positioning stacks of these layers in series and/or parallel. The fuel cell package materials such as a molded plastic or a ceramic green tape material can be patterned, aligned and stacked to form three dimensional microfluidic channels that provide electrical feedthroughs from various layers which are bonded together and mechanically support a MEMS-based miniature fuel cell. The package incorporates resistive heating elements to control the temperature of the fuel cell stack. The package is fired to form a bond between the layers and one or more microporous flow host structures containing fuel cells are inserted within the Thick Film Microporous Flow Host Structure layer of the package.
A simple identification method for spore-forming bacteria showing high resistance against γ-rays
International Nuclear Information System (INIS)
Koshikawa, Tomihiko; Sone, Koji; Kobayashi, Toshikazu
1993-01-01
A simple identification method was developed for spore-forming bacteria which are highly resistant against γ-rays. Among 23 species of Bacillus studied, the spores of Bacillus megaterium, B. cereus, B. thuringiensis, B. pumilus and B. aneurinolyticus showed high resistance against γ-rays as compared with other spores of Bacillus species. Combination of the seven kinds of biochemical tests, namely, the citrate utilization test, nitrate reduction test, starch hydrolysis test, Voges-Proskauer reaction test, gelatine hydrolysis test, mannitol utilization test and xylose utilization test showed a characteristic pattern for each species of Bacillus. The combination pattern of each the above tests with a few supplementary test, if necessary, was useful to identify Bacillus species showing high radiation resistance against γ-rays. The method is specific for B. megaterium, B. thuringiensis and B. pumilus, and highly selective for B. aneurinolyticus and B. cereus. (author)
Method of forming an HTS article
Bhattacharya, Raghu N.; Zhang, Xun; Selvamanickam, Venkat
2014-08-19
A method of forming a superconducting article includes providing a substrate tape, forming a superconducting layer overlying the substrate tape, and depositing a capping layer overlying the superconducting layer. The capping layer includes a noble metal and has a thickness not greater than about 1.0 micron. The method further includes electrodepositing a stabilizer layer overlying the capping layer using a solution that is non-reactive to the superconducting layer. The superconducting layer has an as-formed critical current I.sub.C(AF) and a post-stabilized critical current I.sub.C(PS). The I.sub.C(PS) is at least about 95% of the I.sub.C(AF).
Methods for forming particles from single source precursors
Fox, Robert V [Idaho Falls, ID; Rodriguez, Rene G [Pocatello, ID; Pak, Joshua [Pocatello, ID
2011-08-23
Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.
Roll forming of eco-friendly stud
Keum, Y. T.; Lee, S. Y.; Lee, T. H.; Sim, J. K.
2013-12-01
In order to manufacture an eco-friendly stud, the sheared pattern is designed by the Taguchi method and expanded by the side rolls. The seven geometrical shape of sheared pattern are considered in the structural and thermal analyses to select the best functional one in terms of the durability and fire resistance of dry wall. For optimizing the size of the sheared pattern chosen, the L9 orthogonal array and smaller-the-better characteristics of the Taguchi method are used. As the roll gap causes forming defects when the upper-and-lower roll type is adopted for expanding the sheared pattern, the side roll type is introduced. The stress and strain distributions obtained by the FEM simulation of roll-forming processes are utilized for the design of expanding process. The expanding process by side rolls shortens the length of expanding process and minimizes the cost of dies. Furthermore, the stud manufactured by expanding the sheared pattern of the web is an eco-friend because of the scrapless roll-forming process. In addition, compared to the conventionally roll-formed stud, the material cost is lessened about 13.6% and the weight is lightened about 15.5%.
Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer
Directory of Open Access Journals (Sweden)
Zhuhao Gong
2018-02-01
Full Text Available A radio-frequency micro-electro-mechanical system (RF MEMS wafer-level packaging (WLP method using pre-patterned benzo-cyclo-butene (BCB polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to generate the housing cavity, the BCB sealing ring was protected by a sputtered Cr/Au (chromium/gold layer. The average measured thickness of the BCB layer was 5.9 μm. In contrast to the conventional methods of spin-coating BCB after fabricating cavities, the pre-patterned BCB method presented BCB bonding layers with better quality on severe topography surfaces in terms of increased uniformity of thickness and better surface flatness. The observation of the bonded layer showed that no void or gap formed on the protruding coplanar waveguide (CPW lines. A shear strength test was experimentally implemented as a function of the BCB widths in the range of 100–400 μm. The average shear strength of the packaged device was higher than 21.58 MPa. A RF MEMS switch was successfully packaged using this process with a negligible impact on the microwave characteristics and a significant improvement in the lifetime from below 10 million to over 1 billion. The measured insertion loss of the packaged RF MEMS switch was 0.779 dB and the insertion loss deterioration caused by the package structure was less than 0.2 dB at 30 GHz.
Ogawa, Kazuhisa; Kobayashi, Hirokazu; Tomita, Akihisa
2018-02-01
The quantum interference of entangled photons forms a key phenomenon underlying various quantum-optical technologies. It is known that the quantum interference patterns of entangled photon pairs can be reconstructed classically by the time-reversal method; however, the time-reversal method has been applied only to time-frequency-entangled two-photon systems in previous experiments. Here, we apply the time-reversal method to the position-wave-vector-entangled two-photon systems: the two-photon Young interferometer and the two-photon beam focusing system. We experimentally demonstrate that the time-reversed systems classically reconstruct the same interference patterns as the position-wave-vector-entangled two-photon systems.
A THREE-STEP SPATIAL-TEMPORAL-SEMANTIC CLUSTERING METHOD FOR HUMAN ACTIVITY PATTERN ANALYSIS
Directory of Open Access Journals (Sweden)
W. Huang
2016-06-01
Full Text Available How people move in cities and what they do in various locations at different times form human activity patterns. Human activity pattern plays a key role in in urban planning, traffic forecasting, public health and safety, emergency response, friend recommendation, and so on. Therefore, scholars from different fields, such as social science, geography, transportation, physics and computer science, have made great efforts in modelling and analysing human activity patterns or human mobility patterns. One of the essential tasks in such studies is to find the locations or places where individuals stay to perform some kind of activities before further activity pattern analysis. In the era of Big Data, the emerging of social media along with wearable devices enables human activity data to be collected more easily and efficiently. Furthermore, the dimension of the accessible human activity data has been extended from two to three (space or space-time to four dimensions (space, time and semantics. More specifically, not only a location and time that people stay and spend are collected, but also what people “say” for in a location at a time can be obtained. The characteristics of these datasets shed new light on the analysis of human mobility, where some of new methodologies should be accordingly developed to handle them. Traditional methods such as neural networks, statistics and clustering have been applied to study human activity patterns using geosocial media data. Among them, clustering methods have been widely used to analyse spatiotemporal patterns. However, to our best knowledge, few of clustering algorithms are specifically developed for handling the datasets that contain spatial, temporal and semantic aspects all together. In this work, we propose a three-step human activity clustering method based on space, time and semantics to fill this gap. One-year Twitter data, posted in Toronto, Canada, is used to test the clustering-based method. The
Directory of Open Access Journals (Sweden)
O. I. Shinsky
2016-01-01
Full Text Available The technological process of receiving figurine castings of a heat resisting alloy HN57KTVYuMBL brand developed and tested by authors a combined method of oflost-wax casting (pouring gate system and of consumable expanded polystyrene pattern in shell forms kompleks modify ceramics promotes decrease in crack formation of forms at the expense of correctly picked up temperature and time mode of annealing of a form with model. Besides this method allows to receive figurine castings with minimization of an allowance for machining of details, to increase their geometrical accuracy and to lower a roughness.
A biologically relevant method for considering patterns of oceanic retention in the Southern Ocean
Mori, Mao; Corney, Stuart P.; Melbourne-Thomas, Jessica; Klocker, Andreas; Sumner, Michael; Constable, Andrew
2017-12-01
Many marine species have planktonic forms - either during a larval stage or throughout their lifecycle - that move passively or are strongly influenced by ocean currents. Understanding these patterns of movement is important for informing marine ecosystem management and for understanding ecological processes generally. Retention of biological particles in a particular area due to ocean currents has received less attention than transport pathways, particularly for the Southern Ocean. We present a method for modelling retention time, based on the half-life for particles in a particular region, that is relevant for biological processes. This method uses geostrophic velocities at the ocean surface, derived from 23 years of satellite altimetry data (1993-2016), to simulate the advection of passive particles during the Southern Hemisphere summer season (from December to March). We assess spatial patterns in the retention time of passive particles and evaluate the processes affecting these patterns for the Indian sector of the Southern Ocean. Our results indicate that the distribution of retention time is related to bathymetric features and the resulting ocean dynamics. Our analysis also reveals a moderate level of consistency between spatial patterns of retention time and observations of Antarctic krill (Euphausia superba) distribution.
Slab edge insulating form system and methods
Lee, Brain E [Corral de Tierra, CA; Barsun, Stephan K [Davis, CA; Bourne, Richard C [Davis, CA; Hoeschele, Marc A [Davis, CA; Springer, David A [Winters, CA
2009-10-06
A method of forming an insulated concrete foundation is provided comprising constructing a foundation frame, the frame comprising an insulating form having an opening, inserting a pocket former into the opening; placing concrete inside the foundation frame; and removing the pocket former after the placed concrete has set, wherein the concrete forms a pocket in the placed concrete that is accessible through the opening. The method may further comprise sealing the opening by placing a sealing plug or sealing material in the opening. A system for forming an insulated concrete foundation is provided comprising a plurality of interconnected insulating forms, the insulating forms having a rigid outer member protecting and encasing an insulating material, and at least one gripping lip extending outwardly from the outer member to provide a pest barrier. At least one insulating form has an opening into which a removable pocket former is inserted. The system may also provide a tension anchor positioned in the pocket former and a tendon connected to the tension anchor.
Nanofiber electrode and method of forming same
Energy Technology Data Exchange (ETDEWEB)
Pintauro, Peter N.; Zhang, Wenjing
2018-02-27
In one aspect, a method of forming an electrode for an electrochemical device is disclosed. In one embodiment, the method includes the steps of mixing at least a first amount of a catalyst and a second amount of an ionomer or uncharged polymer to form a solution and delivering the solution into a metallic needle having a needle tip. The method further includes the steps of applying a voltage between the needle tip and a collector substrate positioned at a distance from the needle tip, and extruding the solution from the needle tip at a flow rate such as to generate electrospun fibers and deposit the generated fibers on the collector substrate to form a mat with a porous network of fibers. Each fiber in the porous network of the mat has distributed particles of the catalyst. The method also includes the step of pressing the mat onto a membrane.
Structural pattern recognition methods based on string comparison for fusion databases
International Nuclear Information System (INIS)
Dormido-Canto, S.; Farias, G.; Dormido, R.; Vega, J.; Sanchez, J.; Duro, N.; Vargas, H.; Ratta, G.; Pereira, A.; Portas, A.
2008-01-01
Databases for fusion experiments are designed to store several million waveforms. Temporal evolution signals show the same patterns under the same plasma conditions and, therefore, pattern recognition techniques allow the identification of similar plasma behaviours. This article is focused on the comparison of structural pattern recognition methods. A pattern can be composed of simpler sub-patterns, where the most elementary sub-patterns are known as primitives. Selection of primitives is an essential issue in structural pattern recognition methods, because they determine what types of structural components can be constructed. However, it should be noted that there is not a general solution to extract structural features (primitives) from data. So, four different ways to compute the primitives of plasma waveforms are compared: (1) constant length primitives, (2) adaptive length primitives, (3) concavity method and (4) concavity method for noisy signals. Each method defines a code alphabet and, in this way, the pattern recognition problem is carried out via string comparisons. Results of the four methods with the TJ-II stellarator databases will be discussed
Structural pattern recognition methods based on string comparison for fusion databases
Energy Technology Data Exchange (ETDEWEB)
Dormido-Canto, S. [Dpto. Informatica y Automatica - UNED 28040, Madrid (Spain)], E-mail: sebas@dia.uned.es; Farias, G.; Dormido, R. [Dpto. Informatica y Automatica - UNED 28040, Madrid (Spain); Vega, J. [Asociacion EURATOM/CIEMAT para Fusion, 28040, Madrid (Spain); Sanchez, J.; Duro, N.; Vargas, H. [Dpto. Informatica y Automatica - UNED 28040, Madrid (Spain); Ratta, G.; Pereira, A.; Portas, A. [Asociacion EURATOM/CIEMAT para Fusion, 28040, Madrid (Spain)
2008-04-15
Databases for fusion experiments are designed to store several million waveforms. Temporal evolution signals show the same patterns under the same plasma conditions and, therefore, pattern recognition techniques allow the identification of similar plasma behaviours. This article is focused on the comparison of structural pattern recognition methods. A pattern can be composed of simpler sub-patterns, where the most elementary sub-patterns are known as primitives. Selection of primitives is an essential issue in structural pattern recognition methods, because they determine what types of structural components can be constructed. However, it should be noted that there is not a general solution to extract structural features (primitives) from data. So, four different ways to compute the primitives of plasma waveforms are compared: (1) constant length primitives, (2) adaptive length primitives, (3) concavity method and (4) concavity method for noisy signals. Each method defines a code alphabet and, in this way, the pattern recognition problem is carried out via string comparisons. Results of the four methods with the TJ-II stellarator databases will be discussed.
Random walk-based similarity measure method for patterns in complex object
Directory of Open Access Journals (Sweden)
Liu Shihu
2017-04-01
Full Text Available This paper discusses the similarity of the patterns in complex objects. The complex object is composed both of the attribute information of patterns and the relational information between patterns. Bearing in mind the specificity of complex object, a random walk-based similarity measurement method for patterns is constructed. In this method, the reachability of any two patterns with respect to the relational information is fully studied, and in the case of similarity of patterns with respect to the relational information can be calculated. On this bases, an integrated similarity measurement method is proposed, and algorithms 1 and 2 show the performed calculation procedure. One can find that this method makes full use of the attribute information and relational information. Finally, a synthetic example shows that our proposed similarity measurement method is validated.
An experimental design method leading to chemical Turing patterns.
Horváth, Judit; Szalai, István; De Kepper, Patrick
2009-05-08
Chemical reaction-diffusion patterns often serve as prototypes for pattern formation in living systems, but only two isothermal single-phase reaction systems have produced sustained stationary reaction-diffusion patterns so far. We designed an experimental method to search for additional systems on the basis of three steps: (i) generate spatial bistability by operating autoactivated reactions in open spatial reactors; (ii) use an independent negative-feedback species to produce spatiotemporal oscillations; and (iii) induce a space-scale separation of the activatory and inhibitory processes with a low-mobility complexing agent. We successfully applied this method to a hydrogen-ion autoactivated reaction, the thiourea-iodate-sulfite (TuIS) reaction, and noticeably produced stationary hexagonal arrays of spots and parallel stripes of pH patterns attributed to a Turing bifurcation. This method could be extended to biochemical reactions.
Manish, Kumar; Pandit, Maharaj K; Telwala, Yasmeen; Nautiyal, Dinesh C; Koh, Lian Pin; Tiwari, Sudha
2017-09-01
Despite decades of research, ecologists continue to debate how spatial patterns of species richness arise across elevational gradients on the Earth. The equivocal results of these studies could emanate from variations in study design, sampling effort and data analysis. In this study, we demonstrate that the richness patterns of 2,781 (2,197 non-endemic and 584 endemic) angiosperm species along an elevational gradient of 300-5,300 m in the Eastern Himalaya are hump-shaped, spatial scale of extent (the proportion of elevational gradient studied) dependent and growth form specific. Endemics peaked at higher elevations than non-endemics across all growth forms (trees, shrubs, climbers, and herbs). Richness patterns were influenced by the proportional representation of the largest physiognomic group (herbs). We show that with increasing spatial scale of extent, the richness patterns change from a monotonic to a hump-shaped pattern and richness maxima shift toward higher elevations across all growth forms. Our investigations revealed that the combination of ambient energy (air temperature, solar radiation, and potential evapo-transpiration) and water availability (soil water content and precipitation) were the main drivers of elevational plant species richness patterns in the Himalaya. This study highlights the importance of factoring in endemism, growth forms, and spatial scale when investigating elevational gradients of plant species distributions and advances our understanding of how macroecological patterns arise.
A loading pattern optimization method for nuclear fuel management
International Nuclear Information System (INIS)
Argaud, J.P.
1997-01-01
Nuclear fuel reload of PWR core leads to the search of an optimal nuclear fuel assemblies distribution, namely of loading pattern. This large discrete optimization problem is here expressed as a cost function minimization. To deal with this problem, an approach based on gradient information is used to direct the search in the patterns discrete space. A method using an adjoint state formulation is then developed, and final results of complete patterns search tests by this method are presented. (author)
Patterned structures of graphene and graphitic carbon and methods for their manufacture
Energy Technology Data Exchange (ETDEWEB)
Polsky, Ronen; Xiao, Xiaoyin; Burckel, David Bruce; Wheeler, David R.; Brozik, Susan M.; Beechem, Thomas Edwin
2018-01-09
A patterned graphene or graphitic body is produced by providing a three-dimensionally patterned carbonaceous body; coating the body with a catalytic metal whereby is formed a coating having an inner surface proximal the body and an outer surface distal the body; and annealing the coated body under time and temperature conditions effective to form a graphene or graphitic layer on the outer surface of the catalytic metal coating.
Formation of Au nano-patterns on various substrates using simplified nano-transfer printing method
Kim, Jong-Woo; Yang, Ki-Yeon; Hong, Sung-Hoon; Lee, Heon
2008-06-01
For future device applications, fabrication of the metal nano-patterns on various substrates, such as Si wafer, non-planar glass lens and flexible plastic films become important. Among various nano-patterning technologies, nano-transfer print method is one of the simplest techniques to fabricate metal nano-patterns. In nano-transfer printing process, thin Au layer is deposited on flexible PDMS mold, containing surface protrusion patterns, and the Au layer is transferred from PDMS mold to various substrates due to the difference of bonding strength of Au layer to PDMS mold and to the substrate. For effective transfer of Au layer, self-assembled monolayer, which has strong bonding to Au, is deposited on the substrate as a glue layer. In this study, complicated SAM layer coating process was replaced to simple UV/ozone treatment, which can activates the surface and form the -OH radicals. Using simple UV/ozone treatments on both Au and substrate, Au nano-pattern can be successfully transferred to as large as 6 in. diameter Si wafer, without SAM coating process. High fidelity transfer of Au nano-patterns to non-planar glass lens and flexible PET film was also demonstrated.
Photovoltaic cell module and method of forming
Howell, Malinda; Juen, Donnie; Ketola, Barry; Tomalia, Mary Kay
2017-12-12
A photovoltaic cell module, a photovoltaic array including at least two modules, and a method of forming the module are provided. The module includes a first outermost layer and a photovoltaic cell disposed on the first outermost layer. The module also includes a second outermost layer disposed on the photovoltaic cell and sandwiching the photovoltaic cell between the second outermost layer and the first outermost layer. The method of forming the module includes the steps of disposing the photovoltaic cell on the first outermost layer, disposing a silicone composition on the photovoltaic cell, and compressing the first outermost layer, the photovoltaic cell, and the second layer to form the photovoltaic cell module.
Properties of made by different methods of RP impeller foundry patterns
Directory of Open Access Journals (Sweden)
G. Budzik
2007-04-01
Full Text Available This article presents the tests of properties of foundry patterns of turbocharger turbine impeller. Impellers prototypes were predestinated for casting by method losing patterns. There were carried out tests of these prototypes made by different methods of Rapid Prototyping (RP. Two impeller were made by growth methods: stereolitography (SLA and three dimensional printing (3DP. One prototype was made by the method of Vacuum Casting as a wax casting. Tests were executed in the Department of Machine Design of Rzeszow University of Technology in cooperation with WSK PZL Rzeszow and Car Technology Krakow. First impeller was carried out by method of stereolitography on SLA 250 plant. That pattern was also used to carry out silicon matrix for casting of wax pattern. Next pattern was printed by three dimensional printer Z510 from the powder ZP14. Good removability of the pattern from the mould is particularly essential for impellers of small turbines with blades of small thickness of their section. All pattern were tested on their removability from the ceramic mould. The best melting properties had the wax pattern. Patterns made from resin SL5170 (SLA and powder ZP14 (3DP were removed in the process of burning but about 1% of soot was left in the mould.
Wang, Xianbin; Chen, Wei; Wang, Zhihong; Zhang, Xixiang; Yue, Weisheng; Lai, Zhiping
2015-01-01
Embodiments of the present disclosure provide for materials that include a pre-designed patterned, porous membrane (e.g., micro- and/or nano-scale patterned), structures or devices that include a pre-designed patterned, porous membrane, methods of making pre-designed patterned, porous membranes, methods of separation, and the like.
Wang, Xianbin
2015-01-22
Embodiments of the present disclosure provide for materials that include a pre-designed patterned, porous membrane (e.g., micro- and/or nano-scale patterned), structures or devices that include a pre-designed patterned, porous membrane, methods of making pre-designed patterned, porous membranes, methods of separation, and the like.
Heuristics Miner for E-Commerce Visitor Access Pattern Representation
Kartina Diah Kesuma Wardhani; Wawan Yunanto
2017-01-01
E-commerce click stream data can form a certain pattern that describe visitor behavior while surfing the e-commerce website. This pattern can be used to initiate a design to determine alternative access sequence on the website. This research use heuristic miner algorithm to determine the pattern. σ-Algorithm and Genetic Mining are methods used for pattern recognition with frequent sequence item set approach. Heuristic Miner is an evolved form of those methods. σ-Algorithm assume that an activ...
Dissipative neurodynamics in perception forms cortical patterns that are stabilized by vortices
Freeman, Walter J.; Vitiello, Giuseppe
2009-06-01
In the engagement of the brain with its environment, large-scale neural interactions in brain dynamics create a mesoscopic order parameter, which is evaluated by measuring brain waves (electrocorticogram, ECoG). Such large-scale interactions emerge from the background activity of the brain that is sustained by mutual excitation in cortical populations and manifest in spatiotemporal patterns of neural activity. Band pass filtering reveals beats in ECoG power that recur at theta rates (3-7 Hz) as null spikes in log10 power. The order parameter transiently approaches zero, and the microscopic activity is both disordered and symmetric. As the null spikes terminate, the order parameter resurges and imposes a mesoscopic spatial pattern of ECoG amplitude modulation that then governs the microscopic gamma activity and retrieves the memory of a stimulus. The brain waves reveal a spatial pattern of phase modulation in the form of a cone. The dissipative many-body model of brain dynamics describes these phase cones as vortices, which are initiated by the null spikes, and which stabilize the amplitude modulated patterns embedded in the turbulent neural noise from which they emerge.
Dissipative neurodynamics in perception forms cortical patterns that are stabilized by vortices
International Nuclear Information System (INIS)
Freeman, Walter J; Vitiello, Giuseppe
2009-01-01
In the engagement of the brain with its environment, large-scale neural interactions in brain dynamics create a mesoscopic order parameter, which is evaluated by measuring brain waves (electrocorticogram, ECoG). Such large-scale interactions emerge from the background activity of the brain that is sustained by mutual excitation in cortical populations and manifest in spatiotemporal patterns of neural activity. Band pass filtering reveals beats in ECoG power that recur at theta rates (3-7 Hz) as null spikes in log 10 power. The order parameter transiently approaches zero, and the microscopic activity is both disordered and symmetric. As the null spikes terminate, the order parameter resurges and imposes a mesoscopic spatial pattern of ECoG amplitude modulation that then governs the microscopic gamma activity and retrieves the memory of a stimulus. The brain waves reveal a spatial pattern of phase modulation in the form of a cone. The dissipative many-body model of brain dynamics describes these phase cones as vortices, which are initiated by the null spikes, and which stabilize the amplitude modulated patterns embedded in the turbulent neural noise from which they emerge.
Chu, Henry Shiu-Hung [Idaho Falls, ID; Lillo, Thomas Martin [Idaho Falls, ID
2008-12-02
The invention includes methods of forming an aluminum oxynitride-comprising body. For example, a mixture is formed which comprises A:B:C in a respective molar ratio in the range of 9:3.6-6.2:0.1-1.1, where "A" is Al.sub.2O.sub.3, "B" is AlN, and "C" is a total of one or more of B.sub.2O.sub.3, SiO.sub.2, Si--Al--O--N, and TiO.sub.2. The mixture is sintered at a temperature of at least 1,600.degree. C. at a pressure of no greater than 500 psia effective to form an aluminum oxynitride-comprising body which is at least internally transparent and has at least 99% maximum theoretical density.
Numerical method for studying the circulation patterns of a fluid in a cavity
International Nuclear Information System (INIS)
Stephani, L.M.; Butler, T.D.
1975-10-01
The method incorporates three circulation-inducing mechanisms: (1) buoyancy induced by nonuniform initial distribution of heat throughout the fluid, (2) buoyancy induced by removal of heat from the fluid, and (3) forced convection induced by withdrawal of heated fluid and return of cooled fluid. A two-dimensional computer program, CIRCO, based on the Marker-and-Cell (MAC) technique, is used to study the circulation patterns. The report discusses the code and illustrates its capabilities by means of examples from studies conducted for the Pacer project, which investigates the concept of producing electrical power from energy released by thermonuclear explosions in a salt dome. Efficient engineering for withdrawing energy from the cavity requires an understanding of the circulation patterns of the heated fluid. CIRCO provides this information in the form of computer-generated plots
Different protein-protein interface patterns predicted by different machine learning methods.
Wang, Wei; Yang, Yongxiao; Yin, Jianxin; Gong, Xinqi
2017-11-22
Different types of protein-protein interactions make different protein-protein interface patterns. Different machine learning methods are suitable to deal with different types of data. Then, is it the same situation that different interface patterns are preferred for prediction by different machine learning methods? Here, four different machine learning methods were employed to predict protein-protein interface residue pairs on different interface patterns. The performances of the methods for different types of proteins are different, which suggest that different machine learning methods tend to predict different protein-protein interface patterns. We made use of ANOVA and variable selection to prove our result. Our proposed methods taking advantages of different single methods also got a good prediction result compared to single methods. In addition to the prediction of protein-protein interactions, this idea can be extended to other research areas such as protein structure prediction and design.
Improved pattern recognition systems by hybrid methods
International Nuclear Information System (INIS)
Duerr, B.; Haettich, W.; Tropf, H.; Winkler, G.; Fraunhofer-Gesellschaft zur Foerderung der Angewandten Forschung e.V., Karlsruhe
1978-12-01
This report describes a combination of statistical and syntactical pattern recongition methods. The hierarchically structured recognition system consists of a conventional statistical classifier, a structural classifier analysing the topological composition of the patterns, a stage reducing the number of hypotheses made by the first two stages, and a mixed stage based on a search for maximum similarity between syntactically generated prototypes and patterns. The stages work on different principles to avoid mistakes made in one stage in the other stages. This concept is applied to the recognition of numerals written without constraints. If no samples are rejected, a recognition rate of 99,5% is obtained. (orig.) [de
Nodal methods for calculating nuclear reactor transients, control rod patterns, and fuel pin powers
International Nuclear Information System (INIS)
Cho, Byungoh.
1990-01-01
Nodal methods which are used to calculate reactor transients, control rod patterns, and fuel pin powers are investigated. The 3-D nodal code, STORM, has been modified to perform these calculations. Several numerical examples lead to the following conclusions: (1) By employing a thermal leakage-to-absorption ratio (TLAR) approximation for the spatial shape of the thermal fluxes for the 3-D Langenbuch-Maurer-Werner (LMW) and the superprompt critical transient problems, the convergence of the conventional two-group scheme is accelerated. (2) By employing the steepest-ascent hill climbing search with heuristic strategies, Optimum Control Rod Pattern Searcher (OCRPS) is developed for solving control rod positioning problem in BWRs. Using the method of approximation programming the objective function and the nuclear and thermal-hydraulic constraints are modified as heuristic functions that guide the search. The test calculations have demonstrated that, for the first cycle of the Edwin Hatch Unit number-sign 2 reactor, OCRPS shows excellent performance for finding a series of optimum control rod patterns for six burnup steps during the operating cycle. (3) For the modified two-dimensional EPRI-9R problem, the least square second-order polynomial flux expansion method was demonstrated to be computationally about 30 times faster than a fine-mesh finite difference calculation in order to achieve comparable accuracy for pin powers. The basic assumption of this method is that the reconstructed flux can be expressed as a product of an assembly form function and a second-order polynomial function
Method of forming electronically conducting polymers on conducting and nonconducting substrates
Murphy, Oliver J. (Inventor); Hitchens, G. Duncan (Inventor); Hodko, Dalibor (Inventor); Clarke, Eric T. (Inventor); Miller, David L. (Inventor); Parker, Donald L. (Inventor)
2001-01-01
The present invention provides electronically conducting polymer films formed from photosensitive formulations of pyrrole and an electron acceptor that have been selectively exposed to UV light, laser light, or electron beams. The formulations may include photoinitiators, flexibilizers, solvents and the like. These solutions can be used in applications including printed circuit boards and through-hole plating and enable direct metallization processes on non-conducting substrates. After forming the conductive polymer patterns, a printed wiring board can be formed by sensitizing the polymer with palladium and electrolytically depositing copper.
Subspace methods for pattern recognition in intelligent environment
Jain, Lakhmi
2014-01-01
This research book provides a comprehensive overview of the state-of-the-art subspace learning methods for pattern recognition in intelligent environment. With the fast development of internet and computer technologies, the amount of available data is rapidly increasing in our daily life. How to extract core information or useful features is an important issue. Subspace methods are widely used for dimension reduction and feature extraction in pattern recognition. They transform a high-dimensional data to a lower-dimensional space (subspace), where most information is retained. The book covers a broad spectrum of subspace methods including linear, nonlinear and multilinear subspace learning methods and applications. The applications include face alignment, face recognition, medical image analysis, remote sensing image classification, traffic sign recognition, image clustering, super resolution, edge detection, multi-view facial image synthesis.
Triboelectricity: macroscopic charge patterns formed by self-arraying ions on polymer surfaces.
Burgo, Thiago A L; Ducati, Telma R D; Francisco, Kelly R; Clinckspoor, Karl J; Galembeck, Fernando; Galembeck, Sergio E
2012-05-15
Tribocharged polymers display macroscopically patterned positive and negative domains, verifying the fractal geometry of electrostatic mosaics previously detected by electric probe microscopy. Excess charge on contacting polyethylene (PE) and polytetrafluoroethylene (PTFE) follows the triboelectric series but with one caveat: net charge is the arithmetic sum of patterned positive and negative charges, as opposed to the usual assumption of uniform but opposite signal charging on each surface. Extraction with n-hexane preferentially removes positive charges from PTFE, while 1,1-difluoroethane and ethanol largely remove both positive and negative charges. Using suitable analytical techniques (electron energy-loss spectral imaging, infrared microspectrophotometry and carbonization/colorimetry) and theoretical calculations, the positive species were identified as hydrocarbocations and the negative species were identified as fluorocarbanions. A comprehensive model is presented for PTFE tribocharging with PE: mechanochemical chain homolytic rupture is followed by electron transfer from hydrocarbon free radicals to the more electronegative fluorocarbon radicals. Polymer ions self-assemble according to Flory-Huggins theory, thus forming the experimentally observed macroscopic patterns. These results show that tribocharging can only be understood by considering the complex chemical events triggered by mechanical action, coupled to well-established physicochemical concepts. Patterned polymers can be cut and mounted to make macroscopic electrets and multipoles.
Directory of Open Access Journals (Sweden)
Jian Feng Liu
Full Text Available Abstract Three-dimensional numerical simulations and experiments were performed to examine the formation and spatial dispersion patterns of integral multiple explosively formed penetrators (MEFP warhead with seven hemispherical liners. Numerical results had successfully described the formation process and distribution pattern of MEFP. A group of penetrators consisting of a central penetrator surrounded by 6 penetrators is formed during the formation process of MEFP and moves in the direction of aiming position. The maximum divergence angle of the surrounding penetrator group was 7.8°, and the damage area could reach 0.16 m2 at 1.2 m. The laws of perforation dispersion patterns of MEFP were also obtained through a nonlinear fitting of the perforation information on the target at different standoffs. The terminal effects of the MEFP warhead were performed on three #45 steel targets with a dimension of 160cm ( 160cm ( 1.5cm at various standoffs (60, 80, and 120 cm. The simulation results were validated through penetration experiments at different standoffs. It has shown excellent agreement between simulation and experiment results.
Thermoelectric generator and method of forming same
International Nuclear Information System (INIS)
Wilson, K.T.
1981-01-01
A thermoelectric device is disclosed which comprises the formation of a multiplicity of thermocouples on a substrate in a narrow strip form, the thermocouples being formed by printing with first and second inks formed of suitable different powdered metals with a proper binder or flux. The thermocouples are formed in series and the opposed coupled areas are melted to form an intermingling of the two metals and the strips may be formed in substantial lengths and rolled onto a reel, or in relatively short strip form and disposed in a side-by-side abutting relationship in substantial numbers to define a generally rectangular panel form with opposed ends in electrical connection. The method of forming the panels includes the steps of feeding a suitable substrate, either in a continuous roll or sheet form, through first and second printers to form the series connected multiplicity of thermocouples thereon. From the printers the sheet or strip passes through a melter such as an induction furnace and from the furnace it passes through a sheeter, if the strip is in roll form. The sheets are then slit into narrow strips relative to the thermocouples, printed thereon and the strips are then formed into a bundle. A predetermined number of bundles are assembled into a panel form
Basic thinking patterns and working methods for multiple DFX
DEFF Research Database (Denmark)
Andreasen, Mogens Myrup; Mortensen, Niels Henrik
1997-01-01
This paper attempts to describe the theory and methodologies behind DFX and linking multiple DFX's together. The contribution is an articulation of basic thinking patterns and description of some working methods for handling multiple DFX.......This paper attempts to describe the theory and methodologies behind DFX and linking multiple DFX's together. The contribution is an articulation of basic thinking patterns and description of some working methods for handling multiple DFX....
International Nuclear Information System (INIS)
Chen, Da Ming; Liang, Zong Cun; Zhuang, Lin; Lin, Yang Huan; Shen, Hui
2012-01-01
Highlights: ► a-Si thin films as semitransparent phosphorus diffusion barriers for solar cell. ► a-Si thin films on silicon wafers were patterned by the alkaline solution. ► Selective emitter was formed with patterned a-Si as diffusion barrier for solar cell. -- Abstract: Selective emitter for silicon solar cell was realized by employing a-Si thin films as the semi-transparent diffusion barrier. The a-Si thin films with various thicknesses (∼10–40 nm) were deposited by the electron-beam evaporation technique. Emitters with sheet resistances from 37 to 145 Ω/□ were obtained via POCl 3 diffusion process. The thickness of the a-Si diffusion barrier was optimized to be 15 nm for selective emitter in our work. Homemade mask which can dissolve in ethanol was screen-printed on a-Si film to make pattern. The a-Si film was then patterned in KOH solution to form finger-like design. Selective emitter was obtainable with one-step diffusion with patterned a-Si film on. Combinations of sheet resistances for the high-/low-level doped regions of 39.8/112.1, 36.2/88.8, 35.4/73.9 were obtained. These combinations are suitable for screen-printed solar cells. This preparation method of selective emitter based on a-Si diffusion barrier is a promising approach for low cost industrial manufacturing.
Frequency guided methods for demodulation of a single fringe pattern.
Wang, Haixia; Kemao, Qian
2009-08-17
Phase demodulation from a single fringe pattern is a challenging task but of interest. A frequency-guided regularized phase tracker and a frequency-guided sequential demodulation method with Levenberg-Marquardt optimization are proposed to demodulate a single fringe pattern. Demodulation path guided by the local frequency from the highest to the lowest is applied in both methods. Since critical points have low local frequency values, they are processed last so that the spurious sign problem caused by these points is avoided. These two methods can be considered as alternatives to the effective fringe follower regularized phase tracker. Demodulation results from one computer-simulated and two experimental fringe patterns using the proposed methods will be demonstrated. (c) 2009 Optical Society of America
Vitality Forms Processing in the Insula during Action Observation: A Multivoxel Pattern Analysis.
Di Cesare, Giuseppe; Valente, Giancarlo; Di Dio, Cinzia; Ruffaldi, Emanuele; Bergamasco, Massimo; Goebel, Rainer; Rizzolatti, Giacomo
2016-01-01
Observing the style of an action done by others allows the observer to understand the cognitive state of the agent. This information has been defined by Stern "vitality forms". Previous experiments showed that the dorso-central insula is selectively active both during vitality form observation and execution. In the present study, we presented participants with videos showing hand actions performed with different velocities and asked them to judge either their vitality form (gentle, neutral, rude) or their velocity (slow, medium, fast). The aim of the present study was to assess, using multi-voxel pattern analysis, whether vitality forms and velocities of observed goal-directed actions are differentially processed in the insula, and more specifically whether action velocity is encoded per se or it is an element that triggers neural populations of the insula encoding the vitality form. The results showed that, consistently across subjects, in the dorso-central sector of the insula there were voxels selectively tuned to vitality forms, while voxel tuned to velocity were rare. These results indicate that the dorso-central insula, which previous data showed to be involved in the vitality form processing, contains voxels specific for the action style processing.
Maximum super angle optimization method for array antenna pattern synthesis
DEFF Research Database (Denmark)
Wu, Ji; Roederer, A. G
1991-01-01
Different optimization criteria related to antenna pattern synthesis are discussed. Based on the maximum criteria and vector space representation, a simple and efficient optimization method is presented for array and array fed reflector power pattern synthesis. A sector pattern synthesized by a 2...
Gomes, Bruno; Sousa, Carla A; Vicente, José L; Pinho, Leonor; Calderón, Isabel; Arez, Eliane; Almeida, António Pg; Donnelly, Martin J; Pinto, João
2013-04-11
Two biological forms of the mosquito Culex pipiens s.s., denoted pipiens and molestus, display behavioural differences that may affect their role as vectors of arboviruses. In this study, the feeding patterns of molestus and pipiens forms were investigated in Comporta (Portugal), where high levels of inter-form admixture have been recorded. Indoor and outdoor mosquito collections were performed in the summer of 2010. Collected Cx. pipiens s.l. females were molecularly identified to species and form by PCR and genotyped for six microsatellites. The source of the blood meal in post-fed females was determined by ELISA and mitochondrial DNA sequencing. The distribution of the forms differed according to the collection method. The molestus form was present only in indoor collections, whereas pipiens and admixed individuals were sampled both indoors and outdoors. In both forms, over 90% of blood meals were made on avian hosts. These included blood meals taken from Passeriformes (Passer domesticus and Turdus merula) by females caught resting inside domestic shelters. Genetic structure and blood meal analyses suggest the presence of a bird biting molestus population in the study area. Both forms were found to rest indoors, mainly in avian shelters, but at least a proportion of females of the pipiens form may bite outdoors in sylvan habitats and then search for anthropogenic resting sites to complete their gonotrophic cycle. This behaviour may potentiate the accidental transmission of arboviruses to humans in the region.
The Fractal Patterns of Words in a Text: A Method for Automatic Keyword Extraction.
Najafi, Elham; Darooneh, Amir H
2015-01-01
A text can be considered as a one dimensional array of words. The locations of each word type in this array form a fractal pattern with certain fractal dimension. We observe that important words responsible for conveying the meaning of a text have dimensions considerably different from one, while the fractal dimensions of unimportant words are close to one. We introduce an index quantifying the importance of the words in a given text using their fractal dimensions and then ranking them according to their importance. This index measures the difference between the fractal pattern of a word in the original text relative to a shuffled version. Because the shuffled text is meaningless (i.e., words have no importance), the difference between the original and shuffled text can be used to ascertain degree of fractality. The degree of fractality may be used for automatic keyword detection. Words with the degree of fractality higher than a threshold value are assumed to be the retrieved keywords of the text. We measure the efficiency of our method for keywords extraction, making a comparison between our proposed method and two other well-known methods of automatic keyword extraction.
The Fractal Patterns of Words in a Text: A Method for Automatic Keyword Extraction
Najafi, Elham; Darooneh, Amir H.
2015-01-01
A text can be considered as a one dimensional array of words. The locations of each word type in this array form a fractal pattern with certain fractal dimension. We observe that important words responsible for conveying the meaning of a text have dimensions considerably different from one, while the fractal dimensions of unimportant words are close to one. We introduce an index quantifying the importance of the words in a given text using their fractal dimensions and then ranking them according to their importance. This index measures the difference between the fractal pattern of a word in the original text relative to a shuffled version. Because the shuffled text is meaningless (i.e., words have no importance), the difference between the original and shuffled text can be used to ascertain degree of fractality. The degree of fractality may be used for automatic keyword detection. Words with the degree of fractality higher than a threshold value are assumed to be the retrieved keywords of the text. We measure the efficiency of our method for keywords extraction, making a comparison between our proposed method and two other well-known methods of automatic keyword extraction. PMID:26091207
Quantitative EEG Applying the Statistical Recognition Pattern Method
DEFF Research Database (Denmark)
Engedal, Knut; Snaedal, Jon; Hoegh, Peter
2015-01-01
BACKGROUND/AIM: The aim of this study was to examine the discriminatory power of quantitative EEG (qEEG) applying the statistical pattern recognition (SPR) method to separate Alzheimer's disease (AD) patients from elderly individuals without dementia and from other dementia patients. METHODS...
Vitality Forms Processing in the Insula during Action Observation: A Multivoxel Pattern Analysis
Di Cesare, Giuseppe; Valente, Giancarlo; Di Dio, Cinzia; Ruffaldi, Emanuele; Bergamasco, Massimo; Goebel, Rainer; Rizzolatti, Giacomo
2016-01-01
Observing the style of an action done by others allows the observer to understand the cognitive state of the agent. This information has been defined by Stern “vitality forms”. Previous experiments showed that the dorso-central insula is selectively active both during vitality form observation and execution. In the present study, we presented participants with videos showing hand actions performed with different velocities and asked them to judge either their vitality form (gentle, neutral, rude) or their velocity (slow, medium, fast). The aim of the present study was to assess, using multi-voxel pattern analysis, whether vitality forms and velocities of observed goal-directed actions are differentially processed in the insula, and more specifically whether action velocity is encoded per se or it is an element that triggers neural populations of the insula encoding the vitality form. The results showed that, consistently across subjects, in the dorso-central sector of the insula there were voxels selectively tuned to vitality forms, while voxel tuned to velocity were rare. These results indicate that the dorso-central insula, which previous data showed to be involved in the vitality form processing, contains voxels specific for the action style processing. PMID:27375461
Method of forming a dianhydrosugar alcohol
Holladay, Johnathan E [Kennewick, WA; Hu, Jianli [Kennewick, WA; Wang, Yong [Richland, WA; Werpy, Todd A [West Richland, WA; Zhang, Xinjie [Burlington, MA
2010-01-19
The invention includes methods of producing dianhydrosugars. A polyol is reacted in the presence of a first catalyst to form a monocyclic sugar. The monocyclic sugar is transferred to a second reactor where it is converted to a dianhydrosugar alcohol in the presence of a second catalyst. The invention includes a process of forming isosorbide. An initial reaction is conducted at a first temperature in the presence of a solid acid catalyst. The initial reaction involves reacting sorbitol to produce 1,4-sorbitan, 3,6-sorbitan, 2,5-mannitan and 2,5-iditan. Utilizing a second temperature, the 1,4-sorbitan and 3,6-sorbitan are converted to isosorbide. The invention includes a method of purifying isosorbide from a mixture containing isosorbide and at least one additional component. A first distillation removes a first portion of the isosorbide from the mixture. A second distillation is then conducted at a higher temperature to remove a second portion of isosorbide from the mixture.
Underwood, Charlie; Johanson, Zerina; Smith, Moya Meredith
2016-11-01
The squaliform sharks represent one of the most speciose shark clades. Many adult squaliforms have blade-like teeth, either on both jaws or restricted to the lower jaw, forming a continuous, serrated blade along the jaw margin. These teeth are replaced as a single unit and successor teeth lack the alternate arrangement present in other elasmobranchs. Micro-CT scans of embryos of squaliforms and a related outgroup (Pristiophoridae) revealed that the squaliform dentition pattern represents a highly modified version of tooth replacement seen in other clades. Teeth of Squalus embryos are arranged in an alternate pattern, with successive tooth rows containing additional teeth added proximally. Asynchronous timing of tooth production along the jaw and tooth loss prior to birth cause teeth to align in oblique sets containing teeth from subsequent rows; these become parallel to the jaw margin during ontogeny, so that adult Squalus has functional tooth rows comprising obliquely stacked teeth of consecutive developmental rows. In more strongly heterodont squaliforms, initial embryonic lower teeth develop into the oblique functional sets seen in adult Squalus, with no requirement to form, and subsequently lose, teeth arranged in an initial alternate pattern.
A new method locating good glass-forming compositions
Energy Technology Data Exchange (ETDEWEB)
Yu, Dechuan [Department of Materials Physics and Chemistry, Northeastern University, No.3-11, Wenhua Road, Shenyang, 110819 (China); Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang, 110016 (China); Geng, Yan [Department of Materials Physics and Chemistry, Northeastern University, No.3-11, Wenhua Road, Shenyang, 110819 (China); Li, Zhengkun [Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang, 110016 (China); Liu, Dingming [Department of Materials Physics and Chemistry, Northeastern University, No.3-11, Wenhua Road, Shenyang, 110819 (China); Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang, 110016 (China); Fu, Huameng; Zhu, Zhengwang [Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang, 110016 (China); Qi, Yang, E-mail: qiyang@imp.neu.edu.cn [Department of Materials Physics and Chemistry, Northeastern University, No.3-11, Wenhua Road, Shenyang, 110819 (China); Zhang, Haifeng, E-mail: hfzhang@imr.ac.cn [Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang, 110016 (China)
2015-10-15
A new method was proposed to pinpoint the compositions with good glass forming ability (GFA) by combining atomic clusters and mixing entropy. The clusters were confirmed by analyzing competing crystalline phases. The method was applied to the Zr–Al–Ni–Cu–Ag alloy system. A series of glass formers with diameter up to 20 mm were quickly detected in this system. The good glass formers were located only after trying 5 compositions around the calculated composition. The method was also effective in other multi-component systems. This method might provide a new way to understand glass formation and to quickly pinpoint compositions with high GFA. - Highlights: • A new method was proposed to quickly design glass formers with high glass forming ability. • The method of designing pentabasic Zr–Al–Ni–Cu–Ag alloys was applied. • A series of new Zr-based bulk metallic glasses with critical diameter of 20 mm were discovered.
A new method locating good glass-forming compositions
International Nuclear Information System (INIS)
Yu, Dechuan; Geng, Yan; Li, Zhengkun; Liu, Dingming; Fu, Huameng; Zhu, Zhengwang; Qi, Yang; Zhang, Haifeng
2015-01-01
A new method was proposed to pinpoint the compositions with good glass forming ability (GFA) by combining atomic clusters and mixing entropy. The clusters were confirmed by analyzing competing crystalline phases. The method was applied to the Zr–Al–Ni–Cu–Ag alloy system. A series of glass formers with diameter up to 20 mm were quickly detected in this system. The good glass formers were located only after trying 5 compositions around the calculated composition. The method was also effective in other multi-component systems. This method might provide a new way to understand glass formation and to quickly pinpoint compositions with high GFA. - Highlights: • A new method was proposed to quickly design glass formers with high glass forming ability. • The method of designing pentabasic Zr–Al–Ni–Cu–Ag alloys was applied. • A series of new Zr-based bulk metallic glasses with critical diameter of 20 mm were discovered
Kim, H.; Ho, C.; Kim, J.
2008-12-01
This study presents the pattern classification of tropical cyclone (TC) tracks over the western North Pacific (WNP) basin during the typhoon season (June through October) for 1965-2006 (total 42 years) using a fuzzy clustering method. After the fuzzy c-mean clustering algorithm to the TC trajectory interpolated into 20 segments of equivalent length, we divided the whole tracks into 7 patterns. The optimal number of the fuzzy cluster is determined by several validity measures. The classified TC track patterns represent quite different features in the recurving latitudes, genesis locations, and geographical pathways: TCs mainly forming in east-northern part of the WNP and striking Korean and Japan (C1); mainly forming in west-southern part of the WNP, traveling long pathway, and partly striking Japan (C2); mainly striking Taiwan and East China (C3); traveling near the east coast of Japan (C4); traveling the distant ocean east of Japan (C5); moving toward South China and Vietnam straightly (C6); and forming in the South China Sea (C7). Atmospheric environments related to each cluster show physically consistent with each TC track patterns. The straight track pattern is closely linked to a developed anticyclonic circulation to the north of the TC. It implies that this ridge acts as a steering flow forcing TCs to move to the northwest with a more west-oriented track. By contrast, recurving patterns occur commonly under the influence of the strong anomalous westerlies over the TC pathway but there definitely exist characteristic anomalous circulations over the mid- latitudes by pattern. Some clusters are closely related to the well-known large-scale phenomena. The C1 and C2 are highly related to the ENSO phase: The TCs in the C1 (C2) is more active during La Niña (El Niño). The TC activity in the C3 is associated with the WNP summer monsoon. The TCs in the C4 is more (less) vigorous during the easterly (westerly) phase of the stratospheric quasi-biennial oscillation
A method for predicting monthly rainfall patterns
International Nuclear Information System (INIS)
Njau, E.C.
1987-11-01
A brief survey is made of previous methods that have been used to predict rainfall trends or drought spells in different parts of the earth. The basic methodologies or theoretical strategies used in these methods are compared with contents of a recent theory of Sun-Weather/Climate links (Njau, 1985a; 1985b; 1986; 1987a; 1987b; 1987c) which point towards the possibility of practical climatic predictions. It is shown that not only is the theoretical basis of each of these methodologies or strategies fully incorporated into the above-named theory, but also this theory may be used to develop a technique by which future monthly rainfall patterns can be predicted in further and finer details. We describe the latter technique and then illustrate its workability by means of predictions made on monthly rainfall patterns in some East African meteorological stations. (author). 43 refs, 11 figs, 2 tabs
Life-forms and seasonal patterns in the pteridophytes in Zambia
Directory of Open Access Journals (Sweden)
Jan Kornaś
2015-01-01
Full Text Available 146 species of pteridophytes occurring in Zambia were classified into Raunkiaer's life-form classes. The hemicryptophytes are dominant and include the most widely distributed species. The phanerophytes (tree-ferns and lianas and the epiphytes are rather scarce and limited to or concentrated in the higher-rainfall areas in the northern part of the country. Simplified diagrams of periodicity were constructed for all Zambian pteridophyte species. Three major types of seasonal pattern of growth and dormancy were distinguished: the evergreen type, the poikilohydrous type, and the "summer-green" type. The first of them is connected with the local conditions of continuously wet non-zonal sites, while the two others clearly reflect the peculiarities of the zonal climate of Zambia.
Yang, Si-Xia; Guo, Chao; Zhao, Xiu-Ting; Sun, Jing-Tao; Hong, Xiao-Yue
2017-02-19
The two-spotted spider mite, Tetranychus urticae Koch has two forms: green form and red form. Understanding the molecular basis of how these two forms established without divergent genetic background is an intriguing area. As a well-known epigenetic process, DNA methylation has particularly important roles in gene regulation and developmental variation across diverse organisms that do not alter genetic background. Here, to investigate whether DNA methylation could be associated with different phenotypic consequences in the two forms of T. urticae, we surveyed the genome-wide cytosine methylation status and expression level of DNA methyltransferase 3 (Tudnmt3) throughout their entire life cycle. Methylation-sensitive amplification polymorphism (MSAP) analyses of 585 loci revealed variable methylation patterns in the different developmental stages. In particular, principal coordinates analysis (PCoA) indicates a significant epigenetic differentiation between female adults of the two forms. The gene expression of Tudnmt3 was detected in all examined developmental stages, which was significantly different in the adult stage of the two forms. Together, our results reveal the epigenetic distance between the two forms of T. urticae, suggesting that DNA methylation might be implicated in different developmental demands, and contribute to different phenotypes in the adult stage of these two forms. © 2017 Institute of Zoology, Chinese Academy of Sciences.
Effects of cooking methods on electrophoretic patterns of rainbow trout
Directory of Open Access Journals (Sweden)
Yasemen Yanar
2011-07-01
Full Text Available The aim of this study was to determine the effects of different cooking methods on the electrophoretic patterns of rainbow trout (Oncorhynchus mykiss fillets using sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE. Raw rainbow trout were deep-fried, microwaved, grilled, and baked and then monitored for changes in the electrophoretic pattern. All cooking methods resulted in significant moisture loss when compared to the raw sample (P
Die singulation method and package formed thereby
Anderson, Robert C [Tucson, AZ; Shul, Randy J [Albuquerque, NM; Clews, Peggy J [Tijeras, NM; Baker, Michael S [Albuquerque, NM; De Boer, Maarten P [Albuquerque, NM
2012-08-07
A method is disclosed for singulating die from a substrate having a sacrificial layer and one or more device layers, with a retainer being formed in the device layer(s) and anchored to the substrate. Deep Reactive Ion Etching (DRIE) etching of a trench through the substrate from the bottom side defines a shape for each die. A handle wafer is then attached to the bottom side of the substrate, and the sacrificial layer is etched to singulate the die and to form a frame from the retainer and the substrate. The frame and handle wafer, which retain the singulated die in place, can be attached together with a clamp or a clip and to form a package for the singulated die. One or more stops can be formed from the device layer(s) to limit a sliding motion of the singulated die.
Tidal-induced large-scale regular bed form patterns in a three-dimensional shallow water model
Hulscher, Suzanne J.M.H.
1996-01-01
The three-dimensional model presented in this paper is used to study how tidal currents form wave-like bottom patterns. Inclusion of vertical flow structure turns out to be necessary to describe the formation, or absence, of all known large-scale regular bottom features. The tide and topography are
Hollow fiber membranes and methods for forming same
Bhandari, Dhaval Ajit; McCloskey, Patrick Joseph; Howson, Paul Edward; Narang, Kristi Jean; Koros, William
2016-03-22
The invention provides improved hollow fiber membranes having at least two layers, and methods for forming the same. The methods include co-extruding a first composition, a second composition, and a third composition to form a dual layer hollow fiber membrane. The first composition includes a glassy polymer; the second composition includes a polysiloxane; and the third composition includes a bore fluid. The dual layer hollow fiber membranes include a first layer and a second layer, the first layer being a porous layer which includes the glassy polymer of the first composition, and the second layer being a polysiloxane layer which includes the polysiloxane of the second composition.
Sirota-Madi, A.; Olender, T.; Helman, Y.; Ingham, C.; Brainis, I.; Roth, D.; Hagi, E.; Brodsky, L.; Leshkowitz, D.; Galatenko, V.; Nikolaev, V.; Mugasimangalam, R.C.; Bransburg-Zabary, S.; Gutnick, D.L.; Lancet, D.; Ben-Jacob, E.
2010-01-01
Background: The pattern-forming bacterium Paenibacillus vortex is notable for its advanced social behavior, which is reflected in development of colonies with highly intricate architectures. Prior to this study, only two other Paenibacillus species (Paenibacillus sp. JDR-2 and Paenibacillus larvae)
Comparing urban form correlations of the travel patterns of older and younger adults
DEFF Research Database (Denmark)
Meza, Maria Josefina Figueroa; Nielsen, Thomas Alexander Sick; Siren, Anu Kristiina
2014-01-01
Using disaggregated data from the Danish National Travel Survey conducted between 2006 - 2011, this study compares the travel patterns of older (65 – 84 years of age) and younger (18 – 64 years of age) adults regarding land use, socio-economic conditions and urban structures. The results highlight...... significant differences between travel patterns and their urban form correlates for the older and younger adult populations. Spatial variables such as density and regional accessibility have different and potentially reverse associations with travel among older adults. The car use of older adults...... is not substituted by other modes in high-density settings, as is the case for younger adults. Older adults do not respond to high regional accessibility by reducing distance traveled, but travel longer and are also more likely to continue using a car in high-access conditions. Spatial structural conditions have...
International Nuclear Information System (INIS)
Park, Inseok; Grandhi, Ramana V.
2014-01-01
Apart from parametric uncertainty, model form uncertainty as well as prediction error may be involved in the analysis of engineering system. Model form uncertainty, inherently existing in selecting the best approximation from a model set cannot be ignored, especially when the predictions by competing models show significant differences. In this research, a methodology based on maximum likelihood estimation is presented to quantify model form uncertainty using the measured differences of experimental and model outcomes, and is compared with a fully Bayesian estimation to demonstrate its effectiveness. While a method called the adjustment factor approach is utilized to propagate model form uncertainty alone into the prediction of a system response, a method called model averaging is utilized to incorporate both model form uncertainty and prediction error into it. A numerical problem of concrete creep is used to demonstrate the processes for quantifying model form uncertainty and implementing the adjustment factor approach and model averaging. Finally, the presented methodology is applied to characterize the engineering benefits of a laser peening process
Gerik, A.; Kruhl, J. H.
2006-12-01
The quantitative analysis of patterns as a geometric arrangement of material domains with specific geometric or crystallographic properties such as shape, size or crystallographic orientation has been shown to be a valuable tool with a wide field of applications in geo- and material sciences. Pattern quantification allows an unbiased comparison of experimentally generated or theoretical patterns with patterns of natural origin. In addition to this, the application of different methods can also provide information about different pattern forming processes. This information includes the distribution of crystals in a matrix - to analyze i.e. the nature and orientation of flow within a melt - or the governing shear strain regime at the point of time the pattern was formed as well as nature of fracture patterns of different scales, all of which are of great interest not only in structural and engineering geology, but also in material sciences. Different approaches to this problem have been discussed over the past fifteen years, yet only few of the methods were applied successfully at least to single examples (i.e. Velde et al., 1990; Harris et al., 1991; Peternell et al., 2003; Volland &Kruhl, 2004). One of the reasons for this has been the high expenditure of time that was necessary to prepare and analyse the samples. To overcome this problem, a first selection of promising methods have been implemented into a growing collection of software tools: (1) The modifications that Harris et al. (1991) have suggested for the Cantor's dust method (Velde et al., 1990) and which have been applied by Volland &Kruhl (2004) to show the anisotropy in a breccia sample. (2) A map-counting method that uses local box-counting dimensions to map the inhomogeneity of a crystal distribution pattern. Peternell et al. (2003) have used this method to analyze the distribution of phenocrysts in a porphyric granite. (3) A modified perimeter method that relates the directional dependence of the
Planting pattern and weed control method influence on yield production of corn (Zea mays L.)
Purba, E.; Nasution, D. P.
2018-02-01
Field experiment was carried out to evaluate the influence of planting patterns and weed control methods on the growth and yield of corn. The effect of the planting pattern and weed control method was studied in a split plot design. The main plots were that of planting pattern single row (25cm x 60cm), double row (25cm x 25cm x 60cm) and triangle row ( 25cm x 25cm x 25cm). Subplot was that of weed control method consisted five methods namely weed free throughout the growing season, hand weeding, sprayed with glyphosate, sprayed with paraquat, and no weeding.. Result showed that both planting pattern and weed control method did not affect the growth of corn. However, planting pattern and weed control method significantly affected yield production. Yield resulted from double row and triangle planting pattern was 14% and 41% higher, consecutively, than that of single row pattern. The triangle planting pattern combined with any weed control method produced the highest yield production of corn.
Pattern recognition as a method of data analysis
Energy Technology Data Exchange (ETDEWEB)
Caputo, M.
1978-11-15
The method of pattern recognition has been used in biological and social sciences and has been recently introduced for the solution of geological and geophysical problems such as oil and ore prospecting and seismological prediction. The method is briefly illustrated by an application to earthquake prediction in Italy in which topographic and geologic maps are used in conjunction with earthquake catalogs. 3 figures, 1 table.
Methods for detecting the environmental coccoid form of Helicobacter pylori
Directory of Open Access Journals (Sweden)
Mahnaz eMazaheri Assadi
2015-05-01
Full Text Available Helicobacter pylori is recognized as the most common pathogen to cause gastritis, peptic and duodenal ulcers, and gastric cancer. The organisms are found in two forms: 1 spiral-shaped bacillus and 2 coccoid. H. pylori coccoid form, generally found in the environment, is the transformed form of the normal spiral-shaped bacillus after exposed to water or adverse environmental conditions such as exposure to sub-inhibitory concentrations of antimicrobial agents. The putative infectious capability and the viability of H. pylori under environmental conditions are controversial. This disagreement is partially due to the fact of lack in detecting the coccoid form of H. pylori in the environment. Accurate and effective detection methods of H. pylori will lead to rapid treatment and disinfection, and less human health damages and reduction in health care costs. In this review, we provide a brief introduction to H. pylori environmental coccoid forms, their transmission and detection methods. We further discuss the use of these detection methods including their accuracy and efficiency.
Contribution to an effective design method for stationary reaction-diffusion patterns
International Nuclear Information System (INIS)
Szalai, István; Horváth, Judit; De Kepper, Patrick
2015-01-01
The British mathematician Alan Turing predicted, in his seminal 1952 publication, that stationary reaction-diffusion patterns could spontaneously develop in reacting chemical or biochemical solutions. The first two clear experimental demonstrations of such a phenomenon were not made before the early 1990s when the design of new chemical oscillatory reactions and appropriate open spatial chemical reactors had been invented. Yet, the number of pattern producing reactions had not grown until 2009 when we developed an operational design method, which takes into account the feeding conditions and other specificities of real open spatial reactors. Since then, on the basis of this method, five additional reactions were shown to produce stationary reaction-diffusion patterns. To gain a clearer view on where our methodical approach on the patterning capacity of a reaction stands, numerical studies in conditions that mimic true open spatial reactors were made. In these numerical experiments, we explored the patterning capacity of Rabai's model for pH driven Landolt type reactions as a function of experimentally attainable parameters that control the main time and length scales. Because of the straightforward reversible binding of protons to carboxylate carrying polymer chains, this class of reaction is at the base of the chemistry leading to most of the stationary reaction-diffusion patterns presently observed. We compare our model predictions with experimental observations and comment on agreements and differences
Contribution to an effective design method for stationary reaction-diffusion patterns
Energy Technology Data Exchange (ETDEWEB)
Szalai, István; Horváth, Judit [Laboratory of Nonlinear Chemical Dynamics, Institute of Chemistry, Eötvös Loránd University, P.O. Box 32, H-1518 Budapest 112 (Hungary); De Kepper, Patrick [Centre de Recherche Paul Pascal, CNRS, University of Bordeaux, 115, Avenue Schweitzer, F-33600 Pessac (France)
2015-06-15
The British mathematician Alan Turing predicted, in his seminal 1952 publication, that stationary reaction-diffusion patterns could spontaneously develop in reacting chemical or biochemical solutions. The first two clear experimental demonstrations of such a phenomenon were not made before the early 1990s when the design of new chemical oscillatory reactions and appropriate open spatial chemical reactors had been invented. Yet, the number of pattern producing reactions had not grown until 2009 when we developed an operational design method, which takes into account the feeding conditions and other specificities of real open spatial reactors. Since then, on the basis of this method, five additional reactions were shown to produce stationary reaction-diffusion patterns. To gain a clearer view on where our methodical approach on the patterning capacity of a reaction stands, numerical studies in conditions that mimic true open spatial reactors were made. In these numerical experiments, we explored the patterning capacity of Rabai's model for pH driven Landolt type reactions as a function of experimentally attainable parameters that control the main time and length scales. Because of the straightforward reversible binding of protons to carboxylate carrying polymer chains, this class of reaction is at the base of the chemistry leading to most of the stationary reaction-diffusion patterns presently observed. We compare our model predictions with experimental observations and comment on agreements and differences.
Oblique patterned etching of vertical silicon sidewalls
Bruce Burckel, D.; Finnegan, Patrick S.; David Henry, M.; Resnick, Paul J.; Jarecki, Robert L.
2016-04-01
A method for patterning on vertical silicon surfaces in high aspect ratio silicon topography is presented. A Faraday cage is used to direct energetic reactive ions obliquely through a patterned suspended membrane positioned over the topography. The technique is capable of forming high-fidelity pattern (100 nm) features, adding an additional fabrication capability to standard top-down fabrication approaches.
Development of standard testing methods for nuclear-waste forms
International Nuclear Information System (INIS)
Mendel, J.E.; Nelson, R.D.
1981-11-01
Standard test methods for waste package component development and design, safety analyses, and licensing are being developed for the Nuclear Waste Materials Handbook. This paper describes mainly the testing methods for obtaining waste form materials data
Investigating Convergence Patterns for Numerical Methods Using Data Analysis
Gordon, Sheldon P.
2013-01-01
The article investigates the patterns that arise in the convergence of numerical methods, particularly those in the errors involved in successive iterations, using data analysis and curve fitting methods. In particular, the results obtained are used to convey a deeper level of understanding of the concepts of linear, quadratic, and cubic…
Heuristics Miner for E-Commerce Visitor Access Pattern Representation
Directory of Open Access Journals (Sweden)
Kartina Diah Kesuma Wardhani
2017-06-01
Full Text Available E-commerce click stream data can form a certain pattern that describe visitor behavior while surfing the e-commerce website. This pattern can be used to initiate a design to determine alternative access sequence on the website. This research use heuristic miner algorithm to determine the pattern. σ-Algorithm and Genetic Mining are methods used for pattern recognition with frequent sequence item set approach. Heuristic Miner is an evolved form of those methods. σ-Algorithm assume that an activity in a website, that has been recorded in the data log, is a complete sequence from start to finish, without any tolerance to incomplete data or data with noise. On the other hand, Genetic Mining is a method that tolerate incomplete data or data with noise, so it can generate a more detailed e-commerce visitor access pattern. In this study, the same sequence of events obtained from six-generated patterns. The resulting pattern of visitor access is that visitors are often access the home page and then the product category page or the home page and then the full text search page.
Micro Dot Patterning on the Light Guide Panel Using Powder Blasting
Directory of Open Access Journals (Sweden)
Dong Sam Park
2008-02-01
Full Text Available This study is to develop a micromachining technology for a light guidepanel(LGP mold, whereby micro dot patterns are formed on a LGP surface by a singleinjection process instead of existing screen printing processes. The micro powder blastingtechnique is applied to form micro dot patterns on the LGP mold surface. The optimalconditions for masking, laminating, exposure, and developing processes to form the microdot patterns are first experimentally investigated. A LGP mold with masked micro patternsis then machined using the micro powder blasting method and the machinability of themicro dot patterns is verified. A prototype LGP is test- injected using the developed LGPmold and a shape analysis of the patterns and performance testing of the injected LGP arecarried out. As an additional approach, matte finishing, a special surface treatment method,is applied to the mold surface to improve the light diffusion characteristics, uniformity andbrightness of the LGP. The results of this study show that the applied powder blastingmethod can be successfully used to manufacture LGPs with micro patterns by just singleinjection using the developed mold and thereby replace existing screen printing methods.
Method of forming a ceramic matrix composite and a ceramic matrix component
de Diego, Peter; Zhang, James
2017-05-30
A method of forming a ceramic matrix composite component includes providing a formed ceramic member having a cavity, filling at least a portion of the cavity with a ceramic foam. The ceramic foam is deposited on a barrier layer covering at least one internal passage of the cavity. The method includes processing the formed ceramic member and ceramic foam to obtain a ceramic matrix composite component. Also provided is a method of forming a ceramic matrix composite blade and a ceramic matrix composite component.
Assessment methods of injection moulded nano-patterned surfaces
DEFF Research Database (Denmark)
Menotti, S.; Bisacco, G.; Hansen, H. N.
2014-01-01
algorithm for feature recognition. To compare the methods, the mould insert and a number of replicated nano-patterned surfaces, injection moulded with an induction heating aid, were measured on nominally identical locations by means of an atomic force microscope mounted on a manual CMM....
Flux form Semi-Lagrangian methods for parabolic problems
Directory of Open Access Journals (Sweden)
Bonaventura Luca
2016-09-01
Full Text Available A semi-Lagrangian method for parabolic problems is proposed, that extends previous work by the authors to achieve a fully conservative, flux-form discretization of linear and nonlinear diffusion equations. A basic consistency and stability analysis is proposed. Numerical examples validate the proposed method and display its potential for consistent semi-Lagrangian discretization of advection diffusion and nonlinear parabolic problems.
Spatial pattern of Amazonian timber species using cartesian and spatial coordinates method
Directory of Open Access Journals (Sweden)
Tiago Monteiro Condé
2016-06-01
Full Text Available Geographic information system (GIS applied to forest analysis permit the recognition and analysis of spatial patterns of species in two and three dimensional. The aim of this study to demonstrate the efficiency of cartesian and spatial coordinates method (MCCE, method of correcting UTM coordinates of trees location in accordance with the location of field or Cartesian (X ,Y, combined with natural neighbor index (ANND in recognition and analysis of spatial distribution patterns of four commercial timber species in forest management in Caracaraí, Roraima State, Brazil. Simulations were performed on 9 ha, divided into 100 plots of 100 m2 each. Collected data were DBH > 10 cm, commercial and total heights, cartesian coordinates (X,Y and spatial coordinates (UTM. Random spatial patterns were observed in Eschweilera bracteosa and Manilkara huberi. The dispersed and rare spatial patterns were observed in Dinizia excelsa and Cedrelinga cateniformis. MCCE proved to be an efficient method in the recognition and analysis of spatial patterns of native species from Amazon rain forest, as forest planning becomes easier by 2D and 3D simulations.
Chang, J Y M; Michielsen, S
2016-05-01
Textiles may provide valuable bloodstain evidence to help piece together events or activities at violent crime scenes. However, in spite of over 75 years of research, there are still difficulties encountered in many cases in the interpretation and identification of bloodstains on textiles. In this study, we dripped porcine blood onto three types of fabric (plain woven, single jersey knit, and denim) that are supported in four different ways (hard, taut, loose, and semi-hard, i.e., fabric laid on denim). These four mounting methods represent different ways in which a textile may be present when blood from a violent act lands on it. This study investigates how the fabric mounting method and backing material affect the appearance of drip stains on textiles. We found that bloodstain patterns formed on fabric lying flat on a hard surface were very different from when the same fabric was suspended loosely. We also found that bloodstains formed on the technical back of single jersey knit were vastly different from those on the technical face. Interestingly, some drip stains showed blood passing through the textile and leaving a stain behind it that resembled insect stains. By observing, recording, and describing how a blood stained textile is found or presented at the scene, the analyst may be able to better understand bloodstains and bloodstain patterns on textiles, which could be useful to confirm or refute a witness's account of how blood came to be where it was found after a bloodshed event.
Li, Ting [Ventura, CA
2011-04-26
The surface morphology of an LED light emitting surface is changed by applying a reactive ion etch (RIE) process to the light emitting surface. High aspect ratio, submicron roughness is formed on the light emitting surface by transferring a thin film metal hard-mask having submicron patterns to the surface prior to applying a reactive ion etch process. The submicron patterns in the metal hard-mask can be formed using a low cost, commercially available nano-patterned template which is transferred to the surface with the mask. After subsequently binding the mask to the surface, the template is removed and the RIE process is applied for time duration sufficient to change the morphology of the surface. The modified surface contains non-symmetric, submicron structures having high aspect ratio which increase the efficiency of the device.
Synthesis of freeform refractive surfaces forming various radiation patterns using interpolation
Voznesenskaya, Anna; Mazur, Iana; Krizskiy, Pavel
2017-09-01
Optical freeform surfaces are very popular today in such fields as lighting systems, sensors, photovoltaic concentrators, and others. The application of such surfaces allows to obtain systems with a new quality with a reduced number of optical components to ensure high consumer characteristics: small size, weight, high optical transmittance. This article presents the methods of synthesis of refractive surface for a given source and the radiation pattern of various shapes using a computer simulation cubic spline interpolation.
Three-dimensional recurring patterns in excitable media
International Nuclear Information System (INIS)
Biton, Y.; Rabinovitch, A.; Braunstein, D.; Friedman, M.; Aviram, I.
2011-01-01
A new method to create three-dimensional periodic patterns in excitable media is presented. The method is demonstrated and the patterns are obtained with the help of two types of 3D 'spiral pairs' generators, which are respectively based on a 'corner effect' and a 'unidirectional propagation' processes. The results portray time-repeating patterns resembling fruits or potteries. The method is easy to implement and can be used to form other types of 3D patterns in excitable media. The question of periodicity of the patterns thus obtained is resolved by calculating the singular lines (filaments) around which they evolve and showing their unique reattachment property. Actual realizations could be conceived e.g. in chemical reactions such as Belousov-Zhabotinsky. Possible severe cardiac arrhythmias following the appearance of such patterns in the action potential of the heart are considered. -- Highlights: → New method to create three-dimensional periodic patterns in excitable media. → Singular lines (filaments) for the corner effect are presented. → Filaments are shown to exhibit periodic behavior.
Directory of Open Access Journals (Sweden)
Knaus William A
2006-03-01
Full Text Available Abstract Background Data mining can be utilized to automate analysis of substantial amounts of data produced in many organizations. However, data mining produces large numbers of rules and patterns, many of which are not useful. Existing methods for pruning uninteresting patterns have only begun to automate the knowledge acquisition step (which is required for subjective measures of interestingness, hence leaving a serious bottleneck. In this paper we propose a method for automatically acquiring knowledge to shorten the pattern list by locating the novel and interesting ones. Methods The dual-mining method is based on automatically comparing the strength of patterns mined from a database with the strength of equivalent patterns mined from a relevant knowledgebase. When these two estimates of pattern strength do not match, a high "surprise score" is assigned to the pattern, identifying the pattern as potentially interesting. The surprise score captures the degree of novelty or interestingness of the mined pattern. In addition, we show how to compute p values for each surprise score, thus filtering out noise and attaching statistical significance. Results We have implemented the dual-mining method using scripts written in Perl and R. We applied the method to a large patient database and a biomedical literature citation knowledgebase. The system estimated association scores for 50,000 patterns, composed of disease entities and lab results, by querying the database and the knowledgebase. It then computed the surprise scores by comparing the pairs of association scores. Finally, the system estimated statistical significance of the scores. Conclusion The dual-mining method eliminates more than 90% of patterns with strong associations, thus identifying them as uninteresting. We found that the pruning of patterns using the surprise score matched the biomedical evidence in the 100 cases that were examined by hand. The method automates the acquisition of
FORMED: Bringing Formal Methods to the Engineering Desktop
2016-02-01
FORMED: BRINGING FORMAL METHODS TO THE ENGINEERING DESKTOP BAE SYSTEMS FEBRUARY 2016 FINAL TECHNICAL REPORT APPROVED FOR PUBLIC RELEASE...This report is published in the interest of scientific and technical information exchange, and its publication does not constitute the Government’s...BRINGING FORMAL METHODS TO THE ENGINEERING DESKTOP 5a. CONTRACT NUMBER FA8750-14-C-0024 5b. GRANT NUMBER N/A 5c. PROGRAM ELEMENT NUMBER 63781D
Machine learning methods for clinical forms analysis in mental health.
Strauss, John; Peguero, Arturo Martinez; Hirst, Graeme
2013-01-01
In preparation for a clinical information system implementation, the Centre for Addiction and Mental Health (CAMH) Clinical Information Transformation project completed multiple preparation steps. An automated process was desired to supplement the onerous task of manual analysis of clinical forms. We used natural language processing (NLP) and machine learning (ML) methods for a series of 266 separate clinical forms. For the investigation, documents were represented by feature vectors. We used four ML algorithms for our examination of the forms: cluster analysis, k-nearest neigh-bours (kNN), decision trees and support vector machines (SVM). Parameters for each algorithm were optimized. SVM had the best performance with a precision of 64.6%. Though we did not find any method sufficiently accurate for practical use, to our knowledge this approach to forms has not been used previously in mental health.
Analysis of Voltage Forming Methods for Multiphase Inverters
Directory of Open Access Journals (Sweden)
Tadas Lipinskis
2013-05-01
Full Text Available The article discusses advantages of the multiphase AC induction motor over three or less phase motors. It presents possible stator winding configurations for a multiphase induction motor. Various fault control strategies were reviewed for phases feeding the motor. The authors propose a method for quality evaluation of voltage forming algorithm in the inverter. Simulation of a six-phase voltage source inverter, voltage in which is formed using a simple SPWM control algorithm, was performed in Matlab Simulink. Simulation results were evaluated using the proposed method. Inverter’s power stage was powered by 400 V DC source. The spectrum of output currents was analysed and the magnitude of the main frequency component was at least 12 times greater than the next biggest-magnitude component. The value of rectified inverter voltage was 373 V.Article in Lithuanian
Accelerated in-vitro release testing methods for extended-release parenteral dosage forms.
Shen, Jie; Burgess, Diane J
2012-07-01
This review highlights current methods and strategies for accelerated in-vitro drug release testing of extended-release parenteral dosage forms such as polymeric microparticulate systems, lipid microparticulate systems, in-situ depot-forming systems and implants. Extended-release parenteral dosage forms are typically designed to maintain the effective drug concentration over periods of weeks, months or even years. Consequently, 'real-time' in-vitro release tests for these dosage forms are often run over a long time period. Accelerated in-vitro release methods can provide rapid evaluation and therefore are desirable for quality control purposes. To this end, different accelerated in-vitro release methods using United States Pharmacopeia (USP) apparatus have been developed. Different mechanisms of accelerating drug release from extended-release parenteral dosage forms, along with the accelerated in-vitro release testing methods currently employed are discussed. Accelerated in-vitro release testing methods with good discriminatory ability are critical for quality control of extended-release parenteral products. Methods that can be used in the development of in-vitro-in-vivo correlation (IVIVC) are desirable; however, for complex parenteral products this may not always be achievable. © 2012 The Authors. JPP © 2012 Royal Pharmaceutical Society.
Accelerated in vitro release testing methods for extended release parenteral dosage forms
Shen, Jie; Burgess, Diane J.
2012-01-01
Objectives This review highlights current methods and strategies for accelerated in vitro drug release testing of extended release parenteral dosage forms such as polymeric microparticulate systems, lipid microparticulate systems, in situ depot-forming systems, and implants. Key findings Extended release parenteral dosage forms are typically designed to maintain the effective drug concentration over periods of weeks, months or even years. Consequently, “real-time” in vitro release tests for these dosage forms are often run over a long time period. Accelerated in vitro release methods can provide rapid evaluation and therefore are desirable for quality control purposes. To this end, different accelerated in vitro release methods using United States Pharmacopoeia (USP) apparatus have been developed. Different mechanisms of accelerating drug release from extended release parenteral dosage forms, along with the accelerated in vitro release testing methods currently employed are discussed. Conclusions Accelerated in vitro release testing methods with good discriminatory ability are critical for quality control of extended release parenteral products. Methods that can be used in the development of in vitro-in vivo correlation (IVIVC) are desirable, however for complex parenteral products this may not always be achievable. PMID:22686344
Comparative phylogeography: concepts, methods and general patterns in neotropical birds
International Nuclear Information System (INIS)
Arbelaez Cortes, Enrique
2012-01-01
Understanding the patterns and processes involved in intraspecific lineages diversification in time and space is the aim of phylogeography. The comparison of those phylogeographic patterns among co-distributed species shows insights of a community history. Here I review the concepts and methodologies of comparative phylogeography, an active research field that has heterogeneous analytical methods. In order to present a framework for phylogeography in the neotropics, I comment the general phylogeographic patterns of the birds from this region. this review is based on more than 100 studies conducted during the last 25 years and indicate that despite different co-distributed species seem to share some points in their phylogeographic pattern they have idiosyncratic aspects, indicating an unique history for each one.
Making Complex Electrically Conductive Patterns on Cloth
Chu, Andrew; Fink, Patrick W.; Dobbins, Justin A.; Lin, Greg Y.; Scully, Robert C.; Trevino, Robert
2008-01-01
A method for automated fabrication of flexible, electrically conductive patterns on cloth substrates has been demonstrated. Products developed using this method, or related prior methods, are instances of a technology known as 'e-textiles,' in which electrically conductive patterns ar formed in, and on, textiles. For many applications, including high-speed digital circuits, antennas, and radio frequency (RF) circuits, an e-textile method should be capable of providing high surface conductivity, tight tolerances for control of characteristic impedances, and geometrically complex conductive patterns. Unlike prior methods, the present method satisfies all three of these criteria. Typical patterns can include such circuit structures as RF transmission lines, antennas, filters, and other conductive patterns equivalent to those of conventional printed circuits. The present method overcomes the limitations of the prior methods for forming the equivalent of printed circuits on cloth. A typical fabrication process according to the present method involves selecting the appropriate conductive and non-conductive fabric layers to build the e-textile circuit. The present method uses commercially available woven conductive cloth with established surface conductivity specifications. Dielectric constant, loss tangent, and thickness are some of the parameters to be considered for the non-conductive fabric layers. The circuit design of the conductive woven fabric is secured onto a non-conductive fabric layer using sewing, embroidery, and/or adhesive means. The portion of the conductive fabric that is not part of the circuit is next cut from the desired circuit using an automated machine such as a printed-circuit-board milling machine or a laser cutting machine. Fiducials can be used to align the circuit and the cutting machine. Multilayer circuits can be built starting with the inner layer and using conductive thread to make electrical connections between layers.
A new method for analysing socio-ecological patterns of vulnerability
Kok, M.; Lüdeke, M.; Lucas, P.; Sterzel, T.; Walther, C.; Janssen, P.; Sietz, D.; Soysa, de I.
2016-01-01
This paper presents a method for the analysis of socio-ecological patterns of vulnerability of people being at risk of losing their livelihoods as a consequence of global environmental change. This method fills a gap in methodologies for vulnerability analysis by providing generalizations of the
International Nuclear Information System (INIS)
Ratta, G.A.; Vega, J.; Pereira, A.; Portas, A.; Luna, E. de la; Dormido-Canto, S.; Farias, G.; Dormido, R.; Sanchez, J.; Duro, N.; Vargas, H.; Santos, M.; Pajares, G.; Murari, A.
2008-01-01
Structural pattern recognition techniques allow the identification of plasma behaviours. Physical properties are encoded in the morphological structure of signals. Intelligent access methods have been applied to JET databases to retrieve data according to physical criteria. On the one hand, the structural form of signals has been used to develop general purpose data retrieval systems to search for both similar entire waveforms and similar structural shapes inside waveforms. On the other hand, domain dependent knowledge was added to the structural information of signals to create particular data retrieval methods for specific physical phenomena. The inclusion of explicit knowledge assists in data analysis. The latter has been applied in JET to look for first, cut-offs in ECE heterodyne radiometer signals and, second, L-H transitions
Energy Technology Data Exchange (ETDEWEB)
Ratta, G.A. [Asociacion EURATOM/CIEMAT para Fusion (Spain)], E-mail: giuseppe.ratta@ciemat.es; Vega, J.; Pereira, A.; Portas, A.; Luna, E. de la [Asociacion EURATOM/CIEMAT para Fusion (Spain); Dormido-Canto, S.; Farias, G.; Dormido, R.; Sanchez, J.; Duro, N.; Vargas, H. [Dpto. Informatica y Automatica-UNED, 28040 Madrid (Spain); Santos, M.; Pajares, G. [Dpto. Arquitectura de Computadores y Automatica-UCM, 28040 Madrid (Spain); Murari, A. [Consorzio RFX-Associazione EURATOM ENEA per la Fusione, Padua (Italy)
2008-04-15
Structural pattern recognition techniques allow the identification of plasma behaviours. Physical properties are encoded in the morphological structure of signals. Intelligent access methods have been applied to JET databases to retrieve data according to physical criteria. On the one hand, the structural form of signals has been used to develop general purpose data retrieval systems to search for both similar entire waveforms and similar structural shapes inside waveforms. On the other hand, domain dependent knowledge was added to the structural information of signals to create particular data retrieval methods for specific physical phenomena. The inclusion of explicit knowledge assists in data analysis. The latter has been applied in JET to look for first, cut-offs in ECE heterodyne radiometer signals and, second, L-H transitions.
Füredi-Milhofer, Helga; Garti, N.; Kamyshny, A.
1999-03-01
Solubilization and crystallization of the artificial sweetener aspartame (APM), in water/isooctane microemulsions stabilized with sodium diisooctyl sulfosuccinate (AOT) has been investigated. The amount of aspartame that could be solubilized depended primarily on the amount of surfactant and on the temperature. The maximum AOT/aspartame molar ratio at the w/o interface is shown to be 6.2 at 25°C. It was concluded that the dipeptide is located at the w/o interface interspersed between surfactant molecules and that it acts as a cosurfactant. A new crystal form, APM III, was obtained by cooling of hot w/isooctane/AOT microemulsions containing solubilized aspartame. The new crystal form exhibits a distinct X-ray diffraction powder pattern, as well as changes in the FTIR spectra, thermogravimetric and DSC patterns. H-NMR spectra of APM III dissolved in D 2O were identical to the spectrum of commercial aspartame recorded under the same conditions. The new crystal form has greatly improved dissolution kinetics.
Directory of Open Access Journals (Sweden)
Julia H Chariker
Full Text Available G-quadruplex structures (G4 are found throughout the human genome and are known to play a regulatory role in a variety of molecular processes. Structurally, they have many configurations and can form from one or more DNA strands. At the gene level, they regulate gene expression and protein synthesis. In this paper, chromosomal-level patterns of distribution are analyzed on the human genome to identify high-level distribution patterns potentially related to global functional processes. Here we show unique high density banding patterns on individual chromosomes that are highly correlated, appearing in a mirror pattern, across forward and reverse DNA strands. The highest density of G4 sequences occurs within four megabases of one end of most chromosomes and contains G4 motifs that bind with zinc finger proteins. These findings suggest that G4 may play a role in global chromosomal processes such as those found in meiosis.
Article, component, and method of forming an article
Lacy, Benjamin Paul; Itzel, Gary Michael; Kottilingam, Srikanth Chandrudu; Dutta, Sandip; Schick, David Edward
2018-05-22
An article and method of forming an article are provided. The article includes a body portion separating an inner region and an outer region, an aperture in the body portion, the aperture fluidly connecting the inner region to the outer region, and a conduit extending from an outer surface of the body portion at the aperture and being arranged and disposed to controllably direct fluid from the inner region to the outer region. The method includes providing a body portion separating an inner region and an outer region, providing an aperture in the body portion, and forming a conduit over the aperture, the conduit extending from an outer surface of the body portion and being arranged and disposed to controllably direct fluid from the inner region to the outer region. The article is arranged and disposed for insertion within a hot gas path component.
A novel approach to describing and detecting performance anti-patterns
Sheng, Jinfang; Wang, Yihan; Hu, Peipei; Wang, Bin
2017-08-01
Anti-pattern, as an extension to pattern, describes a widely used poor solution which can bring negative influence to application systems. Aiming at the shortcomings of the existing anti-pattern descriptions, an anti-pattern description method based on first order predicate is proposed. This method synthesizes anti-pattern forms and symptoms, which makes the description more accurate and has good scalability and versatility as well. In order to improve the accuracy of anti-pattern detection, a Bayesian classification method is applied in validation for detection results, which can reduce false negatives and false positives of anti-pattern detection. Finally, the proposed approach in this paper is applied to a small e-commerce system, the feasibility and effectiveness of the approach is demonstrated further through experiments.
Method for forming H2-permselective oxide membranes
Gavalas, G.R.; Nam, S.W.; Tsapatsis, M.; Kim, S.
1995-09-26
Methods are disclosed for forming permselective oxide membranes that are highly selective to permeation of hydrogen by chemical deposition of reactants in the pore of porous tubes, such as Vycor{trademark} glass or Al{sub 2}O{sub 3} tubes. The porous tubes have pores extending through the tube wall. The process involves forming a stream containing a first reactant of the formula RX{sub n}, wherein R is silicon, titanium, boron or aluminum, X is chlorine, bromine or iodine, and n is a number which is equal to the valence of R; and forming another stream containing water vapor as the second reactant. Both of the reactant streams are passed along either the outside or the inside surface of a porous tube and the streams react in the pores of the porous tube to form a nonporous layer of R-oxide in the pores. The membranes are formed by the hydrolysis of the respective halides. In another embodiment, the first reactant stream contains a first reactant having the formula SiH{sub n}Cl{sub 4{minus}n} where n is 1, 2 or 3; and the second reactant stream contains water vapor and oxygen. In still another embodiment the first reactant stream containing a first reactant selected from the group consisting of Cl{sub 3}SiOSiCl{sub 3}, Cl{sub 3}SiOSiCl{sub 2}OSiCl{sub 3}, and mixtures thereof and the second reactant stream contains water vapor. In still another embodiment, membrane formation is carried out by an alternating flow deposition method. This involves a sequence of cycles, each cycle comprising introduction of the halide-containing stream and allowance of a specific time for reaction followed by purge and flow of the water vapor containing stream for a specific length of time. In all embodiments the nonporous layers formed are selectively permeable to hydrogen. 11 figs.
Evaluation of Genetic Pattern of Non-Tuberculosis Mycobacterium Using VNTR Method
Directory of Open Access Journals (Sweden)
Noorozi J
2011-06-01
Full Text Available Background and Objectives: Epidemiological studies of Non-tuberculosis Mycobacterium is important because of the drug resistance pattern and worldwide dissemination of these organisms. One of genetic fingerprinting methods for epidemiological studies is VNTR (Variable Number Tandem Repeat. In this study genetic pattern of atypical Mycobacterium was evaluated by VNTR method for epidemiologic studies. Methods: 48 pulmonary and non pulmonary specimens separated from patients with the symptoms of pulmonary tuberculosis (PTB and identified as Non-tuberculosis Mycobacteriumby phenotypic and PCR-RFLP methods were selected for this study. Clinical samples and their standard strains were evaluated according to VNTR pattern using the 7 genetic loci including ETR-B. ETR-F. ETR-C. MPTR-A. ETR-A. ETR-E. ETR-D.Results: The results of VNTR method showed that none of the 7 loci had any polymorphism in the standard strains of atypical mycobacterium. Some of these variable number tandem repeat in 42 clinical samples of non-tuberculosis Mycobacterium were polymorphic while the PCR product (for any loci was not found in the remaining 6 specimens. Conclusion: Although the used genetic loci of this study were suitable for epidemiological studies of Mycobacterium tuberculosis, these loci were not able to determine the diversity of genetics of non-tuberculosis Mycobacterium Therefore, it seems necessary that other loci be studied using VNTR method.
Joh, C.H.; Arentze, T.A.; Timmermans, H.J.P.
2001-01-01
The application of a multidimensional sequence alignment method for classifying activity travel patterns is reported. The method was developed as an alternative to the existing classification methods suggested in the transportation literature. The relevance of the multidimensional sequence alignment
Simultaneous pattern recognition and track fitting by the Kalman filtering method
International Nuclear Information System (INIS)
Billoir, P.
1990-01-01
A progressive pattern recognition algorithm based on the Kalman filtering method has been tested. The algorithm starts from a small track segment or from a fitted track of a neighbouring detector, then extends the candidate tracks by adding measured points one by one. The fitted parameters and weight matrix of the candidate track are updated when adding a point, and give an increasing precision on prediction of the next point. Thus, pattern recognition and track fitting can be accomplished simultaneously. The method has been implemented and tested for track reconstruction for the vertex detector of the ZEUS experiment at DESY. Detailed procedures of the method and its performance are presented. Its flexibility is described as well. (orig.)
Efficient discovery of risk patterns in medical data.
Li, Jiuyong; Fu, Ada Wai-chee; Fahey, Paul
2009-01-01
This paper studies a problem of efficiently discovering risk patterns in medical data. Risk patterns are defined by a statistical metric, relative risk, which has been widely used in epidemiological research. To avoid fruitless search in the complete exploration of risk patterns, we define optimal risk pattern set to exclude superfluous patterns, i.e. complicated patterns with lower relative risk than their corresponding simpler form patterns. We prove that mining optimal risk pattern sets conforms an anti-monotone property that supports an efficient mining algorithm. We propose an efficient algorithm for mining optimal risk pattern sets based on this property. We also propose a hierarchical structure to present discovered patterns for the easy perusal by domain experts. The proposed approach is compared with two well-known rule discovery methods, decision tree and association rule mining approaches on benchmark data sets and applied to a real world application. The proposed method discovers more and better quality risk patterns than a decision tree approach. The decision tree method is not designed for such applications and is inadequate for pattern exploring. The proposed method does not discover a large number of uninteresting superfluous patterns as an association mining approach does. The proposed method is more efficient than an association rule mining method. A real world case study shows that the method reveals some interesting risk patterns to medical practitioners. The proposed method is an efficient approach to explore risk patterns. It quickly identifies cohorts of patients that are vulnerable to a risk outcome from a large data set. The proposed method is useful for exploratory study on large medical data to generate and refine hypotheses. The method is also useful for designing medical surveillance systems.
The Case Method as a Form of Communication.
Kingsley, Lawrence
1982-01-01
Questions the wisdom of obscurantism as a basis for case writing. Contends that in its present state the case method, for most students, is an inefficient way of learning. Calls for a consensus that cases should be as well-written as other forms of scholarship. (PD)
Standard test method for splitting tensile strength for brittle nuclear waste forms
American Society for Testing and Materials. Philadelphia
1989-01-01
1.1 This test method is used to measure the static splitting tensile strength of cylindrical specimens of brittle nuclear waste forms. It provides splitting tensile-strength data that can be used to compare the strength of waste forms when tests are done on one size of specimen. 1.2 The test method is applicable to glass, ceramic, and concrete waste forms that are sufficiently homogeneous (Note 1) but not to coated-particle, metal-matrix, bituminous, or plastic waste forms, or concretes with large-scale heterogeneities. Cementitious waste forms with heterogeneities >1 to 2 mm and 5 mm can be tested using this procedure provided the specimen size is increased from the reference size of 12.7 mm diameter by 6 mm length, to 51 mm diameter by 100 mm length, as recommended in Test Method C 496 and Practice C 192. Note 1—Generally, the specimen structural or microstructural heterogeneities must be less than about one-tenth the diameter of the specimen. 1.3 This test method can be used as a quality control chec...
An image-processing methodology for extracting bloodstain pattern features.
Arthur, Ravishka M; Humburg, Philomena J; Hoogenboom, Jerry; Baiker, Martin; Taylor, Michael C; de Bruin, Karla G
2017-08-01
There is a growing trend in forensic science to develop methods to make forensic pattern comparison tasks more objective. This has generally involved the application of suitable image-processing methods to provide numerical data for identification or comparison. This paper outlines a unique image-processing methodology that can be utilised by analysts to generate reliable pattern data that will assist them in forming objective conclusions about a pattern. A range of features were defined and extracted from a laboratory-generated impact spatter pattern. These features were based in part on bloodstain properties commonly used in the analysis of spatter bloodstain patterns. The values of these features were consistent with properties reported qualitatively for such patterns. The image-processing method developed shows considerable promise as a way to establish measurable discriminating pattern criteria that are lacking in current bloodstain pattern taxonomies. Copyright © 2017 Elsevier B.V. All rights reserved.
Simple statistical methods for software engineering data and patterns
Pandian, C Ravindranath
2015-01-01
Although there are countless books on statistics, few are dedicated to the application of statistical methods to software engineering. Simple Statistical Methods for Software Engineering: Data and Patterns fills that void. Instead of delving into overly complex statistics, the book details simpler solutions that are just as effective and connect with the intuition of problem solvers.Sharing valuable insights into software engineering problems and solutions, the book not only explains the required statistical methods, but also provides many examples, review questions, and case studies that prov
Application of Classification Methods for Forecasting Mid-Term Power Load Patterns
Piao, Minghao; Lee, Heon Gyu; Park, Jin Hyoung; Ryu, Keun Ho
Currently an automated methodology based on data mining techniques is presented for the prediction of customer load patterns in long duration load profiles. The proposed approach in this paper consists of three stages: (i) data preprocessing: noise or outlier is removed and the continuous attribute-valued features are transformed to discrete values, (ii) cluster analysis: k-means clustering is used to create load pattern classes and the representative load profiles for each class and (iii) classification: we evaluated several supervised learning methods in order to select a suitable prediction method. According to the proposed methodology, power load measured from AMR (automatic meter reading) system, as well as customer indexes, were used as inputs for clustering. The output of clustering was the classification of representative load profiles (or classes). In order to evaluate the result of forecasting load patterns, the several classification methods were applied on a set of high voltage customers of the Korea power system and derived class labels from clustering and other features are used as input to produce classifiers. Lastly, the result of our experiments was presented.
A morphometric analysis of vegetation patterns in dryland ecosystems
Mander, Luke; Dekker, Stefan C.; Li, Mao; Mio, Washington; Punyasena, Surangi W.; Lenton, Timothy M.
2017-02-01
Vegetation in dryland ecosystems often forms remarkable spatial patterns. These range from regular bands of vegetation alternating with bare ground, to vegetated spots and labyrinths, to regular gaps of bare ground within an otherwise continuous expanse of vegetation. It has been suggested that spotted vegetation patterns could indicate that collapse into a bare ground state is imminent, and the morphology of spatial vegetation patterns, therefore, represents a potentially valuable source of information on the proximity of regime shifts in dryland ecosystems. In this paper, we have developed quantitative methods to characterize the morphology of spatial patterns in dryland vegetation. Our approach is based on algorithmic techniques that have been used to classify pollen grains on the basis of textural patterning, and involves constructing feature vectors to quantify the shapes formed by vegetation patterns. We have analysed images of patterned vegetation produced by a computational model and a small set of satellite images from South Kordofan (South Sudan), which illustrates that our methods are applicable to both simulated and real-world data. Our approach provides a means of quantifying patterns that are frequently described using qualitative terminology, and could be used to classify vegetation patterns in large-scale satellite surveys of dryland ecosystems.
Energy Technology Data Exchange (ETDEWEB)
Mitchell, D.R.G., E-mail: dmitchel@uow.edu.au [Electron Microscopy Centre, Australian Institute for Innovative Materials, Innovation Campus, University of Wollongong, North Wollongong, NSW 2500 (Australia); Van den Berg, J.A. [Electron Microscopy Centre, Australian Institute for Innovative Materials, Innovation Campus, University of Wollongong, North Wollongong, NSW 2500 (Australia); Catalyst Fundamentals, Fischer-Tropsch and Syngas Conversion Research, Sasol Technology R & D, Sasolburg 1947 (South Africa)
2016-01-15
A software method has been developed which uses ellipse fitting to analyse electron diffraction patterns from polycrystalline materials. The method, which requires minimal user input, can determine the pattern centre and the diameter of diffraction rings with sub-pixel precision. This enables accurate crystallographic information to be obtained in a rapid and consistent manner. Since the method fits ellipses, it can detect, quantify and correct any elliptical distortion introduced by the imaging system. Distortion information derived from polycrystalline patterns as a function of camera length can be subsequently recalled and applied to single crystal patterns, resulting in improved precision and accuracy. The method has been implemented as a plugin for the DigitalMicrograph software by Gatan, and is a freely available via the internet. - Highlights: • A robust ellipse fitting method is developed. • Freely available software for automated diffraction pattern analysis is demonstrated. • Measurement and correction of elliptical distortion is routinely achieved.
A DATA-MINING BASED METHOD FOR THE GAIT PATTERN ANALYSIS
Directory of Open Access Journals (Sweden)
Marcelo Rudek
2015-12-01
Full Text Available The paper presents a method developed for the gait classification based on the analysis of the trajectory of the pressure centres (CoP extracted from the contact points of the feet with the ground during walking. The data acquirement is performed ba means of a walkway with embedded tactile sensors. The proposed method includes capturing procedures, standardization of data, creation of an organized repository (data warehouse, and development of a process mining. A graphical analysis is applied to looking at the footprint signature patterns. The aim is to obtain a visual interpretation of the grouping by situating it into the normal walking patterns or deviations associated with an individual way of walking. The method consists of data classification automation which divides them into healthy and non-healthy subjects in order to assist in rehabilitation treatments for the people with related mobility problems.
Possibilities of Particle Finite Element Methods in Industrial Forming Processes
Oliver, J.; Cante, J. C.; Weyler, R.; Hernandez, J.
2007-04-01
The work investigates the possibilities offered by the particle finite element method (PFEM) in the simulation of forming problems involving large deformations, multiple contacts, and new boundaries generation. The description of the most distinguishing aspects of the PFEM, and its application to simulation of representative forming processes, illustrate the proposed methodology.
SYNTHESIS METHODS OF ALGEBRAIC NORMAL FORM OF MANY-VALUED LOGIC FUNCTIONS
Directory of Open Access Journals (Sweden)
A. V. Sokolov
2016-01-01
Full Text Available The rapid development of methods of error-correcting coding, cryptography, and signal synthesis theory based on the principles of many-valued logic determines the need for a more detailed study of the forms of representation of functions of many-valued logic. In particular the algebraic normal form of Boolean functions, also known as Zhegalkin polynomial, that well describe many of the cryptographic properties of Boolean functions is widely used. In this article, we formalized the notion of algebraic normal form for many-valued logic functions. We developed a fast method of synthesis of algebraic normal form of 3-functions and 5-functions that work similarly to the Reed-Muller transform for Boolean functions: on the basis of recurrently synthesized transform matrices. We propose the hypothesis, which determines the rules of the synthesis of these matrices for the transformation from the truth table to the coefficients of the algebraic normal form and the inverse transform for any given number of variables of 3-functions or 5-functions. The article also introduces the definition of algebraic degree of nonlinearity of the functions of many-valued logic and the S-box, based on the principles of many-valued logic. Thus, the methods of synthesis of algebraic normal form of 3-functions applied to the known construction of recurrent synthesis of S-boxes of length N = 3k, whereby their algebraic degrees of nonlinearity are computed. The results could be the basis for further theoretical research and practical applications such as: the development of new cryptographic primitives, error-correcting codes, algorithms of data compression, signal structures, and algorithms of block and stream encryption, all based on the perspective principles of many-valued logic. In addition, the fast method of synthesis of algebraic normal form of many-valued logic functions is the basis for their software and hardware implementation.
Design science research methods and patterns innovating information and communication technology
Vaishnavi, Vijay K
2015-01-01
Presenting innovative research methods, this second edition of a bestseller describes a simple and practical methodology for conducting cutting-edge design science research (DSR). It provides comprehensive guidance on how to conduct such research and supplies in-depth treatment of design science theory and the different types of theory that can be generated in design science research.Making novel use of the concept of patterns, it presents 84 research patterns for conducting effective DSR. It emphasizes design science theory throughout and is filled with practical examples of using patterns to
Underwood, Charlie J.; Johanson, Z.; Smith, M.M.
2016-01-01
The squaliform sharks represent one of the most speciose shark clades. Many adult squaliforms have blade-like teeth, either on both jaws or restricted to the lower jaw, forming a continuous, serrated blade along the jaw margin. These teeth are replaced as a single unit and successor teeth lack the alternate arrangement present in other elasmobranchs. Micro-CT scans of embryos of squaliforms and a related outgroup (Pristiophoridae) revealed that the squaliform dentition pattern represents a hi...
Method of changing the control rod pattern in BWR type reactors
International Nuclear Information System (INIS)
Yoshida, Kenji.
1984-01-01
Purpose: To enable to change the control rod pattern in a short time with ease, as well as improve the availability factor of the reactor. Method: Control rods other than those being inserted into the reactor core are inserted into the reactor core to reduce the power by the reduction in the reactor core flow rate. Then, the control rod to be operated is operated partially for the change of the control rod pattern to restrict the linear heat rating of the fuels to less than 0.1 kW/ft per one hour to change the control pattern to the aimed control rod pattern. Then, the reactor core flow rate is increased after the pattern exchange for the control rod to increase the power. Since only the control rod operation is performed without adjusting the reactor core flow rate upon change of the control rod pattern, procedures can be made simply in a short time to thereby improve the availability factor of the reactor. (Moriyama, K.)
Cliché fabrication method using precise roll printing process with 5 um pattern width
Shin, Yejin; Kim, Inyoung; Oh, Dong-Ho; Lee, Taik-Min
2016-09-01
Among the printing processes for printed electronic devices, gravure offset and reverse offset method have drawn attention for its fine pattern printing possibility. These printing methods use cliché, which has critical effect on the final product precision and quality. In this research, a novel precise cliché replica method is proposed. It consists of copper sputtering, precise mask pattern printing with 5 um width using reverse offset printing, Ni electroplating, lift-off, etching, and DLC coating. We finally compare the fabricated replica cliché with the original one and print out precise patterns using the replica cliché.
Zhu, Ge; Yao, Xu-Ri; Qiu, Peng; Mahmood, Waqas; Yu, Wen-Kai; Sun, Zhi-Bin; Zhai, Guang-Jie; Zhao, Qing
2018-02-01
In general, the sound waves can cause the vibration of the objects that are encountered in the traveling path. If we make a laser beam illuminate the rough surface of an object, it will be scattered into a speckle pattern that vibrates with these sound waves. Here, an efficient variance-based method is proposed to recover the sound information from speckle patterns captured by a high-speed camera. This method allows us to select the proper pixels that have large variances of the gray-value variations over time, from a small region of the speckle patterns. The gray-value variations of these pixels are summed together according to a simple model to recover the sound with a high signal-to-noise ratio. Meanwhile, our method will significantly simplify the computation compared with the traditional digital-image-correlation technique. The effectiveness of the proposed method has been verified by applying a variety of objects. The experimental results illustrate that the proposed method is robust to the quality of the speckle patterns and costs more than one-order less time to perform the same number of the speckle patterns. In our experiment, a sound signal of time duration 1.876 s is recovered from various objects with time consumption of 5.38 s only.
Directory of Open Access Journals (Sweden)
G. O. Magomedov
2017-01-01
Full Text Available Marshmallow is a sugar confectionary product with increased sugar content and energy value because of the significant content of carbohydrates, in particular sugar-sand. The main drawback of marshmallow is the rapid process of its drying during storage due to the crystallization of sucrose and the gradual removal of moisture from the product. A method for obtaining marshmallow without sugar on the basis of high-conversion glucose syrup. In the work, experimental studies were carried out to determine the content and ratio of free and bound forms of moisture in marshmallow on the basis of sugars and on the basis of high-conversion glucose syrup by Differential Scanning Calorimetry (DSC and Thermogravimetry (TG. To study the patterns of thermal effects on the properties of marshmallow samples, the non-isothermal analysis method and the synchronous thermal analysis instrument (TG-DTA / DSC of the STA 449 F3 Jupiter were used. In the process of thermal exposure, the samples decompose sugars and other organic compounds, as a result of which the sample weight decreases due to evaporation of moisture. The process of dehydration in a control sample of marshmallow using sugar occurs in a less wide temperature range than in a sample of marshmallow on the basis of high-conversion glucose syrup, which indicates a greater degree of moisture bonding in the developed sample. A quantitative evaluation of the forms of moisture bonding in the samples was carried out using the experimental curves obtained by the TG method. From the temperature curves, the endothermic effects were determined, which correspond to the release of moisture with different forms and energies. Substitution of sugar for treacle in the formula of marshmallow reduces the share of free moisture and increases the safety of the product without signs of staling.
Neurocomputing methods for pattern recognition in nuclear physics
Energy Technology Data Exchange (ETDEWEB)
Gyulassy, M.; Dong, D.; Harlander, M. [Lawrence Berkeley Lab., CA (United States)
1991-12-31
We review recent progress on the development and applications of novel neurocomputing techniques for pattern recognition problems of relevance to RHIC experiments. The Elastic Tracking algorithm is shown to achieve sub-pad two track resolution without preprocessing. A high pass neural filter is developed for jet analysis and singular deconvolution methods are shown to recover the primordial jet distribution to a surprising high degree of accuracy.
A new method for analysing socio-ecological patterns of vulnerability
Kok, M.; Lüdeke, M.; Lucas, P.; Sterzel, T.; Walther, C.; Janssen, P.; Sietz, D.; de Soysa, I.
2016-01-01
This paper presents a method for the analysis of socio-ecological patterns of vulnerability of people being at risk of losing their livelihoods as a consequence of global environmental change. This method fills a gap in methodologies for vulnerability analysis by providing generalizations of the factors that shape vulnerability in specific socio-ecological systems and showing their spatial occurrence. The proposed method consists of four steps that include both quantitative and qualitative an...
Relating structural parameters to leachability in a glass-bonded ceramic waste form
International Nuclear Information System (INIS)
Frank, S. M.; Johnson, S. G.; Moschetti, T. L.
1998-01-01
Lattice parameters for a crystalline material can be obtained by several methods, notably by analyzing x-ray powder diffraction patterns. By utilizing a computer program to fit a pattern, one can follow the evolution or subtle changes in a structure of a crystalline species in different environments. This work involves such a study for an essential component of the ceramic waste form that is under development at Argonne National Laboratory. Zeolite 4A and zeolite 5A are used to produce two different types of waste forms: a glass-bonded sodalite and a glass-bonded zeolite, respectively. Changes in structure during production of the waste forms are discussed. Specific salt-loadings in the sodalite waste form are related to relative peak intensities of certain reflections in the XRD patterns. Structural parameters for the final waste forms will also be given and related to leachability under standard conditions
Estimation of pattern shape based on CD-SEM image by using MPPC method
Onozuka, T.; Ojima, Y.; Meessen, J.; Rijpers, B.
2006-03-01
This study demonstrates the MPPC (Multiple Parameters Profile Characterization) measurement method utilizing ArF photo resist patterns. MPPC is a technique for estimating the three dimensional profile of patterns which are imaged and measured on the CD-SEM (critical dimension scanning electron microscope). MPPC utilizes the secondary electron signal to calculate several indices including top CD, peak CD, top rounding, bottom footing, etc. This primary focused of this study is to understand the variations in pattern profile caused by changes in exposure condition. The results demonstrate the ability to extract pattern profile shape information by MPPC measurement that could not otherwise be detected by a conventional bottom CD measurement method. Furthermore, the results were compared to cross sectional images collected by STEM (scanning transmission electron microscope) to verify the accuracy of the MPPC technique. The peak CD results accurately estimate the pattern width when the sidewall angle of the feature is nearly vertical. Additionally, line edge roughness (LER) caused by pattern profile variations was evaluated utilizing MPPC. The results suggest that MPPC may be utilized to evaluate the roughness over the entire profile.
Cell patterning on poly(sodium 4-styrenesulfonate)-patterned fluoropolymer substrate
Energy Technology Data Exchange (ETDEWEB)
Kim, Wan-Joong [Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Department of Polymer Science and Engineering, Chungnam National University, Yuseong-gu, Daejeon 305-764 (Korea, Republic of); Jung, Chang-Hee; Hwang, In-Tae [Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Jung, Chan-Hee, E-mail: jch@kaeri.re.kr [Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak, E-mail: jaehakchoi@cnu.ac.kr [Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Department of Polymer Science and Engineering, Chungnam National University, Yuseong-gu, Daejeon 305-764 (Korea, Republic of); Hong, Sung-Kwon [Department of Polymer Science and Engineering, Chungnam National University, Yuseong-gu, Daejeon 305-764 (Korea, Republic of)
2013-10-15
Highlights: •PFA films were functionalized by ion-beam induced surface graft polymerization. •Poly(sodium 4-styrenesulfonate) (PSS)-patterned PFA films were prepared. •Well-organized cell patterns were obtained on PSS-patterned PFA films. •This method is useful to fabricate bio-platforms for cell-based biodevices. -- Abstract: The surface functionalization of bio-inert fluoropolymer films through ion beam-induced surface graft polymerization was investigated to control the cellular behavior. The surface of poly(tetrafluoroethylene-co-perfluoropropl vinyl ether) (PFA) films was selectively activated by 150 keV H{sup +} ion implantation in the presence of a pattern mask and sodium 4-styrenesulfonate (SS) was then graft polymerized onto the implanted PFA films to form hydrophilic poly(sodium 4-styrenesulfonate) (PSS)-patterned PFA films. The surface of the resulting PSS-patterned PFA films was investigated in terms of the degree of graft polymerization, chemical structure, chemical composition, wettability, and morphology. The analytical results revealed that PSS was selectively grafted onto the implanted regions of the PFA films. Furthermore, in vitro cell culture on the PSS-patterned PFA films exhibited a preferential adhesion and growth of cells onto the PSS-grafted regions, resulting in well-organized 100 μm cell patterns.
Cell patterning on poly(sodium 4-styrenesulfonate)-patterned fluoropolymer substrate
International Nuclear Information System (INIS)
Kim, Wan-Joong; Jung, Chang-Hee; Hwang, In-Tae; Jung, Chan-Hee; Choi, Jae-Hak; Hong, Sung-Kwon
2013-01-01
Highlights: •PFA films were functionalized by ion-beam induced surface graft polymerization. •Poly(sodium 4-styrenesulfonate) (PSS)-patterned PFA films were prepared. •Well-organized cell patterns were obtained on PSS-patterned PFA films. •This method is useful to fabricate bio-platforms for cell-based biodevices. -- Abstract: The surface functionalization of bio-inert fluoropolymer films through ion beam-induced surface graft polymerization was investigated to control the cellular behavior. The surface of poly(tetrafluoroethylene-co-perfluoropropl vinyl ether) (PFA) films was selectively activated by 150 keV H + ion implantation in the presence of a pattern mask and sodium 4-styrenesulfonate (SS) was then graft polymerized onto the implanted PFA films to form hydrophilic poly(sodium 4-styrenesulfonate) (PSS)-patterned PFA films. The surface of the resulting PSS-patterned PFA films was investigated in terms of the degree of graft polymerization, chemical structure, chemical composition, wettability, and morphology. The analytical results revealed that PSS was selectively grafted onto the implanted regions of the PFA films. Furthermore, in vitro cell culture on the PSS-patterned PFA films exhibited a preferential adhesion and growth of cells onto the PSS-grafted regions, resulting in well-organized 100 μm cell patterns
Energy Technology Data Exchange (ETDEWEB)
Szpilowski, S; Strzelczak, G; Winnicki, R [Institute of Nuclear Research, Warsaw (Poland)
1976-01-01
The radiotracer methods of evaluation of sewage flow rate, testing of effluent treatment plants and mixing patterns in natural streams have been described. Experimental works were carried out for industrial installations and natural streams. As a tracer of liquid phase an aqueous KBr solution labelled with /sup 82/Br have been used. The sediment materials have been labelled with /sup 198/Au in the form of colloidal gold. The results of investigations have been utilized for treatment process analysis and water pollution control.
Study on the traditional pattern retrieval method of minorities in Gansu province
Zheng, Gang; Wang, Beizhan; Sun, Yuchun; Xu, Jin
2018-03-01
The traditional patterns of ethnic minorities in gansu province are ethnic arts with strong ethnic characteristics. It is the crystallization of the hard work and wisdom of minority nationalities in gansu province. Unique traditional patterns of ethnic minorities in Gansu province with rich ethnic folk arts, is the crystallization of geographical environment in Gansu minority diligence and wisdom. By using the Surf feature point identification algorithm, the feature point extractor in OpenCV is used to extract the feature points. And the feature points are applied to compare the pattern features to find patterns similar to the artistic features. The application of this method can quickly or efficiently extract pattern information in a database.
Electrodynamics, Differential Forms and the Method of Images
Low, Robert J.
2011-01-01
This paper gives a brief description of how Maxwell's equations are expressed in the language of differential forms and use this to provide an elegant demonstration of how the method of images (well known in electrostatics) also works for electrodynamics in the presence of an infinite plane conducting boundary. The paper should be accessible to an…
Zhao, Pengjun; Lue, Bin; de Roo, Gert
2010-01-01
A key issue in the development of China's growing megacities in the transport-related environmental costs due to rapid urban expansion. In light of this issue, the authors examine the impact of urban form on commuting patterns on the city fringe of Beijing. Based on household-survey data, the
A Kinematic Method for Footstrike Pattern Detection in Barefoot and Shod Runners
Altman, Allison R.; Davis, Irene S.
2011-01-01
Footstrike patterns during running can be classified discretely into a rearfoot strike, midfoot strike and forefoot strike by visual observation. However, the footstrike pattern can also be classified on a continuum, ranging from 0–100% (extreme rearfoot to extreme forefoot) using the strike index, a measure requiring force plate data. When force data are not available, an alternative method to quantify the strike pattern must be used. The purpose of this paper was to quantify the continuum o...
The principles of the pattern recognition of skeletal structures
International Nuclear Information System (INIS)
Motto, J.A.
2006-01-01
Request of the skeletal system form a lage proportion of plain film radiographic examinations. A sound knowledge of normal radiographic appearances is vital if abnormal patterns are to be recognized.The ABCS, SPACED and SASNOES methods of applying pattern recognition to plain radiographers of bones and joints will be presented in an attempt to make pattern recognition and offer an opinion constitutes role extension of radiographers
Effect of long-term irrigation patterns on phosphorus forms and distribution in the brown soil zone.
Directory of Open Access Journals (Sweden)
Chang Liu
Full Text Available Continuous application of P fertilizers under different irrigation patterns can change soil phosphorus (P chemical behavior and increase soil P levels that are of environmental concern. To assess the effect of long-term different irrigation patterns on soil P fractions and availability, this study examined sequential changes in soil organic P and inorganic P from furrow irrigation (FI, surface drip irrigation (SUR, and subsurface drip irrigation (SDI in the brown soil zone (0-60 cm during 1998 to 2011. Analyses of soil P behavior showed that the levels of total P are frequently high on top soil layers. The total P (TP contents of the entire soil profiles under three irrigation treatments were 830.2-3180.1 mg/kg. The contents of available P (AP were 72.6-319.3 mg P/kg soil through soil profiles. The greatest TP and AP contents were obtained within the upper soil layers in FI. Results of Hedley's P fractionation indicate that HCl-P is a dominant form and the proportion to TP ranges from 29% to 43% in all three methods. The contents of various fractions of P were positively correlated with the levels of total carbon (TC, total inorganic carbon (TIC, and calcium (Ca, whereas the P fractions had negative correlation with pH in all soil samples. Regression models proved that NaHCO3-Po was an important factor in determining the amount of AP in FI. H2O-Po, NaHCO3-Po, and NaOH-Pi were related to available P values in SUR. NaHCO3-Po and NaOH-Po played important roles in SDI. The tomato yield under SUR was higher than SDI and FI. The difference of P availability was also controlled by the physicochemical soil properties under different irrigation schedule. SUR was a reasonable irrigation pattern to improve the utilization efficiency of water and fertilizer.
Methods of forming and realization of assortment policy of retail business enterprises
Directory of Open Access Journals (Sweden)
Kudenko Kiril
2016-07-01
Full Text Available Within the framework of the article systematisation of methods of forming and realisation of assortment policy of enterprises of retail business is done. Recommendations concerning the priority of the use of separate methods of forming and realisation of assortment policy with different purposes, taking into account their content, advantages and disadvantages are developed.
Programmable assembly of pressure sensors using pattern-forming bacteria.
Cao, Yangxiaolu; Feng, Yaying; Ryser, Marc D; Zhu, Kui; Herschlag, Gregory; Cao, Changyong; Marusak, Katherine; Zauscher, Stefan; You, Lingchong
2017-11-01
Biological systems can generate microstructured materials that combine organic and inorganic components and possess diverse physical and chemical properties. However, these natural processes in materials fabrication are not readily programmable. Here, we use a synthetic-biology approach to assemble patterned materials. We demonstrate programmable fabrication of three-dimensional (3D) materials by printing engineered self-patterning bacteria on permeable membranes that serve as a structural scaffold. Application of gold nanoparticles to the colonies creates hybrid organic-inorganic dome structures. The dynamics of the dome structures' response to pressure is determined by their geometry (colony size, dome height, and pattern), which is easily modified by varying the properties of the membrane (e.g., pore size and hydrophobicity). We generate resettable pressure sensors that process signals in response to varying pressure intensity and duration.
A new method for discovering behavior patterns among animal movements
Wang, Y.; Luo, Ze; Takekawa, John Y.; Prosser, Diann J.; Xiong, Y.; Newman, S.; Xiao, X.; Batbayar, N.; Spragens, Kyle A.; Balachandran, S.; Yan, B.
2016-01-01
Advanced satellite tracking technologies enable biologists to track animal movements at fine spatial and temporal scales. The resultant data present opportunities and challenges for understanding animal behavioral mechanisms. In this paper, we develop a new method to elucidate animal movement patterns from tracking data. Here, we propose the notion of continuous behavior patterns as a concise representation of popular migration routes and underlying sequential behaviors during migration. Each stage in the pattern is characterized in terms of space (i.e., the places traversed during movements) and time (i.e. the time spent in those places); that is, the behavioral state corresponding to a stage is inferred according to the spatiotemporal and sequential context. Hence, the pattern may be interpreted predictably. We develop a candidate generation and refinement framework to derive all continuous behavior patterns from raw trajectories. In the framework, we first define the representative spots to denote the underlying potential behavioral states that are extracted from individual trajectories according to the similarity of relaxed continuous locations in certain distinct time intervals. We determine the common behaviors of multiple individuals according to the spatiotemporal proximity of representative spots and apply a projection-based extension approach to generate candidate sequential behavior sequences as candidate patterns. Finally, the candidate generation procedure is combined with a refinement procedure to derive continuous behavior patterns. We apply an ordered processing strategy to accelerate candidate refinement. The proposed patterns and discovery framework are evaluated through conceptual experiments on both real GPS-tracking and large synthetic datasets.
Yan, Dan; Xiao, Xiao-he
2011-05-01
Establishment of bioassay methods is the technical issues to be faced with in the bioassay of Chinese materia medica. Taking the bioassay of Coptis chinensis Franch. as an example, the establishment process and application of the bioassay methods (including bio-potency and bio-activity fingerprint) were explained from the aspects of methodology, principle of selection, experimental design, method confirmation and data analysis. The common technologies were extracted and formed with the above aspects, so as to provide technical support for constructing pattern and method of the quality control for Chinese materia medica based on the dao-di herbs and bioassay.
Fringe patterns generated by micro-optical sensors for pattern recognition.
Tamee, Kreangsak; Chaiwong, Khomyuth; Yothapakdee, Kriengsak; Yupapin, Preecha P
2015-01-01
We present a new result of pattern recognition generation scheme using a small-scale optical muscle sensing system, which consisted of an optical add-drop filter incorporating two nonlinear optical side ring resonators. When light from laser source enters into the system, the device is stimulated by an external physical parameter that introduces a change in the phase of light propagation within the sensing device, which can be formed by the interference fringe patterns. Results obtained have shown that the fringe patterns can be used to form the relationship between signal patterns and fringe pattern recognitions.
Microelectromechanical resonator and method for fabrication
Wittwer, Jonathan W [Albuquerque, NM; Olsson, Roy H [Albuquerque, NM
2009-11-10
A method is disclosed for the robust fabrication of a microelectromechanical (MEM) resonator. In this method, a pattern of holes is formed in the resonator mass with the position, size and number of holes in the pattern being optimized to minimize an uncertainty .DELTA.f in the resonant frequency f.sub.0 of the MEM resonator due to manufacturing process variations (e.g. edge bias). A number of different types of MEM resonators are disclosed which can be formed using this method, including capacitively transduced Lame, wineglass and extensional resonators, and piezoelectric length-extensional resonators.
Surveillance of a nuclear reactor core by use of a pattern recognition method
International Nuclear Information System (INIS)
Invernizzi, Michel.
1982-07-01
A pattern recognition system is described for the surveillance of a PWR reactor. This report contains four chapters. The first one succinctly deals with statistical pattern recognition principles. In the second chapter we show how a surveillance problem may be treated by pattern recognition and we present methods for surveillances (detection of abnormalities), controls (kind of running recognition) and diagnotics (kind of abnormality recognition). The third chapter shows a surveillance method of a nuclear plant. The signals used are the neutron noise observations made by the ionization chambers inserted in the reactor. Abnormality is defined in opposition with the training set witch is supposed to be an exhaustive summary of normality. In the fourth chapter we propose a scheme for an adaptative recognition and a method based on classes modelisations by hyper-spheres. This method has been tested on simulated training sets in two-dimensional feature spaces. It gives solutions to problems of non-linear separability [fr
Delivery Device and Method for Forming the Same
Ma, Peter X. (Inventor); Liu, Xiaohua (Inventor); McCauley, Laurie (Inventor)
2014-01-01
A delivery device includes a hollow container, and a plurality of biodegradable and/or erodible polymeric layers established in the container. A layer including a predetermined substance is established between each of the plurality of polymeric layers, whereby degradation of the polymeric layer and release of the predetermined substance occur intermittently. Methods for forming the device are also disclosed herein.
Method to manufacture bit patterned magnetic recording media
Raeymaekers, Bart; Sinha, Dipen N
2014-05-13
A method to increase the storage density on magnetic recording media by physically separating the individual bits from each other with a non-magnetic medium (so-called bit patterned media). This allows the bits to be closely packed together without creating magnetic "cross-talk" between adjacent bits. In one embodiment, ferromagnetic particles are submerged in a resin solution, contained in a reservoir. The bottom of the reservoir is made of piezoelectric material.
Directory of Open Access Journals (Sweden)
Y. Erfanifard
2014-12-01
Full Text Available Spatial pattern of trees in forests reveals how trees interact with each other and their environment. Spatial structure of trees in forest ecosystems is affected by environmental heterogeneity that leads to their heterogeneous distribution. This study was aimed to investigate the appropriate methods to analyze spatial pattern of heterogeneous wild pistachio woodlands in Zagros, Iran. A 40-ha pure stand of wild pistachio trees (Pistacia atlantica Desf. was selected in Wild Pistachio Research Forest in Fars Province for this purpose. The Kolmogrov-Smirnov test of goodness-of-fit of inhomogeneous Poisson point process showed that the distribution of wild pistachio trees was significantly heterogeneous (α=0.05. Inhomogeneous Ripley's K-, L-, and G-functions were applied beside their homogeneous forms. Inhomogeneous Ripley's K- and L-functions showed that wild pistachio trees were primarily clumped and dispersedly distributed thereafter, while g(r not only showed these results but also well expressed the detailed changes in spatial scale. The results of inappropriate homogeneous functions in the study area showed that all three functions expressed the primary clumping of the trees more than it was and their dispersed pattern as clumped. In general, it was concluded that inhomogeneous functions should be applied to analyze the spatial pattern of heterogeneous wild pistachio trees in the study area and it is recommended to develop g(r applications due to its more detailed information
Canonical forms of tensor representations and spontaneous symmetry breaking
International Nuclear Information System (INIS)
Cummins, C.J.
1986-01-01
An algorithm for constructing canonical forms for any tensor representation of the classical compact Lie groups is given. This method is used to find a complete list of the symmetry breaking patterns produced by Higgs fields in the third-rank antisymmetric representations of U(n), SU(n) and SO(n) for n<=7. A simple canonical form is also given for kth-rank symmetric tensor representations. (author)
Closed form fourier-based transmit beamforming for MIMO radar
Lipor, John J.; Ahmed, Sajid; Alouini, Mohamed-Slim
2014-01-01
-pattern, current research uses iterative algorithms, first to synthesize the waveform covariance matrix, R, then to design the actual waveforms to realize R. In contrast to this, we present a closed form method to design R that exploits discrete Fourier transform
New Visions on Form and Growth
International Nuclear Information System (INIS)
Howard, M
2005-01-01
It is now nearly 90 years since the publication of D'Arcy Thompson's book On Growth and Form, a classic work that attempted a unification of pattern-forming phenomena in systems ranging from inanimate to living matter. Thompson's book came early in the development of mathematical techniques in biology. His work has had enormous influence in succeeding decades and has helped inspire the rapid growth in the application of theoretical techniques to biological phenomena. Pelce's book New Visions on Form and Growth takes its inspiration and title directly from D'Arcy Thompson. Furthermore, Pelce attempts what could not be achieved in D'Arcy Thompson's time, namely the presentation of a quantitative analysis of pattern forming phenomena. However, it should be emphasised that the vast majority of this book is concerned with inanimate matter, with rather little discussion of biology. The book begins with a presentation of the basic physics, including surface tension, first-order phase transition kinetics and a brief outline of chemical kinetics. With the essential physics established, Pelce then investigates simple growth forms, before showing how these regular geometries are destabilized into more complex forms by instabilities. The problem of velocity selection of growing patterns is then extensively discussed, before the question of (secondary) instabilities of the more complex growth forms is analysed. A chapter on stochastic patterns is also included. For the most part, the book concentrates on a few intensively studied pattern forming systems in physics, particularly viscous fingering in Hele-Shaw cells, the growth of dendrites, electrodeposition, flames, and, in the chapter on stochastic patterns, diffusion-limited aggregation. Only in a rather brief final chapter is a more speculative link made with biological pattern formation and morphogenesis. In general, I found the book to be a useful reference work on the theory of pattern forming systems. However, the
Meng, Fengqun; Cao, Rui; Yang, Dongmei; Niklas, Karl J; Sun, Shucun
2013-07-01
Amax) had more even leaf distribution patterns than evergreen species (which had low LCP, LSP and Amax); shade-adapted evergreen species had more even leaf distribution patterns than sun-adapted evergreen species. We propose that the leaf distribution pattern (i.e., 'evenness' CV, which is an easily measured functional trait) can be used to distinguish among life-forms in communities similar to the one examined in this study.
Energy Technology Data Exchange (ETDEWEB)
Iwaji, Y.; Fukuda, S. (Hokkaido University, Sapporo (Japan))
1991-07-15
Sinusoidal inverters are getting more widely used keeping pace with the development of semiconductor switching elements. This paper discusses optimizing a PWM pulse pattern at an inverter output to drive an induction motor, proposes methods for improving distortion and torque ripples using a carrier frequency modulation (CFM), and describes a method for realizing the improvement through use of a single-chip microcomputer. The method defines evaluation parameters corresponding to the distortion and torque ripples, and optimizes the CFM depth to the parameters. The PWM pulse pattern has its voltage vector and time width so selected that the time integrated space vector of a three-phase voltage approaches a circular locus. Furthermore, the carrier frequency, that is the sampling frequency of the inverter, is also adjusted so that the above evaluation parameters are minimized. The addition of a new variable called the frequency modulation provides freedom in selecting an output characteristic as called for by the purpose. 12 refs., 18 figs.
Capillarity Guided Patterning of Microliquids.
Kang, Myeongwoo; Park, Woohyun; Na, Sangcheol; Paik, Sang-Min; Lee, Hyunjae; Park, Jae Woo; Kim, Ho-Young; Jeon, Noo Li
2015-06-01
Soft lithography and other techniques have been developed to investigate biological and chemical phenomena as an alternative to photolithography-based patterning methods that have compatibility problems. Here, a simple approach for nonlithographic patterning of liquids and gels inside microchannels is described. Using a design that incorporates strategically placed microstructures inside the channel, microliquids or gels can be spontaneously trapped and patterned when the channel is drained. The ability to form microscale patterns inside microfluidic channels using simple fluid drain motion offers many advantages. This method is geometrically analyzed based on hydrodynamics and verified with simulation and experiments. Various materials (i.e., water, hydrogels, and other liquids) are successfully patterned with complex shapes that are isolated from each other. Multiple cell types are patterned within the gels. Capillarity guided patterning (CGP) is fast, simple, and robust. It is not limited by pattern shape, size, cell type, and material. In a simple three-step process, a 3D cancer model that mimics cell-cell and cell-extracellular matrix interactions is engineered. The simplicity and robustness of the CGP will be attractive for developing novel in vitro models of organ-on-a-chip and other biological experimental platforms amenable to long-term observation of dynamic events using advanced imaging and analytical techniques. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Using pattern analysis methods to do fast detection of manufacturing pattern failures
Zhao, Evan; Wang, Jessie; Sun, Mason; Wang, Jeff; Zhang, Yifan; Sweis, Jason; Lai, Ya-Chieh; Ding, Hua
2016-03-01
At the advanced technology node, logic design has become extremely complex and is getting more challenging as the pattern geometry size decreases. The small sizes of layout patterns are becoming very sensitive to process variations. Meanwhile, the high pressure of yield ramp is always there due to time-to-market competition. The company that achieves patterning maturity earlier than others will have a great advantage and a better chance to realize maximum profit margins. For debugging silicon failures, DFT diagnostics can identify which nets or cells caused the yield loss. But normally, a long time period is needed with many resources to identify which failures are due to one common layout pattern or structure. This paper will present a new yield diagnostic flow, based on preliminary EFA results, to show how pattern analysis can more efficiently detect pattern related systematic defects. Increased visibility on design pattern related failures also allows more precise yield loss estimation.
Hybrid foundry patterns of bevel gears
Directory of Open Access Journals (Sweden)
Budzik G.
2007-01-01
Full Text Available Possibilities of making hybrid foundry patterns of bevel gears for investment casting process are presented. Rapid prototyping of gears with complex tooth forms is possible with the use of modern methods. One of such methods is the stereo-lithography, where a pattern is obtained as a result of resin curing with laser beam. Patterns of that type are applicable in precision casting. Removing of stereo-lithographic pattern from foundry mould requires use of high temperatures. Resin burning would generate significant amounts of harmful gases. In case of a solid stereo-lithographic pattern, the pressure created during gas burning may cause the mould to crack. A gas volume reduction may be achieved by using patterns of honeycomb structure. However, this technique causes a significant worsening of accuracy of stereo-lithographic patterns in respect of their dimensions and shape. In cooperation with WSK PZL Rzeszów, the Machine Design Department of Rzeszow University of Technology carried out research on the design of hybrid stereo-lithographic patterns. Hybrid pattern consists of a section made by stereo-lithographic process and a section made of casting wax. The latter material is used for stereo-lithographic pattern filling and for mould gating system. The hybrid pattern process consists of two stages: wax melting and then the burn-out of stereolithographic pattern. Use of hybrid patterns reduces the costs of production of stereolithographic patterns. High dimensional accuracy remains preserved in this process.
Carbon nanotubes and methods of forming same at low temperature
Biris, Alexandru S.; Dervishi, Enkeleda
2017-05-02
In one aspect of the invention, a method for growth of carbon nanotubes includes providing a graphitic composite, decorating the graphitic composite with metal nanostructures to form graphene-contained powders, and heating the graphene-contained powders at a target temperature to form the carbon nanotubes in an argon/hydrogen environment that is devoid of a hydrocarbon source. In one embodiment, the target temperature can be as low as about 150.degree. C. (.+-.5.degree. C.).
Form gene clustering method about pan-ethnic-group products based on emotional semantic
Chen, Dengkai; Ding, Jingjing; Gao, Minzhuo; Ma, Danping; Liu, Donghui
2016-09-01
The use of pan-ethnic-group products form knowledge primarily depends on a designer's subjective experience without user participation. The majority of studies primarily focus on the detection of the perceptual demands of consumers from the target product category. A pan-ethnic-group products form gene clustering method based on emotional semantic is constructed. Consumers' perceptual images of the pan-ethnic-group products are obtained by means of product form gene extraction and coding and computer aided product form clustering technology. A case of form gene clustering about the typical pan-ethnic-group products is investigated which indicates that the method is feasible. This paper opens up a new direction for the future development of product form design which improves the agility of product design process in the era of Industry 4.0.
Fundamentals of thinking, patterns
Gafurov, O. M.; Gafurov, D. O.; Syryamkin, V. I.
2018-05-01
The authors analyze the fundamentals of thinking and propose to consider a model of the brain based on the presence of magnetic properties of gliacytes (Schwann cells) because of their oxygen saturation (oxygen has paramagnetic properties). The authors also propose to take into account the motion of electrical discharges through synapses causing electric and magnetic fields as well as additional effects such as paramagnetic resonance, which allows combining multisensory object-related information located in different parts of the brain. Therefore, the events of the surrounding world are reflected and remembered in the cortex columns, thus, creating isolated subnets with altered magnetic properties (patterns) and subsequently participate in recognition of objects, form a memory, and so on. The possibilities for the pattern-based thinking are based on the practical experience of applying methods and technologies of artificial neural networks in the form of a neuroemulator and neuromorphic computing devices.
Method of forming components for a high-temperature secondary electrochemical cell
Mrazek, Franklin C.; Battles, James E.
1983-01-01
A method of forming a component for a high-temperature secondary electrochemical cell having a positive electrode including a sulfide selected from the group consisting of iron sulfides, nickel sulfides, copper sulfides and cobalt sulfides, a negative electrode including an alloy of aluminum and an electrically insulating porous separator between said electrodes. The improvement comprises forming a slurry of solid particles dispersed in a liquid electrolyte such as the lithium chloride-potassium chloride eutetic, casting the slurry into a form having the shape of one of the components and smoothing the exposed surface of the slurry, cooling the cast slurry to form the solid component, and removing same. Electrodes and separators can be thus formed.
International Nuclear Information System (INIS)
Ishizaka, Shozo; Kato, Yoshihiro; Takaki, Ryuji; Toriwaki, Jun-ichiro
1987-01-01
The purpose of the Symposium was to discuss interdisciplinal science aspects of form. 'Form' depends on the material and the changes. But, it is the form that appears evident at once and endures. Form is absorbed from every field as media of information. One part of the work covers the description of non-periodic phenomena, morphogenesis or evolution. Irreducible stubborn facts as diseases or social problems, or whatever else that could not be analyzed are integrally challenged to be systematized by computer simulation. The other part covers the finding of laws for determining how systems behave. Attention should be paid to pattern recognition, image processing and pattern formation. The Symposium proceeded with no parallel sessions, and participants from various fields made exciting discussions in an interdisciplinal atmosphere. (Auth.)
Two-loop SL(2) form factors and maximal transcendentality
International Nuclear Information System (INIS)
Loebbert, Florian; Sieg, Christoph; Wilhelm, Matthias; Yang, Gang
2016-01-01
Form factors of composite operators in the SL(2) sector of N=4 SYM theory are studied up to two loops via the on-shell unitarity method. The non-compactness of this subsector implies the novel feature and technical challenge of an unlimited number of loop momenta in the integrand’s numerator. At one loop, we derive the full minimal form factor to all orders in the dimensional regularisation parameter. At two loops, we construct the complete integrand for composite operators with an arbitrary number of covariant derivatives, and we obtain the remainder functions as well as the dilatation operator for composite operators with up to three covariant derivatives. The remainder functions reveal curious patterns suggesting a hidden maximal uniform transcendentality for the full form factor. Finally, we speculate about an extension of these patterns to QCD.
Two-loop SL(2) form factors and maximal transcendentality
Energy Technology Data Exchange (ETDEWEB)
Loebbert, Florian [Institut für Physik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany); Sieg, Christoph [Institut für Physik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany); Institut für Mathematik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany); Wilhelm, Matthias [Institut für Physik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany); Institut für Mathematik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany); Niels Bohr Institute, Copenhagen University,Blegdamsvej 17, 2100 Copenhagen Ø (Denmark); Yang, Gang [CAS Key Laboratory of Theoretical Physics,Institute of Theoretical Physics, Chinese Academy of Sciences,Beijing 100190 (China); Institut für Physik, Humboldt-Universität zu Berlin,Zum Großen Windkanal 6, 12489 Berlin (Germany)
2016-12-19
Form factors of composite operators in the SL(2) sector of N=4 SYM theory are studied up to two loops via the on-shell unitarity method. The non-compactness of this subsector implies the novel feature and technical challenge of an unlimited number of loop momenta in the integrand’s numerator. At one loop, we derive the full minimal form factor to all orders in the dimensional regularisation parameter. At two loops, we construct the complete integrand for composite operators with an arbitrary number of covariant derivatives, and we obtain the remainder functions as well as the dilatation operator for composite operators with up to three covariant derivatives. The remainder functions reveal curious patterns suggesting a hidden maximal uniform transcendentality for the full form factor. Finally, we speculate about an extension of these patterns to QCD.
Agopian, A J; Evans, Jane A; Lupo, Philip J
2018-01-15
It is estimated that 20 to 30% of infants with birth defects have two or more birth defects. Among these infants with multiple congenital anomalies (MCA), co-occurring anomalies may represent either chance (i.e., unrelated etiologies) or pathogenically associated patterns of anomalies. While some MCA patterns have been recognized and described (e.g., known syndromes), others have not been identified or characterized. Elucidating these patterns may result in a better understanding of the etiologies of these MCAs. This article reviews the literature with regard to analytic methods that have been used to evaluate patterns of MCAs, in particular those using birth defect registry data. A popular method for MCA assessment involves a comparison of the observed to expected ratio for a given combination of MCAs, or one of several modified versions of this comparison. Other methods include use of numerical taxonomy or other clustering techniques, multiple regression analysis, and log-linear analysis. Advantages and disadvantages of these approaches, as well as specific applications, were outlined. Despite the availability of multiple analytic approaches, relatively few MCA combinations have been assessed. The availability of large birth defects registries and computing resources that allow for automated, big data strategies for prioritizing MCA patterns may provide for new avenues for better understanding co-occurrence of birth defects. Thus, the selection of an analytic approach may depend on several considerations. Birth Defects Research 110:5-11, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.
Comparison of validation methods for forming simulations
Schug, Alexander; Kapphan, Gabriel; Bardl, Georg; Hinterhölzl, Roland; Drechsler, Klaus
2018-05-01
The forming simulation of fibre reinforced thermoplastics could reduce the development time and improve the forming results. But to take advantage of the full potential of the simulations it has to be ensured that the predictions for material behaviour are correct. For that reason, a thorough validation of the material model has to be conducted after characterising the material. Relevant aspects for the validation of the simulation are for example the outer contour, the occurrence of defects and the fibre paths. To measure these features various methods are available. Most relevant and also most difficult to measure are the emerging fibre orientations. For that reason, the focus of this study was on measuring this feature. The aim was to give an overview of the properties of different measuring systems and select the most promising systems for a comparison survey. Selected were an optical, an eddy current and a computer-assisted tomography system with the focus on measuring the fibre orientations. Different formed 3D parts made of unidirectional glass fibre and carbon fibre reinforced thermoplastics were measured. Advantages and disadvantages of the tested systems were revealed. Optical measurement systems are easy to use, but are limited to the surface plies. With an eddy current system also lower plies can be measured, but it is only suitable for carbon fibres. Using a computer-assisted tomography system all plies can be measured, but the system is limited to small parts and challenging to evaluate.
Developing feasible loading patterns using perturbation theory methods
International Nuclear Information System (INIS)
White, J.R.; Avila, K.M.
1990-01-01
This work illustrates an approach to core reload design that combines the power of integer programming with the efficiency of generalized perturbation theory. The main use of the method is as a tool to help the design engineer identify feasible loading patterns with minimum time and effort. The technique is highly successful for the burnable poison (BP) loading problem, but the unpredictable behavior of the branch-and-bound algorithm degrades overall performance for large problems. Unfortunately, the combined fuel shuffling plus BP optimization problem falls into this latter classification. Overall, however, the method shows great promise for significantly reducing the manpower time required for the reload design process. And it may even give the further benefit of better designs and improved performance
METHODS OF FORMING THE STRUCTURE OF KNOWLEDGE
Directory of Open Access Journals (Sweden)
Tatyana A. Snegiryova
2015-01-01
Full Text Available The aim of the study is to describe the method of forming thestructure of knowledge of students on the basis of an integrated approach (expert, taxonomy and thesaurus and the presentation of the results of its use in the study of medical and biological physics at the Izhevsk State Medical Academy.Methods. The methods used in the work involve: an integrated approach that includes group expert method, developed by V. S. Cherepanov; taxonomy and thesaurus approach when creating a model of taxonomic structure of knowledge, as well as models of the formation of the knowledge structure.Results. The algorithm, stages and procedures of knowledge structure formation of trainees are considered in detail; the model of the given process is created; the technology of content selection of a teaching material due to the fixed time that has been released on studying of concrete discipline is shown.Scientific novelty and practical significance. Advantage of the proposed method and model of students’ knowledge structure formation consists in their flexibility: at certain adaptation they can be used while training to any discipline apart of its specificity and educational institution. Observance of all stages of the presented technology of content selection of a teaching material on the basis of an expert estimation will promote substantial increase of quality of training; make it possible to develop the unified method uniting the various points of view of teachers on knowledge formation of trainees.
Development for 2D pattern quantification method on mask and wafer
Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang
2010-03-01
We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and
Muramatsu, K.; Furumi, S.; Hayashi, A.; Shiono, Y.; Ono, A.; Fujiwara, N.; Daigo, M.; Ochiai, F.
We have developed the ``pattern decomposition method'' based on linear spectral mixing of ground objects for n-dimensional satellite data. In this method, spectral response patterns for each pixel in an image are decomposed into three components using three standard spectral shape patterns determined from the image data. Applying this method to AMSS (Airborne Multi-Spectral Scanner) data, eighteen-dimensional data are successfully transformed into three-dimensional data. Using the three components, we have developed a new vegetation index in which all the multispectral data are reflected. We consider that the index should be linear to the amount of vegetation and vegetation vigor. To validate the index, its relations to vegetation types, vegetation cover ratio, and chlorophyll contents of a leaf were studied using spectral reflectance data measured in the field with a spectrometer. The index was sensitive to vegetation types and vegetation vigor. This method and index are very useful for assessment of vegetation vigor, classifying land cover types and monitoring vegetation changes
Optimization of control bars patterns and fuel recharges of coupled form
International Nuclear Information System (INIS)
Mejia S, D.M.; Ortiz S, J.J.
2006-01-01
In this work a system coupled for the optimization of fuel recharges and control bars patterns in boiling water reactors (BWR by its initials in English) is presented. It was used a multi state recurrent neural net like optimization technique. This type of neural net has been used in the solution of diverse problems, in particular the design of patterns of control bars and the design of the fuel recharge. However, these problems have been resolved in an independent way with different optimization techniques. The system was developed in FORTRAN 77 language, it calls OCORN (Optimization of Cycles of Operation using Neural Nets) and it solves both problems of combinatory optimization in a coupled way. OCORN begins creating a seed recharge by means of an optimization through the Haling principle. Later on a pattern of control bars for this recharge seed is proposed. Then a new fuel recharge is designed using the control bars patterns previously found. By this way an iterative process begins among the optimization of control bars patterns and the fuel recharge until a stop criteria it is completed. The stop criteria is completed when the fuel recharges and the control bars patterns don't vary in several successive iterations. The final result is an optimal fuel recharge and its respective control bars pattern. In this work the obtained results by this system for a cycle of balance of 18 months divided in 12 steps of burnt are presented. The obtained results are very encouraging, since the fuel recharge and the control bars pattern, its fulfill with the restrictions imposed in each one of the problems. (Author)
Development of 3d micro-nano hybrid patterns using anodized aluminum and micro-indentation
International Nuclear Information System (INIS)
Shin, Hong Gue; Kwon, Jong Tae; Seo, Young Ho; Kim, Byeong Hee
2008-01-01
We developed a simple and cost-effective method of fabricating 3D micro-nano hybrid patterns in which micro-indentation is applied on the anodized aluminum substrate. Nano-patterns were formed first on the aluminum substrate, and then micro-patterns were fabricated by deforming the nano-patterned aluminum substrate. Hemispherical nano-patterns with a 150 nm-diameter on an aluminum substrate were fabricated by anodizing and alumina removing process. Then, micro-pyramid patterns with a side-length of 50 μm were formed on the nano-patterns using micro-indentation. To verify 3D micro-nano hybrid patterns, we replicated 3D micro-nano hybrid patterns by a hot-embossing process. 3D micro-nano hybrid patterns may be used in nano-photonic devices and nano-biochips applications
Development of 3d micro-nano hybrid patterns using anodized aluminum and micro-indentation
Energy Technology Data Exchange (ETDEWEB)
Shin, Hong Gue; Kwon, Jong Tae [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of); Seo, Young Ho [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of)], E-mail: mems@kangwon.ac.kr; Kim, Byeong Hee [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of)
2008-07-31
We developed a simple and cost-effective method of fabricating 3D micro-nano hybrid patterns in which micro-indentation is applied on the anodized aluminum substrate. Nano-patterns were formed first on the aluminum substrate, and then micro-patterns were fabricated by deforming the nano-patterned aluminum substrate. Hemispherical nano-patterns with a 150 nm-diameter on an aluminum substrate were fabricated by anodizing and alumina removing process. Then, micro-pyramid patterns with a side-length of 50 {mu}m were formed on the nano-patterns using micro-indentation. To verify 3D micro-nano hybrid patterns, we replicated 3D micro-nano hybrid patterns by a hot-embossing process. 3D micro-nano hybrid patterns may be used in nano-photonic devices and nano-biochips applications.
Hu, Ping; Liu, Li-zhong; Zhu, Yi-guo
2013-01-01
Over the last 15 years, the application of innovative steel concepts in the automotive industry has increased steadily. Numerical simulation technology of hot forming of high-strength steel allows engineers to modify the formability of hot forming steel metals and to optimize die design schemes. Theories, Methods and Numerical Technology of Sheet Metal Cold and Hot Forming focuses on hot and cold forming theories, numerical methods, relative simulation and experiment techniques for high-strength steel forming and die design in the automobile industry. Theories, Methods and Numerical Technology of Sheet Metal Cold and Hot Forming introduces the general theories of cold forming, then expands upon advanced hot forming theories and simulation methods, including: • the forming process, • constitutive equations, • hot boundary constraint treatment, and • hot forming equipment and experiments. Various calculation methods of cold and hot forming, based on the authors’ experience in commercial CAE software f...
Pattern of Family Planning Methods used by Antenatal Patients in ...
African Journals Online (AJOL)
This study aimed at assessing the pattern of family planning methods used by antenatal patients at Federal Medical Centre, Owo, Ondo State, Nigeria. The study was conducted between December,2007 and February,2008 at the antenatal clinic of the hospital. Ethical clearance was obtained from the Ethical committee of ...
The phase interrogation method for optical fiber sensor by analyzing the fork interference pattern
Lv, Riqing; Qiu, Liqiang; Hu, Haifeng; Meng, Lu; Zhang, Yong
2018-02-01
The phase interrogation method for optical fiber sensor is proposed based on the fork interference pattern between the orbital angular momentum beam and plane wave. The variation of interference pattern with phase difference between the two light beams is investigated to realize the phase interrogation. By employing principal component analysis method, the features of the interference pattern can be extracted. Moreover, the experimental system is designed to verify the theoretical analysis, as well as feasibility of phase interrogation. In this work, the Mach-Zehnder interferometer was employed to convert the strain applied on sensing fiber to the phase difference between the reference and measuring paths. This interrogation method is also applicable for the measurements of other physical parameters, which can produce the phase delay in optical fiber. The performance of the system can be further improved by employing highlysensitive materials and fiber structures.
A New Fast Vertical Method for Mining Frequent Patterns
Directory of Open Access Journals (Sweden)
Zhihong Deng
2010-12-01
Full Text Available Vertical mining methods are very effective for mining frequent patterns and usually outperform horizontal mining methods. However, the vertical methods become ineffective since the intersection time starts to be costly when the cardinality of tidset (tid-list or diffset is very large or there are a very large number of transactions. In this paper, we propose a novel vertical algorithm called PPV for fast frequent pattern discovery. PPV works based on a data structure called Node-lists, which is obtained from a coding prefix-tree called PPC-tree. The efficiency of PPV is achieved with three techniques. First, the Node-list is much more compact compared with previous proposed vertical structure (such as tid-lists or diffsets since transactions with common prefixes share the same nodes of the PPC-tree. Second, the counting of support is transformed into the intersection of Node-lists and the complexity of intersecting two Node-lists can be reduced to O(m+n by an efficient strategy, where m and n are the cardinalities of the two Node-lists respectively. Third, the ancestor-descendant relationship of two nodes, which is the basic step of intersecting Node-lists, can be very efficiently verified by Pre-Post codes of nodes. We experimentally compare our algorithm with FP-growth, and two prominent vertical algorithms (Eclat and dEclat on a number of databases. The experimental results show that PPV is an efficient algorithm that outperforms FP-growth, Eclat, and dEclat.
Pattern centric design based sensitive patterns and process monitor in manufacturing
Hsiang, Chingyun; Cheng, Guojie; Wu, Kechih
2017-03-01
When design rule is mitigating to smaller dimension, process variation requirement is tighter than ever and challenges the limits of device yield. Masks, lithography, etching and other processes have to meet very tight specifications in order to keep defect and CD within the margins of the process window. Conventionally, Inspection and metrology equipments are utilized to monitor and control wafer quality in-line. In high throughput optical inspection, nuisance and review-classification become a tedious labor intensive job in manufacturing. Certain high-resolution SEM images are taken to validate defects after optical inspection. These high resolution SEM images catch not only optical inspection highlighted point, also its surrounding patterns. However, this pattern information is not well utilized in conventional quality control method. Using this complementary design based pattern monitor not only monitors and analyzes the variation of patterns sensitivity but also reduce nuisance and highlight defective patterns or killer defects. After grouping in either single or multiple layers, systematic defects can be identified quickly in this flow. In this paper, we applied design based pattern monitor in different layers to monitor process variation impacts on all kinds of patterns. First, the contour of high resolutions SEM image is extracted and aligned to design with offset adjustment and fine alignment [1]. Second, specified pattern rules can be applied on design clip area, the same size as SEM image, and form POI (pattern of interest) areas. Third, the discrepancy of contour and design measurement at different pattern types in measurement blocks. Fourth, defective patterns are reported by discrepancy detection criteria and pattern grouping [4]. Meanwhile, reported pattern defects are ranked by number and severity by discrepancy. In this step, process sensitive high repeatable systematic defects can be identified quickly Through this design based process pattern
Method of forming a ceramic to ceramic joint
Cutler, Raymond Ashton; Hutchings, Kent Neal; Kleinlein, Brian Paul; Carolan, Michael Francis
2010-04-13
A method of joining at least two sintered bodies to form a composite structure, includes: providing a joint material between joining surfaces of first and second sintered bodies; applying pressure from 1 kP to less than 5 MPa to provide an assembly; heating the assembly to a conforming temperature sufficient to allow the joint material to conform to the joining surfaces; and further heating the assembly to a joining temperature below a minimum sintering temperature of the first and second sintered bodies. The joint material includes organic component(s) and ceramic particles. The ceramic particles constitute 40-75 vol. % of the joint material, and include at least one element of the first and/or second sintered bodies. Composite structures produced by the method are also disclosed.
Numerical form-finding method for large mesh reflectors with elastic rim trusses
Yang, Dongwu; Zhang, Yiqun; Li, Peng; Du, Jingli
2018-06-01
Traditional methods for designing a mesh reflector usually treat the rim truss as rigid. Due to large aperture, light weight and high accuracy requirements on spaceborne reflectors, the rim truss deformation is indeed not negligible. In order to design a cable net with asymmetric boundaries for the front and rear nets, a cable-net form-finding method is firstly introduced. Then, the form-finding method is embedded into an iterative approach for designing a mesh reflector considering the elasticity of the supporting rim truss. By iterations on form-findings of the cable-net based on the updated boundary conditions due to the rim truss deformation, a mesh reflector with a fairly uniform tension distribution in its equilibrium state could be finally designed. Applications on offset mesh reflectors with both circular and elliptical rim trusses are illustrated. The numerical results show the effectiveness of the proposed approach and that a circular rim truss is more stable than an elliptical rim truss.
ArF photo resist pattern sample preparation method using FIB without protective coating
Okushima, Hirohisa; Onozuka, Toshihiko; Kuroda, Yasushi; Yaguchi, Toshie; Umemura, Kaoru; Tamochi, Ryuichiro; Watanabe, Kenji; Hasegawa, Norio; Kawata, Isao; Rijpers, Bart
2006-03-01
This paper presents a novel method of FIB (FIB: focused ion beam) sample preparation to accurately evaluate critical dimensions and profiles of ArF photo resist patterns without the use of a protective coating on the photo resist. In order to accomplish this, the FIB micro-sampling method that is one of effective FIB milling and fabrication method was employed. First a Si cap is picked up from a silicon wafer and fixed to ArF photo resist patterns to protect against ion beam irradiation. Then, a micro-sample, a piece of Si-capped ArF photo resist, was extracted from the bulk ArF photo resist. In this procedure, this silicon cap always protects ArF photo resist patterns against ion beam irradiation. For the next step, the micro-sample is fixed to a needle stub of the FIB-STEM (STEM: scanning transmission electron microscopy) compatible rotation holder. This sample on the needle stub was rotated 180 degrees and milled from the side of Si substrate. Lastly, the sample is milled to the thickness of 2μm. In this process, the ion beam is irradiating from the silicon substrate side to minimize the ion beam irradiation damages on the ArF photo resist patterns. EDX (EDX: Energy dispersive X-ray spectroscopy) analysis proved that no gallium ions were detected on the surface of the ArF photo resist patterns. The feasibility of high accelerating voltage observation of STEM to observe line edge roughness of a thick sample like 2μm without shrinkage has been demonstrated.
Roesler, Alexander W [Tijeras, NM; Christenson, Todd R [Albuquerque, NM
2007-04-24
Methods are provided for forming a plurality of permanent magnets with two different north-south magnetic pole alignments for use in microelectromechanical (MEM) devices. These methods are based on initially magnetizing the permanent magnets all in the same direction, and then utilizing a combination of heating and a magnetic field to switch the polarity of a portion of the permanent magnets while not switching the remaining permanent magnets. The permanent magnets, in some instances, can all have the same rare-earth composition (e.g. NdFeB) or can be formed of two different rare-earth materials (e.g. NdFeB and SmCo). The methods can be used to form a plurality of permanent magnets side-by-side on or within a substrate with an alternating polarity, or to form a two-dimensional array of permanent magnets in which the polarity of every other row of the array is alternated.
Methods for shifting the pattern of energy deposition with a MAPA
International Nuclear Information System (INIS)
Guerquin-Kern, J.L.; Hagmann, M.J.; Levin, R.L.
1987-01-01
In earlier work the authors observed local heating in bone when an amputated human leg was treated with a MAPA. For this reason we have experimentally compared several methods for controlling the pattern of energy deposition. These methods include radial displacement of the phantom relative to the MAPA, adjusting phase and magnitude of the currents in the dipole elements, and the use of dielectric spacers between the bolus and parts of the phantom. Cylindrical homogeneous muscle-phantoms have been used in these tests. Both theory and experiments show that greater displacement of the pattern can be obtained using phase-shifting than is possible with radial displacement of the phantom. Dielectric spacers act as a shield by decoupling the phantom from the MAPA. The dielectric spacers are simple to use and give results that are stable and easy to predict
Worthwhile optical method for free-form mirrors qualification
Sironi, G.; Canestrari, R.; Toso, G.; Pareschi, G.
2013-09-01
We present an optical method for free-form mirrors qualification developed by the Italian National Institute for Astrophysics (INAF) in the context of the ASTRI (Astrofisica con Specchi a Tecnologia Replicante Italiana) Project which includes, among its items, the design, development and installation of a dual-mirror telescope prototype for the Cherenkov Telescope Array (CTA) observatory. The primary mirror panels of the telescope prototype are free-form concave mirrors with few microns accuracy required on the shape error. The developed technique is based on the synergy between a Ronchi-like optical test performed on the reflecting surface and the image, obtained by means of the TraceIT ray-tracing proprietary code, a perfect optics should generate in the same configuration. This deflectometry test allows the reconstruction of the slope error map that the TraceIT code can process to evaluate the measured mirror optical performance at the telescope focus. The advantages of the proposed method is that it substitutes the use of 3D coordinates measuring machine reducing production time and costs and offering the possibility to evaluate on-site the mirror image quality at the focus. In this paper we report the measuring concept and compare the obtained results to the similar ones obtained processing the shape error acquired by means of a 3D coordinates measuring machine.
Duarte, Ana Rita C; Maniglio, Devid; Sousa, Nuno; Mano, João F; Reis, Rui L; Migliaresi, Claudio
2017-01-26
This study investigated the preparation of ordered patterned surfaces and/or microspheres from a natural-based polymer, using the breath figure and reverse breath figure methods. Poly(D,L-lactic acid) and starch poly(lactic acid) solutions were precipitated in different conditions - namely, polymer concentration, vapor atmosphere temperature and substrate - to evaluate the effect of these conditions on the morphology of the precipitates obtained. The possibility of fine-tuning the properties of the final patterns simply by changing the vapor atmosphere was also demonstrated here using a range of compositions of the vapor phase. Porous films or discrete particles are formed when the differences in surface tension determine the ability of polymer solution to surround water droplets or methanol to surround polymer droplets, respectively. In vitro cytotoxicity was assessed applying a simple standard protocol to evaluate the possibility to use these materials in biomedical applications. Moreover, fluorescent microscopy images showed a good interaction of cells with the material, which were able to adhere on the patterned surfaces after 24 hours in culture. The development of patterned surfaces using the breath figure method was tested in this work for the preparation of both poly(lactic acid) and a blend containing starch and poly(lactic acid). The potential of these films to be used in the biomedical area was confirmed by a preliminary cytotoxicity test and by morphological observation of cell adhesion.
International Nuclear Information System (INIS)
Yoshino, Masahiko; Ohsawa, Hiroki; Yamanaka, Akinori
2011-01-01
In this paper, a new fabrication method for an ordered nano-dot array is developed. Combination of coating, nano-plastic forming and annealing processes is studied to produce uniformly sized and ordered gold nano-dot array on a quartz glass substrate. The experimental results reveal that patterning of a groove grid on the gold-coated substrate with NPF is effective to obtain the ordered gold nano-dot array. In the proposed fabrication process, the size of the gold nano-dot can be controlled by adjusting the groove grid size. A minimum gold nano-dot array fabricated on a quartz-glass substrate was 93 nm in diameter and 100 nm in pitch. Furthermore, the mechanism of nano-dot array generation by the presented process is investigated. Using a theoretical model it is revealed that the proposed method is capable of fabrication of smaller nano-dots than 10 nm by controlling process conditions adequately.
Method for depleting BWRs using optimal control rod patterns
International Nuclear Information System (INIS)
Taner, M.S.; Levine, S.H.; Hsiao, M.Y.
1991-01-01
Control rod (CR) programming is an essential core management activity for boiling water reactors (BWRs). After establishing a core reload design for a BWR, CR programming is performed to develop a sequence of exposure-dependent CR patterns that assure the safe and effective depletion of the core through a reactor cycle. A time-variant target power distribution approach has been assumed in this study. The authors have developed OCTOPUS to implement a new two-step method for designing semioptimal CR programs for BWRs. The optimization procedure of OCTOPUS is based on the method of approximation programming and uses the SIMULATE-E code for nucleonics calculations
Lillo, Thomas M.; Chu, Henry S.; Harrison, William M.; Bailey, Derek
2013-01-22
Methods of forming composite materials include coating particles of titanium dioxide with a substance including boron (e.g., boron carbide) and a substance including carbon, and reacting the titanium dioxide with the substance including boron and the substance including carbon to form titanium diboride. The methods may be used to form ceramic composite bodies and materials, such as, for example, a ceramic composite body or material including silicon carbide and titanium diboride. Such bodies and materials may be used as armor bodies and armor materials. Such methods may include forming a green body and sintering the green body to a desirable final density. Green bodies formed in accordance with such methods may include particles comprising titanium dioxide and a coating at least partially covering exterior surfaces thereof, the coating comprising a substance including boron (e.g., boron carbide) and a substance including carbon.
Basic sculpturing methods as innovatory incentives in the development of aesthetic form concepts
DEFF Research Database (Denmark)
Thomsen, Bente Dahl
2009-01-01
Many project teams grapple for a long time with developing ideas to the form concept because of a lack of methods to solve the many form problems they face in sketching. They also have difficulty in translating the project requirements for product proportions or volumes to an aesthetic form...
Forms and Methods of Agricultural Sector Innovative Activity Improvement
Directory of Open Access Journals (Sweden)
Aisha S. Ablyaeva
2013-01-01
Full Text Available The article is focused on basic forms and methods to improve the efficiency of innovative activity in the agricultural sector of Ukraine. It was determined that the development of agriculture in Ukraine is affected by a number of factors that must be considered to design innovative models of entrepreneurship development and ways to improve the efficiency of innovative entrepreneurship activity.
Method of forming composite fiber blends
McMahon, Paul E. (Inventor); Chung, Tai-Shung (Inventor); Ying, Lincoln (Inventor)
1989-01-01
The instant invention involves a process used in preparing fibrous tows which may be formed into polymeric plastic composites. The process involves the steps of (a) forming a tow of strong filamentary materials; (b) forming a thermoplastic polymeric fiber; (c) intermixing the two tows; and (d) withdrawing the intermixed tow for further use.
Method for forming thermally stable nanoparticles on supports
Roldan Cuenya, Beatriz; Naitabdi, Ahmed R.; Behafarid, Farzad
2013-08-20
An inverse micelle-based method for forming nanoparticles on supports includes dissolving a polymeric material in a solvent to provide a micelle solution. A nanoparticle source is dissolved in the micelle solution. A plurality of micelles having a nanoparticle in their core and an outer polymeric coating layer are formed in the micelle solution. The micelles are applied to a support. The polymeric coating layer is then removed from the micelles to expose the nanoparticles. A supported catalyst includes a nanocrystalline powder, thin film, or single crystal support. Metal nanoparticles having a median size from 0.5 nm to 25 nm, a size distribution having a standard deviation .ltoreq.0.1 of their median size are on or embedded in the support. The plurality of metal nanoparticles are dispersed and in a periodic arrangement. The metal nanoparticles maintain their periodic arrangement and size distribution following heat treatments of at least 1,000.degree. C.
Automatic identification and normalization of dosage forms in drug monographs
2012-01-01
Background Each day, millions of health consumers seek drug-related information on the Web. Despite some efforts in linking related resources, drug information is largely scattered in a wide variety of websites of different quality and credibility. Methods As a step toward providing users with integrated access to multiple trustworthy drug resources, we aim to develop a method capable of identifying drug's dosage form information in addition to drug name recognition. We developed rules and patterns for identifying dosage forms from different sections of full-text drug monographs, and subsequently normalized them to standardized RxNorm dosage forms. Results Our method represents a significant improvement compared with a baseline lookup approach, achieving overall macro-averaged Precision of 80%, Recall of 98%, and F-Measure of 85%. Conclusions We successfully developed an automatic approach for drug dosage form identification, which is critical for building links between different drug-related resources. PMID:22336431
Pilling evaluation of patterned fabrics based on a gradient field method
Czech Academy of Sciences Publication Activity Database
Techniková, L.; Tunák, M.; Janáček, Jiří
2016-01-01
Roč. 41, č. 1 (2016), s. 97-101 ISSN 0971-0426 Institutional support: RVO:67985823 Keywords : 3D surface reconstruction * fabric pilling * gradient field method * patterned fabric * pills detection Subject RIV: JS - Reliability ; Quality Management, Testing Impact factor: 0.430, year: 2016
Directory of Open Access Journals (Sweden)
Janusz Dudczyk
2012-01-01
Full Text Available Microstrip antenna has been recently one of the most innovative fields of antenna techniques. The main advantage of such an antenna is the simplicity of its production, little weight, a narrow profile, and easiness of integration of the radiating elements with the net of generators power systems. As a result of using arrays consisting of microstrip antennas; it is possible to decrease the size and weight and also to reduce the costs of components production as well as whole application systems. This paper presents possibilities of using artificial neural networks (ANNs in the process of forming a beam from radiating complex microstrip antenna. Algorithms which base on artificial neural networks use high parallelism of actions which results in considerable acceleration of the process of forming the antenna pattern. The appropriate selection of learning constants makes it possible to get theoretically a solution which will be close to the real time. This paper presents the training neural network algorithm with the selection of optimal network structure. The analysis above was made in case of following the emission source, setting to zero the pattern of direction of expecting interference, and following emission source compared with two constant interferences. Computer simulation was made in MATLAB environment on the basis of Flex Tool, a programme which creates artificial neural networks.
The Analysis Performance Method Naive Bayes Andssvm Determine Pattern Groups of Disease
Sitanggang, Rianto; Tulus; Situmorang, Zakarias
2017-12-01
Information is a very important element and into the daily needs of the moment, to get a precise and accurate information is not easy, this research can help decision makers and make a comparison. Researchers perform data mining techniques to analyze the performance of methods and algorithms naïve Bayes methods Smooth Support Vector Machine (ssvm) in the grouping of the disease.The pattern of disease that is often suffered by people in the group can be in the detection area of the collection of information contained in the medical record. Medical records have infromasi disease by patients in coded according to standard WHO. Processing of medical record data to find patterns of this group of diseases that often occur in this community take the attribute address, sex, type of disease, and age. Determining the next analysis is grouping of four ersebut attribute. From the results of research conducted on the dataset fever diabete mellitus, naïve Bayes method produces an average value of 99% and an accuracy and SSVM method produces an average value of 93% accuracy
Capacitor assembly and related method of forming
Zhang, Lili; Tan, Daniel Qi; Sullivan, Jeffrey S.
2017-12-19
A capacitor assembly is disclosed. The capacitor assembly includes a housing. The capacitor assembly further includes a plurality of capacitors disposed within the housing. Furthermore, the capacitor assembly includes a thermally conductive article disposed about at least a portion of a capacitor body of the capacitors, and in thermal contact with the capacitor body. Moreover, the capacitor assembly also includes a heat sink disposed within the housing and in thermal contact with at least a portion of the housing and the thermally conductive article such that the heat sink is configured to remove heat from the capacitor in a radial direction of the capacitor assembly. Further, a method of forming the capacitor assembly is also presented.
Directory of Open Access Journals (Sweden)
samad erfanifar
2014-10-01
Full Text Available In this study, the optimal cropping patterns based on individual aims are presented and followed by a multi-objective cropping pattern with emphasize on the use of conservation tillage methods in Darab region presented. Individual goals consisted of maximizing gross margin and food secIn this study, the optimal cropping patterns based on individual aims were presented and followed by using a multi-objective fuzzy goal programming with emphasize on the use of conservation tillage methods in the Darab region. Individual goals consisted of maximizing gross margin and food security and minimizing water consumption and urea fertilizer use. The results showed that in the multi-objective cropping pattern, gross margin and food security increased by 23.5% and 6.1% , while water and energy consumption decreased by 4% and 5.1%, respectively as compared to the current cropping pattern. The fuzzy composite distance improved by %36, as compared to the current condition. Moreover, having replaced the conventional tillage methods with conservation tillage methods in the cropping pattern, the diesel fuel consumption reduced by 27%. Therefore, replacing multi-objective cropping pattern ,on which the conservation tillage methods are emphasized, with the conventional cropping patterns improves economic and environmental conditions. urity index and minimizing water and urea fertilizer.The results showed that in the multi-objective cropping pattern, gross margin and food security index respectively increase by 23.5% and 6.1% and water and energy consumption decrease by 4% and 5.1% respectively as compared to current cropping pattern. The fuzzy composite distance improves by %36 compares to current condition and represents better cropping pattern than the others. Morever in this cropping pattern, conventional tillage method will be replaced by conservation tillage practices, therefore the amount of diesel fuel consumption reduces by 27% that is equivalent to an
DEFF Research Database (Denmark)
Ayres, Phil; Vestartas, Petras; Ramsgaard Thomsen, Mette
2017-01-01
Cutting patterns for architectural membranes are generally characterised by rational approaches to surface discretisation and minimisation of geometric deviation between discrete elements that comprise the membrane. In this paper, we present an alternative approach for cutting pattern generation...... to the cutting pattern generation method and the net topology generation method used to produce a constraint net for a given membrane. We test our computational design approach through an iterative cycle of digital and physical prototyping before realising an air-inflated cable restrained pneumatic structural...
Ink-Jet Printer Forms Solar-Cell Contacts
Alexander, Paul, Jr.; Vest, R. W.; Binford, Don A.; Tweedell, Eric P.
1988-01-01
Contacts formed in controllable patterns with metal-based inks. System forms upper metal contact patterns on silicon photovoltaic cells. Uses metallo-organic ink, decomposes when heated, leaving behind metallic, electrically conductive residue in printed area.
Knowledge-Based Trajectory Error Pattern Method Applied to an Active Force Control Scheme
Directory of Open Access Journals (Sweden)
Endra Pitowarno, Musa Mailah, Hishamuddin Jamaluddin
2012-08-01
Full Text Available The active force control (AFC method is known as a robust control scheme that dramatically enhances the performance of a robot arm particularly in compensating the disturbance effects. The main task of the AFC method is to estimate the inertia matrix in the feedback loop to provide the correct (motor torque required to cancel out these disturbances. Several intelligent control schemes have already been introduced to enhance the estimation methods of acquiring the inertia matrix such as those using neural network, iterative learning and fuzzy logic. In this paper, we propose an alternative scheme called Knowledge-Based Trajectory Error Pattern Method (KBTEPM to suppress the trajectory track error of the AFC scheme. The knowledge is developed from the trajectory track error characteristic based on the previous experimental results of the crude approximation method. It produces a unique, new and desirable error pattern when a trajectory command is forced. An experimental study was performed using simulation work on the AFC scheme with KBTEPM applied to a two-planar manipulator in which a set of rule-based algorithm is derived. A number of previous AFC schemes are also reviewed as benchmark. The simulation results show that the AFC-KBTEPM scheme successfully reduces the trajectory track error significantly even in the presence of the introduced disturbances.Key Words: Active force control, estimated inertia matrix, robot arm, trajectory error pattern, knowledge-based.
International Nuclear Information System (INIS)
Jin, Cheng Hao; Pok, Gouchol; Lee, Yongmi; Park, Hyun-Woo; Kim, Kwang Deuk; Yun, Unil; Ryu, Keun Ho
2015-01-01
Highlights: • A novel pattern sequence-based direct time series forecasting method was proposed. • Due to the use of SOM’s topology preserving property, only SOM can be applied. • SCPSNSP only deals with the cluster patterns not each specific time series value. • SCPSNSP performs better than recently developed forecasting algorithms. - Abstract: In this paper, we propose a new day-ahead direct time series forecasting method for competitive electricity markets based on clustering and next symbol prediction. In the clustering step, pattern sequence and their topology relations are obtained from self organizing map time series clustering. In the next symbol prediction step, with each cluster label in the pattern sequence represented as a pair of its topologically identical coordinates, artificial neural network is used to predict the topological coordinates of next day by training the relationship between previous daily pattern sequence and its next day pattern. According to the obtained topology relations, the nearest nonzero hits pattern is assigned to next day so that the whole time series values can be directly forecasted from the assigned cluster pattern. The proposed method was evaluated on Spanish, Australian and New York electricity markets and compared with PSF and some of the most recently published forecasting methods. Experimental results show that the proposed method outperforms the best forecasting methods at least 3.64%
Bilinear nodal transport method in weighted diamond difference form
International Nuclear Information System (INIS)
Azmy, Y.Y.
1987-01-01
Nodal methods have been developed and implemented for the numerical solution of the discrete ordinates neutron transport equation. Numerical testing of these methods and comparison of their results to those obtained by conventional methods have established the high accuracy of nodal methods. Furthermore, it has been suggested that the linear-linear approximation is the most computationally efficient, practical nodal approximation. Indeed, this claim has been substantiated by comparing the accuracy in the solution, and the CPU time required to achieve convergence to that solution by several nodal approximations, as well as the diamond difference scheme. Two types of linear-linear nodal methods have been developed in the literature: analytic linear-linear (NLL) methods, in which the transverse-leakage terms are derived analytically, and approximate linear-linear (PLL) methods, in which these terms are approximated. In spite of their higher accuracy, NLL methods result in very complicated discrete-variable equations that exhibit a high degree of coupling, thus requiring special solution algorithms. On the other hand, the sacrificed accuracy in PLL methods is compensated for by the simple discrete-variable equations and diamond-difference-like solution algorithm. In this paper the authors outline the development of an NLL nodal method, the bilinear method, which can be written in a weighted diamond difference form with one spatial weight per dimension that is analytically derived rather than preassigned in an ad hoc fashion
Void Structures in Regularly Patterned ZnO Nanorods Grown with the Hydrothermal Method
Directory of Open Access Journals (Sweden)
Yu-Feng Yao
2014-01-01
Full Text Available The void structures and related optical properties after thermal annealing with ambient oxygen in regularly patterned ZnO nanrorod (NR arrays grown with the hydrothermal method are studied. In increasing the thermal annealing temperature, void distribution starts from the bottom and extends to the top of an NR in the vertical (c-axis growth region. When the annealing temperature is higher than 400°C, void distribution spreads into the lateral (m-axis growth region. Photoluminescence measurement shows that the ZnO band-edge emission, in contrast to defect emission in the yellow-red range, is the strongest under the n-ZnO NR process conditions of 0.003 M in Ga-doping concentration and 300°C in thermal annealing temperature with ambient oxygen. Energy dispersive X-ray spectroscopy data indicate that the concentration of hydroxyl groups in the vertical growth region is significantly higher than that in the lateral growth region. During thermal annealing, hydroxyl groups are desorbed from the NR leaving anion vacancies for reacting with cation vacancies to form voids.
Patterns and Interfaces in Dissipative Dynamics
Pismen, L.M
2006-01-01
Spontaneous pattern formation in nonlinear dissipative systems far from equilibrium is a paradigmatic case of emergent behaviour associated with complex systems. It is encountered in a great variety of settings, both in nature and technology, and has numerous applications ranging from nonlinear optics through solid and fluid mechanics, physical chemistry and chemical engineering to biology. Nature creates its variety of forms through spontaneous pattern formation and self-assembly, and this strategy is likely to be imitated by future biomorphic technologies. This book is a first-hand account by one of the leading players in this field, which gives in-depth descriptions of analytical methods elucidating the complex evolution of nonlinear dissipative systems, and brings the reader to the forefront of current research. The introductory chapter on the theory of dynamical systems is written with a view to applications of its powerful methods to spatial and spatio-temporal patterns. It is followed by two chapters t...
AN UNUSUAL PATTERN OF GENE FLOW BETWEEN THE TWO SOCIAL FORMS OF THE FIRE ANT SOLENOPSIS INVICTA.
Ross, Kenneth G; Shoemaker, D DeWayne
1993-10-01
Uncertainty over the role of shifts in social behavior in the process of speciation in social insects has stimulated interest in determining the extent of gene flow between conspecific populations differing in colony social organization. Allele and genotype frequencies at 12 neutral polymorphic protein markers, as well as the numbers of alleles at the sex-determining locus (loci), are shown here to be consistent with significant ongoing gene flow between two geographically adjacent populations of Solenopsis invicta that differ in colony queen number. Data from a thirteenth protein marker that is under strong differential selection in the two social forms confirm that such gene flow occurs. Data from this selected locus, combined with knowledge of the reproductive biology of the two social forms, further suggest that interform gene flow is largely unidirectional and mediated through males only. This unusual pattern of gene flow results from the influence of the unique social enviroments of the two forms on the behavior of workers and on the reproductive physiology of sexuals. © 1993 The Society for the Study of Evolution.
Method of predicting surface deformation in the form of sinkholes
Energy Technology Data Exchange (ETDEWEB)
Chudek, M.; Arkuszewski, J.
1980-06-01
Proposes a method for predicting probability of sinkhole shaped subsidence, number of funnel-shaped subsidences and size of individual funnels. The following factors which influence the sudden subsidence of the surface in the form of funnels are analyzed: geologic structure of the strata between mining workings and the surface, mining depth, time factor, and geologic disolocations. Sudden surface subsidence is observed only in the case of workings situated up to a few dozen meters from the surface. Using the proposed method is explained with some examples. It is suggested that the method produces correct results which can be used in coal mining and in ore mining. (1 ref.) (In Polish)
Youn, Sung-Won; Suzuki, Kenta; Hiroshima, Hiroshi
2018-06-01
A software program for modifying a mold design to obtain a uniform residual layer thickness (RLT) distribution has been developed and its validity was verified by UV-nanoimprint lithography (UV-NIL) simulation. First, the effects of granularity (G) on both residual layer uniformity and filling characteristics were characterized. For a constant complementary pattern depth and a granularity that was sufficiently larger than the minimum pattern width, filling time decreased with the decrease in granularity. For a pattern design with a wide density range and an irregular distribution, the choice of a small granularity was not always a good strategy since the etching depth required for a complementary pattern occasionally exceptionally increased with the decrease in granularity. On basis of the results obtained, the automated method was applied to a chip-scale pattern modification. Simulation results showed a marked improvement in residual layer thickness uniformity for a capacity-equalized (CE) mold. For the given conditions, the standard deviation of RLT decreased in the range from 1/3 to 1/5 in accordance with pattern designs.
Neutron absorbers and methods of forming at least a portion of a neutron absorber
Energy Technology Data Exchange (ETDEWEB)
Guillen, Donna P; Porter, Douglas L; Swank, W David; Erickson, Arnold W
2014-12-02
Methods of forming at least a portion of a neutron absorber include combining a first material and a second material to form a compound, reducing the compound into a plurality of particles, mixing the plurality of particles with a third material, and pressing the mixture of the plurality of particles and the third material. One or more components of neutron absorbers may be formed by such methods. Neutron absorbers may include a composite material including an intermetallic compound comprising hafnium aluminide and a matrix material comprising pure aluminum.
Comparison of bar pattern and edge method for MTF measurement in radiology quality control
Energy Technology Data Exchange (ETDEWEB)
Alvarez, M.; Alves, A.F.F; Bacchim Neto, F.A.; Pavan, A.L.M.; Rosa, M.E.D.; Miranda, J.R.A.; Pina, D.R. de, E-mail: drpina@fmb.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Botucatu, SP (Brazil)
2015-08-15
Spatial resolution is one of the parameters that is routinely checked during acceptance procedures and regular quality control measurements. The spatial resolution of a radiographic imaging device is most appropriately expressed in terms of its modulation transfer function (MTF), which indicates the decline of detector spatial resolution with spatial frequency. Traditionally used methods of MTF measurement involve imaging either a narrow slit or a sharp edge to obtain the detector line spread function (LSF), whose frequency transform leads to the MTF. In this work is presented a study of the measurement of the limiting spatial resolution using the MTF method and the line-pair bar-pattern method. Our aim is to compare the bar-pattern method with the MTF method and then evaluate what method is the best for the dairy quality control tests and when is better to perform one test or other. These acquisition procedures were tested according to its reproducibility and variation due to noise. (author)
Wang, Jian; Li, Hao; Zou, Haoyang; Wang, Chenmiao; Zhang, Hao; Mano, João F; Song, Wenlong
2017-02-28
Inspired by the rolling of water droplets on lotus leaves, we developed a novel, magnetic field-controlled patterning method for water-soluble proteins and other functional materials on superhydrophobic platforms. This simple method can be used to fabricate biochips and open micro-fluidic devices in a simple way.
Directory of Open Access Journals (Sweden)
G. K. Aslanov
2015-01-01
Full Text Available In the article is developed the model demonstrating the forming process of pattern of antenna system of aerodrome quasidopler automatic radiodirection-finder station in the development environment of LabVIEW applied programs of National Instrument company.
Method of forming latent image to protect documents based on the effect moire
Troyan, О.
2015-01-01
Analysis of modern methods of information protection based on printed documents. It is shown that methods of protection from moiré effect provide reliable and effective protection by gaining new protection technology that is displayed in the optical acceleration motion layers and causes moire in fraud. Latent images can securely protect paper documents. Introduce a system of equations to calculate curvilinear patterns, where the optical formula of acceleration and periods moire stored in i...
Directory of Open Access Journals (Sweden)
Y. Zhao
2017-06-01
Full Text Available Local line rolling forming is a common forming approach for the complex curvature plate of ships. However, the processing mode based on artificial experience is still applied at present, because it is difficult to integrally determine relational data for the forming shape, processing path, and process parameters used to drive automation equipment. Numerical simulation is currently the major approach for generating such complex relational data. Therefore, a highly precise and effective numerical computation method becomes crucial in the development of the automated local line rolling forming system for producing complex curvature plates used in ships. In this study, a three-dimensional elastoplastic finite element method was first employed to perform numerical computations for local line rolling forming, and the corresponding deformation and strain distribution features were acquired. In addition, according to the characteristics of strain distributions, a simplified deformation simulation method, based on the deformation obtained by applying strain was presented. Compared to the results of the three-dimensional elastoplastic finite element method, this simplified deformation simulation method was verified to provide high computational accuracy, and this could result in a substantial reduction in calculation time. Thus, the application of the simplified deformation simulation method was further explored in the case of multiple rolling loading paths. Moreover, it was also utilized to calculate the local line rolling forming for the typical complex curvature plate of ships. Research findings indicated that the simplified deformation simulation method was an effective tool for rapidly obtaining relationships between the forming shape, processing path, and process parameters.
Workflow patterns the definitive guide
Russell, Nick; ter Hofstede, Arthur H M
2016-01-01
The study of business processes has emerged as a highly effective approach to coordinating an organization's complex service- and knowledge-based activities. The growing field of business process management (BPM) focuses on methods and tools for designing, enacting, and analyzing business processes. This volume offers a definitive guide to the use of patterns, which synthesize the wide range of approaches to modeling business processes. It provides a unique and comprehensive introduction to the well-known workflow patterns collection -- recurrent, generic constructs describing common business process modeling and execution scenarios, presented in the form of problem-solution dialectics. The underlying principles of the patterns approach ensure that they are independent of any specific enabling technology, representational formalism, or modeling approach, and thus broadly applicable across the business process modeling and business process technology domains. The authors, drawing on extensive research done by...
Method of forming a ceramic superconducting composite wire using a molten pool
International Nuclear Information System (INIS)
Geballe, T.H.; Feigelson, R.S.; Gazit, D.
1991-01-01
This paper describes a method for making a flexible superconductive composite wire. It comprises: drawing a wire of noble metal through a molten material, formed by melting a solid formed by pressing powdered Bi 2 O 3 , CaCO 3 SrCO 3 and CuO in a ratio of components necessary for forming a Bi-Sr-Ca-Cu-O superconductor, into the solid and sintering at a temperature in the range of 750 degrees - 800 degrees C. for 10-20 hours, whereby the wire is coated by the molten material; and cooling the coated wire to solidify the molten material to form the superconductive flexible composite wire without need of further annealing
Statistical Methods for Detecting and Modeling General Patterns and Relationships in Lifetime Data
Energy Technology Data Exchange (ETDEWEB)
Kvaloey, Jan Terje
1999-04-01
In this thesis, the author tries to develop methods of detecting and modeling general patterns and relationships in lifetime data. Tests with power against nonmonotonic trends and nonmonotonic co variate effects are considered, and nonparametric regression methods which allow estimation of fairly general nonlinear relationships are studied. Practical uses of some of the methods are illustrated although in a medical rather than engineering or technological context.
International Nuclear Information System (INIS)
Vinodh, M.; Vinayak, M.; Rahul, K.; Pankaj, P.
2012-01-01
A simple and reliable high-performance liquid chromatography (HPLC) method was developed and validated for Lacidipine in pure form and pharmaceutical dosage form. The method was developed on X bridge C-18 column (150 mm x 4.6 mm, 5 μm) with a mobile phase gradient system of ammonium acetate and acetonitrile. The effluent was monitored by PDA detector at 240 nm. Calibration curve was linear over the concentration range of 50-250 μg/ml. For Intra-day and inter-day precision % RSD values were found to be 0.83 % and 0.41 % respectively. Recovery of Lacidipine was found to be in the range of 99.78-101.76 %. The limits of detection (LOD) and quantification (LOQ) were 1.0 and 7.3 μg/ml respectively. The developed RP-HPLC method was successfully applied for the quantitative determination of lacidipine in pharmaceutical dosage. (author)
Future of the Learning Activities in Teenage School: Content, Methods, and Forms
Directory of Open Access Journals (Sweden)
Vorontsov A.B.
2015-11-01
Full Text Available the early 1990s their scientific research results have been formed in the educational system and began to be used in general primary school. However, when the widespread use of developmental education in elementary school, further studies on the age possibilities of adolescents and the content of their education have not been completed. Targeted research was organized again under the leadership of B.D. Elkonin only in 2000. Designing of teenage school in the framework of the principles and ideology of this system started at the same time at the Psychological Institute of the Russian Academy of Education and many other educational institutions. The article presents the hypothetical ideas about the content, forms and methods of organization of educational process in the second stage of schooling. Particular attention is paid to the fate of the educational activity in teenage school, as well as methods and forms of organization of other activities in the adolescent school.
Topological patterns of mesh textures in serpentinites
Miyazawa, M.; Suzuki, A.; Shimizu, H.; Okamoto, A.; Hiraoka, Y.; Obayashi, I.; Tsuji, T.; Ito, T.
2017-12-01
Serpentinization is a hydration process that forms serpentine minerals and magnetite within the oceanic lithosphere. Microfractures crosscut these minerals during the reactions, and the structures look like mesh textures. It has been known that the patterns of microfractures and the system evolutions are affected by the hydration reaction and fluid transport in fractures and within matrices. This study aims at quantifying the topological patterns of the mesh textures and understanding possible conditions of fluid transport and reaction during serpentinization in the oceanic lithosphere. Two-dimensional simulation by the distinct element method (DEM) generates fracture patterns due to serpentinization. The microfracture patterns are evaluated by persistent homology, which measures features of connected components of a topological space and encodes multi-scale topological features in the persistence diagrams. The persistence diagrams of the different mesh textures are evaluated by principal component analysis to bring out the strong patterns of persistence diagrams. This approach help extract feature values of fracture patterns from high-dimensional and complex datasets.
Theodoridis, Sergios
2003-01-01
Pattern recognition is a scientific discipline that is becoming increasingly important in the age of automation and information handling and retrieval. Patter Recognition, 2e covers the entire spectrum of pattern recognition applications, from image analysis to speech recognition and communications. This book presents cutting-edge material on neural networks, - a set of linked microprocessors that can form associations and uses pattern recognition to ""learn"" -and enhances student motivation by approaching pattern recognition from the designer's point of view. A direct result of more than 10
Application of the moving frame method to deformed Willmore surfaces in space forms
Paragoda, Thanuja
2018-06-01
The main goal of this paper is to use the theory of exterior differential forms in deriving variations of the deformed Willmore energy in space forms and study the minimizers of the deformed Willmore energy in space forms. We derive both first and second order variations of deformed Willmore energy in space forms explicitly using moving frame method. We prove that the second order variation of deformed Willmore energy depends on the intrinsic Laplace Beltrami operator, the sectional curvature and some special operators along with mean and Gauss curvatures of the surface embedded in space forms, while the first order variation depends on the extrinsic Laplace Beltrami operator.
48 CFR 215.404-70 - DD Form 1547, Record of Weighted Guidelines Method Application.
2010-10-01
... 48 Federal Acquisition Regulations System 3 2010-10-01 2010-10-01 false DD Form 1547, Record of... TYPES CONTRACTING BY NEGOTIATION Contract Pricing 215.404-70 DD Form 1547, Record of Weighted Guidelines Method Application. Follow the procedures at PGI 215.404-70 for use of DD Form 1547 whenever a structured...
Radial arrays of nano-electrospray ionization emitters and methods of forming electrosprays
Kelly, Ryan T [West Richland, WA; Tang, Keqi [Richland, WA; Smith, Richard D [Richland, WA
2010-10-19
Electrospray ionization emitter arrays, as well as methods for forming electrosprays, are described. The arrays are characterized by a radial configuration of three or more nano-electrospray ionization emitters without an extractor electrode. The methods are characterized by distributing fluid flow of the liquid sample among three or more nano-electrospray ionization emitters, forming an electrospray at outlets of the emitters without utilizing an extractor electrode, and directing the electrosprays into an entrance to a mass spectrometry device. Each of the nano-electrospray ionization emitters can have a discrete channel for fluid flow. The nano-electrospray ionization emitters are circularly arranged such that each is shielded substantially equally from an electrospray-inducing electric field.
El-Yazbi, F A; Abdine, H H; Shaalan, R A
1999-06-01
Three sensitive and accurate methods are presented for the determination of benazepril in its dosage forms. The first method uses derivative spectrophotometry to resolve the interference due to formulation matrix. The second method depends on the color formed by the reaction of the drug with bromocresol green (BCG). The third one utilizes the reaction of benazepril, after alkaline hydrolysis, with 3-methylbenzothialozone (MBTH) hydrazone where the produced color is measured at 593 nm. The latter method was extended to develop a stability-indicating method for this drug. Moreover, the derivative method was applied for the determination of benazepril in its combination with hydrochlorothiazide. The proposed methods were applied for the analysis of benazepril in the pure form and in tablets. The coefficient of variation was less than 2%.
A simple field method to identify foot strike pattern during running.
Giandolini, Marlène; Poupard, Thibaut; Gimenez, Philippe; Horvais, Nicolas; Millet, Guillaume Y; Morin, Jean-Benoît; Samozino, Pierre
2014-05-07
Identifying foot strike patterns in running is an important issue for sport clinicians, coaches and footwear industrials. Current methods allow the monitoring of either many steps in laboratory conditions or only a few steps in the field. Because measuring running biomechanics during actual practice is critical, our purpose is to validate a method aiming at identifying foot strike patterns during continuous field measurements. Based on heel and metatarsal accelerations, this method requires two uniaxial accelerometers. The time between heel and metatarsal acceleration peaks (THM) was compared to the foot strike angle in the sagittal plane (αfoot) obtained by 2D video analysis for various conditions of speed, slope, footwear, foot strike and state of fatigue. Acceleration and kinematic measurements were performed at 1000Hz and 120Hz, respectively, during 2-min treadmill running bouts. Significant correlations were observed between THM and αfoot for 14 out of 15 conditions. The overall correlation coefficient was r=0.916 (Pstrike except for extreme forefoot strike during which the heel rarely or never strikes the ground, and for different footwears and states of fatigue. We proposed a classification based on THM: FFS<-5.49ms
Saleh, Syafiuddin
2018-05-01
This study aims to examine the pattern of group formation, related to the stimulation of change through the empowerment of farmers and poor fishermen The pattern of group formation is the basis for sustainable development. The research method used is qualitative descriptive method and relevant research type such as case study and triangulasi. The results of the study showed that (1) stimulation of changes made through development programs or community empowerment in the areas studied both among farm households and poor fishermen households for some programs received positive response from farmers and fishermen. However, the collective commitment to the breeding is relatively weak, since the group formed in each program is not done through good planning and concepts. (2) there are two patterns of group formation that are natural and formed formations initiated by outsiders. Groups that are naturally formed are more institutionalized and have characteristics such as intense and relatively routine interaction, strong mutual trust, and have a common form or mechanism shared for common purposes. The group can form the basis for sustainable development in improving the welfare of the poor.
Methods for discriminating gas-liquid two phase flow patterns based on gray neural networks and SVM
International Nuclear Information System (INIS)
Li Jingjing; Zhou Tao; Duan Jun; Zhang Lei
2013-01-01
Background: The flow patterns of two phase flow will directly influence the heat transfer and mass transfer of the flow. Purpose: By wavelet analysis of the pressure drop experimental data, the wavelet coefficients of different frequency can be obtained. Methods: Get the wavelet energy and then train them in the model of BP neural network to distinguish the flow patterns. Introduced the implant gray neural networks model and use it for the two phase flow for the first time. At the same time, set up the method of training the pressure data and wavelet energy data in the support vector machine. Results: Through treatment of the gray layer, the result of the neural network is more accuracy. It can obviously reduce the effect of data marginalization. The accuracy of the pressure drop Lib-SVM method is 95.2%. Conclusions: The results show that these three methods can make a distinction among the different flow patterns and the Lib-SVM method gets the best result, then the gray neural networks, and at last the BP neural networks. (authors)
A Pattern Construction Scheme for Neural Network-Based Cognitive Communication
Directory of Open Access Journals (Sweden)
Ozgur Orcay
2011-01-01
Full Text Available Inefficient utilization of the frequency spectrum due to conventional regulatory limitations and physical performance limiting factors, mainly the Signal to Noise Ratio (SNR, are prominent restrictions in digital wireless communication. Pattern Based Communication System (PBCS is an adaptive and perceptual communication method based on a Cognitive Radio (CR approach. It intends an SNR oriented cognition mechanism in the physical layer for improvement of Link Spectral Efficiency (LSE. The key to this system is construction of optimal communication signals, which consist of encoded data in different pattern forms (waveforms depending on spectral availabilities. The signals distorted in the communication medium are recovered according to the pre-trained pattern glossary by the perceptual receiver. In this study, we have shown that it is possible to improve the bandwidth efficiency when largely uncorrelated signal patterns are chosen in order to form a glossary that represents symbols for different length data groups and the information can be recovered by the Artificial Neural Network (ANN in the receiver site.
International Nuclear Information System (INIS)
Rocha, Humberto; Dias, Joana M; Ferreira, Brígida C; Lopes, Maria C
2013-01-01
Generally, the inverse planning of radiation therapy consists mainly of the fluence optimization. The beam angle optimization (BAO) in intensity-modulated radiation therapy (IMRT) consists of selecting appropriate radiation incidence directions and may influence the quality of the IMRT plans, both to enhance better organ sparing and to improve tumor coverage. However, in clinical practice, most of the time, beam directions continue to be manually selected by the treatment planner without objective and rigorous criteria. The goal of this paper is to introduce a novel approach that uses beam’s-eye-view dose ray tracing metrics within a pattern search method framework in the optimization of the highly non-convex BAO problem. Pattern search methods are derivative-free optimization methods that require a few function evaluations to progress and converge and have the ability to better avoid local entrapment. The pattern search method framework is composed of a search step and a poll step at each iteration. The poll step performs a local search in a mesh neighborhood and ensures the convergence to a local minimizer or stationary point. The search step provides the flexibility for a global search since it allows searches away from the neighborhood of the current iterate. Beam’s-eye-view dose metrics assign a score to each radiation beam direction and can be used within the pattern search framework furnishing a priori knowledge of the problem so that directions with larger dosimetric scores are tested first. A set of clinical cases of head-and-neck tumors treated at the Portuguese Institute of Oncology of Coimbra is used to discuss the potential of this approach in the optimization of the BAO problem. (paper)
A Study on the Motif Pattern of Dark-Cloud Cover in the Securities
Directory of Open Access Journals (Sweden)
Long Jing
2017-01-01
Full Text Available Morphological analysis is the analysis and mining of the graphics formed of the securities price changes. Investors need to forecast the trend of future before buying and selling points, which can avoid great loss. Therefore, the analysis of motif pattern of K-line in the form of futures investment technology analysis is very significant. Based on the thoughts of short-term trend clustering, this paper proposes a method of detecting the motif pattern of Dark-Cloud Cover in stock time series by analysing stock historic data and K-line shape, in order to predict the stock market trends. And we prove the effectiveness and practicality of the method by a series of experimental analysis.
Directory of Open Access Journals (Sweden)
Taehwan Kim
2017-05-01
Full Text Available By incorporating a growing number of sensors and adopting machine learning technologies, wearable devices have recently become a prominent health care application domain. Among the related research topics in this field, one of the most important issues is detecting falls while walking. Since such falls may lead to serious injuries, automatically and promptly detecting them during daily use of smartphones and/or smart watches is a particular need. In this paper, we investigate the use of Gaussian process (GP methods for characterizing dynamic walking patterns and detecting falls while walking with built-in wearable sensors in smartphones and/or smartwatches. For the task of characterizing dynamic walking patterns in a low-dimensional latent feature space, we propose a novel approach called auto-encoded Gaussian process dynamical model, in which we combine a GP-based state space modeling method with a nonlinear dimensionality reduction method in a unique manner. The Gaussian process methods are fit for this task because one of the most import strengths of the Gaussian process methods is its capability of handling uncertainty in the model parameters. Also for detecting falls while walking, we propose to recycle the latent samples generated in training the auto-encoded Gaussian process dynamical model for GP-based novelty detection, which can lead to an efficient and seamless solution to the detection task. Experimental results show that the combined use of these GP-based methods can yield promising results for characterizing dynamic walking patterns and detecting falls while walking with the wearable sensors.
International Nuclear Information System (INIS)
Ren, M J; Cheung, C F; Kong, L B
2012-01-01
In the measurement of ultra-precision freeform surfaces, least-squares-based form characterization methods are widely used to evaluate the form error of the measured surfaces. Although many methodologies have been proposed in recent years to improve the efficiency of the characterization process, relatively little research has been conducted on the analysis of associated uncertainty in the characterization results which may result from those characterization methods being used. As a result, this paper presents a task specific uncertainty analysis method with application in the least-squares-based form characterization of ultra-precision freeform surfaces. That is, the associated uncertainty in the form characterization results is estimated when the measured data are extracted from a specific surface with specific sampling strategy. Three factors are considered in this study which include measurement error, surface form error and sample size. The task specific uncertainty analysis method has been evaluated through a series of experiments. The results show that the task specific uncertainty analysis method can effectively estimate the uncertainty of the form characterization results for a specific freeform surface measurement
Garn, Troy G; Law, Jack D; Greenhalgh, Mitchell R; Tranter, Rhonda
2014-04-01
A composite media including at least one crystalline aluminosilicate material in polyacrylonitrile. A method of forming a composite media is also disclosed. The method comprises dissolving polyacrylonitrile in an organic solvent to form a matrix solution. At least one crystalline aluminosilicate material is combined with the matrix solution to form a composite media solution. The organic solvent present in the composite media solution is diluted. The composite media solution is solidified. In addition, a method of processing a fluid stream is disclosed. The method comprises providing a beads of a composite media comprising at least one crystalline aluminosilicate material dispersed in a polyacrylonitrile matrix. The beads of the composite media are contacted with a fluid stream comprising at least one constituent. The at least one constituent is substantially removed from the fluid stream.
Disjoint forms in graphical user interfaces
Evers, S.; Achten, P.M.; Plasmeijer, M.J.; Loidl, H.W.
Forms are parts of a graphical user interface (GUI) that show a set of values and allow the user to update them. The declarative form construction library FunctionalForms is extended with disjoint form combinators to capture some common patterns in which the form structure expresses a choice. We
RP-HPLC Method for the Estimation of Nebivolol in Tablet Dosage Form
Directory of Open Access Journals (Sweden)
M. K. Sahoo
2009-01-01
Full Text Available A reverse phase HPLC method is described for the determination of nebivolol in tablet dosage form. Chromatography was carried on a Hypersil ODS C18 column using a mixture of methanol and water (80:20 v/v as the mobile phase at a flow rate of 1.0 mL/min with detection at 282 nm. Chlorzoxazone was used as the internal standard. The retention times were 3.175 min and 4.158 min for nebivolol and chlorzoxazone respectively. The detector response was linear in the concentration of 1-400 μg/mL. The limit of detection and limit of quantification was 0.0779 and 0.2361 μg/mL respectively. The percentage assay of nebivolol was 99.974%. The method was validated by determining its sensitivity, accuracy and precision. The proposed method is simple, fast, accurate and precise and hence can be applied for routine quality control of nebivolol in bulk and tablet dosage form.
Platinum catalyst formed on carbon nanotube by the in-liquid plasma method for fuel cell
Energy Technology Data Exchange (ETDEWEB)
Show, Yoshiyuki; Hirai, Akira; Almowarai, Anas; Ueno, Yutaro
2015-12-01
In-liquid plasma was generated in the carbon nanotube (CNT) dispersion fluid using platinum electrodes. The generated plasma spattered the surface of the platinum electrodes and dispersed platinum particles into the CNT dispersion. Therefore, the platinum nanoparticles were successfully formed on the CNT surface in the dispersion. The platinum nanoparticles were applied to the proton exchange membrane fuel cell (PEMFC) as a catalyst. The electrical power of 108 mW/cm{sup 2} was observed from the fuel cell which was assembled with the platinum catalyst formed on the CNT by the in-liquid plasma method. - Highlights: • The platinum catalyst was successfully formed on the CNT surface in the dispersion by the in-liquid plasma method. • The electrical power of 108 mW/cm{sup 2} was observed from the fuel cell which was assembled with the platinum catalyst formed on the CNT by the in-liquid plasma method.
Díaz-Martínez, Miriam; Nava-Cedillo, Alejandro; Guzmán-López, José Alfredo; Escobar-Guzmán, Rocío; Simpson, June
2012-04-01
Genetic variation in three forms of asexually propagated Agave tequilana Weber var. 'Azul' plants namely offsets, bulbils and in vitro cultured individuals was studied by AFLP analysis. Low levels of variation were observed between mother plants and offsets and a higher level between mother plant and bulbils. Families obtained from commercial plantations showed lower levels of variation in comparison to families grown as ornamentals. No variation was observed between the original explant and four generations of in vitro cultured plants. Epigenetic variation was also studied by analyzing changes in methylation patterns between mother plants and offspring in each form of asexual reproduction. Offsets and bulbils showed an overall decrease in methylation whereas in vitro cultured plants showed patterns specific to each generation: Generations 1 and 4 showed overall demethylation whereas Generations 2 and 3 showed increased methylation. Analysis of ESTs associated with transposable elements revealed higher proportions of ESTs from Ty1-copia-like, Gypsy and CACTA transposable elements in cDNA libraries obtained from pluripotent tissue suggesting a possible correlation between methylation patterns, expression of transposable element associated genes and somaclonal variation. Copyright © 2012 Elsevier Ireland Ltd. All rights reserved.
Rasel, Sheikh Md
We introduce a versatile advanced method of electrospinning for fabricating various kinds of nanofibrous patterns along with desired alignment, controlled amount of deposition and locally variable density into the architectures. In this method, we employed multiple electrodes whose potentials have been altered in milliseconds with the help of microprocessor based control system. Therefore, key success of this method was that the electrical field as well as charge carrying fibers could be switched shortly from one electrode's location to another, as a result, electrospun fibers could be deposited on the designated areas with desired alignment. A wide range of nanofibrous patterned architectures were constructed using proper arrangement of multiple electrodes. By controlling the concurrent activation time of two adjacent electrodes, we demonstrated that amount of fibers going into the pattern can be adjusted and desired alignment in electrospun fibers can be obtained. We also revealed that the deposition density of electrospun fibers in different areas of patterned architectures can be varied. We showed that by controlling the deposition time between two adjacent electrodes, a number of functionally graded patterns can be generated with uniaxial alignment. We also demonstrated that this handy method was capable of producing random, aligned, and multidirectional nanofibrous mats by engaging a number of electrodes and switching them in desired patterns. A comprehensive study using finite element method was carried out to understand the effects of electrical field. Simulation results revealed that electrical field strength alters shortly based on electrode control switch patterns. Nanofibrous polyvinyl alcohol (PVA) scaffolds and its composite reinforced with wollastonite and wood flour were fabricated using rotating drum electrospinning technique. Morphological, mechanical, and thermal, properties were characterized on PVA/wollastonite and PVA/wood flour nanocomposites
Space discretization in SN methods: Features, improvements and convergence patterns
International Nuclear Information System (INIS)
Coppa, G.G.M.; Lapenta, G.; Ravetto, P.
1990-01-01
A comparative analysis of the space discretization schemes currently used in S N methods is performed and special attention is devoted to direct integration techniques. Some improvements are proposed in one- and two-dimensional applications, which are based on suitable choices for the spatial variation of the collision source. A study of the convergence pattern is carried out for eigenvalue calculations within the space asymptotic approximation by means of both analytical and numerical investigations. (orig.) [de
Method for Forming Fiber Reinforced Composite Bodies with Graded Composition and Stress Zones
Singh, Mrityunjay (Inventor); Levine, Stanley R. (Inventor); Smialek, James A. (Inventor)
1999-01-01
A near-net, complex shaped ceramic fiber reinforced silicon carbide based composite bodies with graded compositions and stress zones is disclosed. To provide the composite a fiber preform is first fabricated and an interphase is applied by chemical vapor infiltration, sol-gel or polymer processes. This first body is further infiltrated with a polymer mixture containing carbon, and/or silicon carbide, and additional oxide, carbide, or nitride phases forming a second body. One side of the second body is spray coated or infiltrated with slurries containing high thermal expansion and oxidation resistant. crack sealant phases and the other side of this second body is coated with low expansion phase materials to form a third body. This third body consisting of porous carbonaceous matrix surrounding the previously applied interphase materials, is then infiltrated with molten silicon or molten silicon-refractory metal alloys to form a fourth body. The resulting fourth body comprises dense composites consisting of fibers with the desired interphase which are surrounded by silicon carbide and other second phases materials at the outer and inner surfaces comprising material of silicon, germanium, refractory metal suicides, borides, carbides, oxides, and combinations thereof The resulting composite fourth body has different compositional patterns from one side to the other.
Schomaker, Lambertus; Mangalagiu, D.; Vuurpijl, Louis; Weinfeld, M.; Schomaker, Lambert; Vuurpijl, Louis
2000-01-01
This paper describes treebased classification of character images, comparing two methods of tree formation and two methods of matching: nearest neighbor and nearest centroid. The first method, Preprocess Using Relative Distances (PURD) is a treebased reorganization of a flat list of patterns,
Soft-lithographic patterning of room temperaturesintering Ag nanoparticles on foil
Moonen,P.F.; Bat,E.; Voorthuijzen, W.P.; Huskens, J.
2013-01-01
Room temperature-sintering, poly(acrylic acid)-capped silver nanoparticles (Ag-PAA NPs) were used in a wide range of nanofabrication methods to form metallic silver microstructures on flexible poly(ethylene terephthalate) (PET) substrates. Silver wires on top of PET foil were patterned by
Scanning tunneling microscope nanoetching method
Li, Yun-Zhong; Reifenberger, Ronald G.; Andres, Ronald P.
1990-01-01
A method is described for forming uniform nanometer sized depressions on the surface of a conducting substrate. A tunneling tip is used to apply tunneling current density sufficient to vaporize a localized area of the substrate surface. The resulting depressions or craters in the substrate surface can be formed in information encoding patterns readable with a scanning tunneling microscope.
Energy Technology Data Exchange (ETDEWEB)
Martena, Valentina; Censi, Roberta [University of Camerino, School of Pharmacy (Italy); Hoti, Ela; Malaj, Ledjan [University of Tirana, Department of Pharmacy (Albania); Di Martino, Piera, E-mail: piera.dimartino@unicam.it [University of Camerino, School of Pharmacy (Italy)
2012-12-15
The objective of this study is to select very simple and well-known laboratory scale methods able to reduce particle size of indomethacin until the nanometric scale. The effect on the crystalline form and the dissolution behavior of the different samples was deliberately evaluated in absence of any surfactants as stabilizers. Nanocrystals of indomethacin (native crystals are in the {gamma} form) (IDM) were obtained by three laboratory scale methods: A (Batch A: crystallization by solvent evaporation in a nano-spray dryer), B (Batch B-15 and B-30: wet milling and lyophilization), and C (Batch C-20-N and C-40-N: Cryo-milling in the presence of liquid nitrogen). Nanocrystals obtained by the method A (Batch A) crystallized into a mixture of {alpha} and {gamma} polymorphic forms. IDM obtained by the two other methods remained in the {gamma} form and a different attitude to the crystallinity decrease were observed, with a more considerable decrease in crystalline degree for IDM milled for 40 min in the presence of liquid nitrogen. The intrinsic dissolution rate (IDR) revealed a higher dissolution rate for Batches A and C-40-N, due to the higher IDR of {alpha} form than {gamma} form for the Batch A, and the lower crystallinity degree for both the Batches A and C-40-N. These factors, as well as the decrease in particle size, influenced the IDM dissolution rate from the particle samples. Modifications in the solid physical state that may occur using different particle size reduction treatments have to be taken into consideration during the scale up and industrial development of new solid dosage forms.
Creating IRT-Based Parallel Test Forms Using the Genetic Algorithm Method
Sun, Koun-Tem; Chen, Yu-Jen; Tsai, Shu-Yen; Cheng, Chien-Fen
2008-01-01
In educational measurement, the construction of parallel test forms is often a combinatorial optimization problem that involves the time-consuming selection of items to construct tests having approximately the same test information functions (TIFs) and constraints. This article proposes a novel method, genetic algorithm (GA), to construct parallel…
MODEL OF METHODS OF FORMING BIOLOGICAL PICTURE OF THE WORLD OF SECONDARY SCHOOL PUPILS
Directory of Open Access Journals (Sweden)
Mikhail A. Yakunchev
2016-12-01
Full Text Available Introduction: the problem of development of a model of methods of forming the biological picture of the world of pupils as a multicomponent and integrative expression of the complete educational process is considered in the article. It is stated that the results of the study have theoretical and practical importance for effective subject preparation of senior pupils based on acquiring of systematic and generalized knowledge about wildlife. The correspondence of the main idea of the article to the scientific profile of the journal “Integration of Education” determines the choice of the periodical for publication. Materials and Methods: the results of the analysis of materials on modeling of the educational process, on specific models of the formation of a complete comprehension of the scientific picture of the world and its biological component make it possible to suggest a lack of elaboration of the aspect of pedagogical research under study. Therefore, the search for methods to overcome these gaps and to substantiate a particular model, relevant for its practical application by a teacher, is important. The study was based on the use of methods of theoretical level, including the analysis of pedagogical and methodological literature, modeling and generalized expression of the model of forming the biological picture of the world of secondary school senior pupils, which were of higher priority. Results: the use of models of organization of subject preparation of secondary school pupils takes a priority position, as they help to achieve the desired results of training, education and development. The model of methods of forming a biological picture of the world is represented as a theoretical construct in the unity of objective, substantive, procedural, diagnostic and effective blocks. Discussion and Conclusions: in a generalized form the article expresses the model of methods of forming the biological picture of the world of secondary school
Novel method for chemical modification and patterning of the SU-8 photoresist
DEFF Research Database (Denmark)
Blagoi, Gabriela; Keller, Stephan Urs; Boisen, Anja
2007-01-01
the wetting behaviour of SU-8. The resolution limit of the AQ photopatterning method was 20 μm when using an uncollimated light source. AQ modification followed by a reaction with amino groups of Alexa-647 cadaverine and a Biotin-amino derivative proved possible modification and patterning of polymeric...
Application of hierarchical clustering method to classify of space-time rainfall patterns
Yu, Hwa-Lung; Chang, Tu-Je
2010-05-01
Understanding the local precipitation patterns is essential to the water resources management and flooding mitigation. The precipitation patterns can vary in space and time depending upon the factors from different spatial scales such as local topological changes and macroscopic atmospheric circulation. The spatiotemporal variation of precipitation in Taiwan is significant due to its complex terrain and its location at west pacific and subtropical area, where is the boundary between the pacific ocean and Asia continent with the complex interactions among the climatic processes. This study characterizes local-scale precipitation patterns by classifying the historical space-time precipitation records. We applied the hierarchical ascending clustering method to analyze the precipitation records from 1960 to 2008 at the six rainfall stations located in Lan-yang catchment at the northeast of the island. Our results identify the four primary space-time precipitation types which may result from distinct driving forces from the changes of atmospheric variables and topology at different space-time scales. This study also presents an important application of the statistical downscaling to combine large-scale upper-air circulation with local space-time precipitation patterns.
DeVille, R. E. Lee; Harkin, Anthony; Holzer, Matt; Josić, Krešimir; Kaper, Tasso J.
2008-06-01
For singular perturbation problems, the renormalization group (RG) method of Chen, Goldenfeld, and Oono [Phys. Rev. E. 49 (1994) 4502-4511] has been shown to be an effective general approach for deriving reduced or amplitude equations that govern the long time dynamics of the system. It has been applied to a variety of problems traditionally analyzed using disparate methods, including the method of multiple scales, boundary layer theory, the WKBJ method, the Poincaré-Lindstedt method, the method of averaging, and others. In this article, we show how the RG method may be used to generate normal forms for large classes of ordinary differential equations. First, we apply the RG method to systems with autonomous perturbations, and we show that the reduced or amplitude equations generated by the RG method are equivalent to the classical Poincaré-Birkhoff normal forms for these systems up to and including terms of O(ɛ2), where ɛ is the perturbation parameter. This analysis establishes our approach and generalizes to higher order. Second, we apply the RG method to systems with nonautonomous perturbations, and we show that the reduced or amplitude equations so generated constitute time-asymptotic normal forms, which are based on KBM averages. Moreover, for both classes of problems, we show that the main coordinate changes are equivalent, up to translations between the spaces in which they are defined. In this manner, our results show that the RG method offers a new approach for deriving normal forms for nonautonomous systems, and it offers advantages since one can typically more readily identify resonant terms from naive perturbation expansions than from the nonautonomous vector fields themselves. Finally, we establish how well the solution to the RG equations approximates the solution of the original equations on time scales of O(1/ɛ).
International Nuclear Information System (INIS)
Strickert, R.G.; Erikson, R.L.; Shade, J.W.
1984-10-01
At the request of the Basalt Waste Isolation Project, the Materials Characterization Center has collected and developed a set of procedures into a waste form compliance test method (MCC-14.4). The purpose of the test is to measure the steady-state concentrations of specified radionuclides in solutions contacting a waste form material. The test method uses a crushed waste form and basalt material suspended in a synthetic basalt groundwater and agitated for up to three months at 150 0 C under anoxic conditions. Elemental and radioisotopic analyses are made on filtered and unfiltered aliquots of the solution. Replicate experiments are performed and simultaneous tests are conducted with an approved test material (ATM) to help ensure precise and reliable data for the actual waste form material. Various features of the test method, equipment, and test conditions are reviewed. Experimental testing using actinide-doped borosilicate glasses are also discussed. 9 references, 2 tables
Localization Using Magnetic Patterns for Autonomous Mobile Robot
Directory of Open Access Journals (Sweden)
Won Suk You
2014-03-01
Full Text Available In this paper, we present a method of localization using magnetic landmarks. With this method, it is possible to compensate the pose error (xe, ye, θe of a mobile robot correctly and localize its current position on a global coordinate system on the surface of a structured environment with magnetic landmarks. A set of four magnetic bars forms total six different patterns of landmarks and these patterns can be read by the mobile robot with magnetic hall sensors. A sequential motion strategy for a mobile robot is proposed to find the geometric center of magnetic landmarks by reading the nonlinear magnetic field. The mobile robot first moves into the center region of the landmark where it can read the magnetic pattern, after which tracking and global localization can be easily achieved by recognizing the patterns of neighboring landmarks. Experimental results show the effectiveness of the sequential motion strategy for estimating the center of the first encountered landmark as well as the performance of tracking and global localization of the proposed system.
Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji
2017-08-18
Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.
International Nuclear Information System (INIS)
Rasouli, Saifollah; Yeganeh, Mohammad
2015-01-01
The use of moiré pattern of superimposition of linear forked gratings (LFGs) and Fresnel zone plates (ZPs) has already been reported for study of different physical effects. In spite of a considerable number of applications, there is no comprehensive formulation for this kind of moiré pattern. In this work, we introduce a new family of ZPs containing topological defects that we named defected ZP (DZP) and we present a very simple, uniform, and comprehensive formulation for the moiré pattern of superimposition of two LFGs, two DZPs, and superimposition of an LFG on a DZP, using the reciprocal vector approach. For the case of the two LFGs superimposition, we show that the resulting moiré pattern has a starlike shape or is a large-scale LFG pattern. In the case in which two DZPs are superimposed, we show that the resulting moiré pattern has three general forms: large-scale DZP pattern, starlike pattern, and large-scale LFG pattern. In the superimposition of an LFG on a DZP, in special conditions a new spiral ZP having a topological defect is produced in which its defect number related to the superimposed gratings structures. The presented formulation has potential applications in singular optics measurements. (paper)
A high pressure liquid chromatography method for separation of prolactin forms.
Bell, Damon A; Hoad, Kirsten; Leong, Lillian; Bakar, Juwaini Abu; Sheehan, Paul; Vasikaran, Samuel D
2012-05-01
Prolactin has multiple forms and macroprolactin, which is thought not to be bioavailable, can cause a raised serum prolactin concentration. Gel filtration chromatography (GFC) is currently the gold standard method for separating macroprolactin, but is labour-intensive. Polyethylene glycol (PEG) precipitation is suitable for routine use but may not always be accurate. We developed a high pressure liquid chromatography (HPLC) assay for macroprolactin measurement. Chromatography was carried out using an Agilent Zorbax GF-250 (9.4 × 250 mm, 4 μm) size exclusion column and 50 mmol/L Tris buffer with 0.15 mmol/L NaCl at pH 7.2 as mobile phase, with a flow rate of 1 mL/min. Serum or plasma was diluted 1:1 with mobile phase and filtered and 100 μL injected. Fractions of 155 μL were collected for prolactin measurement and elution profile plotted. The area under the curve of each prolactin peak was calculated to quantify each prolactin form, and compared with GFC. Clear separation of monomeric-, big- and macroprolactin forms was achieved. Quantification was comparable to GFC and precision was acceptable. Total time from injection to collection of the final fraction was 16 min. We have developed an HPLC method for quantification of macroprolactin, which is rapid and easy to perform and therefore can be used for routine measurement.
Methods for forming complex oxidation reaction products including superconducting articles
International Nuclear Information System (INIS)
Rapp, R.A.; Urquhart, A.W.; Nagelberg, A.S.; Newkirk, M.S.
1992-01-01
This patent describes a method for producing a superconducting complex oxidation reaction product of two or more metals in an oxidized state. It comprises positioning at least one parent metal source comprising one of the metals adjacent to a permeable mass comprising at least one metal-containing compound capable of reaction to form the complex oxidation reaction product in step below, the metal component of the at least one metal-containing compound comprising at least a second of the two or more metals, and orienting the parent metal source and the permeable mass relative to each other so that formation of the complex oxidation reaction product will occur in a direction towards and into the permeable mass; and heating the parent metal source in the presence of an oxidant to a temperature region above its melting point to form a body of molten parent metal to permit infiltration and reaction of the molten parent metal into the permeable mass and with the oxidant and the at least one metal-containing compound to form the complex oxidation reaction product, and progressively drawing the molten parent metal source through the complex oxidation reaction product towards the oxidant and towards and into the adjacent permeable mass so that fresh complex oxidation reaction product continues to form within the permeable mass; and recovering the resulting complex oxidation reaction product
DEFF Research Database (Denmark)
Joergensen, Mette Warming; Agerholm, Inge; Hindkjaer, Johnny
2014-01-01
PURPOSE: To analyze the cleavage patterns in dipronuclear (2PN) and tripronuclear (3PN) embryos in relation to fertilization method. METHOD: Time-lapse analysis. RESULTS: Compared to 2PN, more 3PN IVF embryos displayed early cleavage into 3 cells (p ... stage (p embryos, the 2nd and 3rd cleavage cycles were completed within the expected time frame. However, timing of the cell divisions within the cleavage cycles differed between the two groups. In contrast......, the completion of the 1st, 2nd, and 3rd cleavage cycle was delayed, but with a similar division pattern for 3PN ICSI compared with the 2PN ICSI embryos. 3PN, more often than 2PN ICSI embryos, displayed early cleavage into 3 cells (p = 0.03) and arrested development from the compaction stage and onwards (p = 0...
Advanced free-form micro tooling
DEFF Research Database (Denmark)
Tosello, Guido; Gavillet, J.
2011-01-01
-beam lithography and nano imprinting lithography [Che05][Che09] have high manufacturing cost and a low throughput. The aim was obtain large tool area with nano structures patterning without using energy intensive nano machining (e.g. focus ion beam, X-ray lithography, etc) but, instead, by exploiting the advantage......The present deliverable contains the report of the work and results achieved within the framework of WP 2.2 in Tasks 2.2.4 “Advanced free-form micro tooling” in experimental research done regarding practical applications of methods of applying nano structures to tooling solutions. As part of Task 2.......2.4, tests based on three different chemical-based-batch techniques to establish surface nano (i.e. sub-μm) structures on large tools area were performed. The three approached regarded: o Scheme 1 The use of Ø500nm nanobeads deposition for direct patterning of a Ø4inch. silicon wafer and subsequent nickel...
He, Xianjin; Zhang, Xinchang; Xin, Qinchuan
2018-02-01
Recognition of building group patterns (i.e., the arrangement and form exhibited by a collection of buildings at a given mapping scale) is important to the understanding and modeling of geographic space and is hence essential to a wide range of downstream applications such as map generalization. Most of the existing methods develop rigid rules based on the topographic relationships between building pairs to identify building group patterns and thus their applications are often limited. This study proposes a method to identify a variety of building group patterns that allow for map generalization. The method first identifies building group patterns from potential building clusters based on a machine-learning algorithm and further partitions the building clusters with no recognized patterns based on the graph partitioning method. The proposed method is applied to the datasets of three cities that are representative of the complex urban environment in Southern China. Assessment of the results based on the reference data suggests that the proposed method is able to recognize both regular (e.g., the collinear, curvilinear, and rectangular patterns) and irregular (e.g., the L-shaped, H-shaped, and high-density patterns) building group patterns well, given that the correctness values are consistently nearly 90% and the completeness values are all above 91% for three study areas. The proposed method shows promises in automated recognition of building group patterns that allows for map generalization.
Directory of Open Access Journals (Sweden)
João Fabrício Mota Rodrigues
Full Text Available Sampling the biodiversity is an essential step for conservation, and understanding the efficiency of sampling methods allows us to estimate the quality of our biodiversity data. Sex ratio is an important population characteristic, but until now, no study has evaluated how efficient are the sampling methods commonly used in biodiversity surveys in estimating the sex ratio of populations. We used a virtual ecologist approach to investigate whether active and passive capture methods are able to accurately sample a population's sex ratio and whether differences in movement pattern and detectability between males and females produce biased estimates of sex-ratios when using these methods. Our simulation allowed the recognition of individuals, similar to mark-recapture studies. We found that differences in both movement patterns and detectability between males and females produce biased estimates of sex ratios. However, increasing the sampling effort or the number of sampling days improves the ability of passive or active capture methods to properly sample sex ratio. Thus, prior knowledge regarding movement patterns and detectability for species is important information to guide field studies aiming to understand sex ratio related patterns.
Rodrigues, João Fabrício Mota; Coelho, Marco Túlio Pacheco
2016-01-01
Sampling the biodiversity is an essential step for conservation, and understanding the efficiency of sampling methods allows us to estimate the quality of our biodiversity data. Sex ratio is an important population characteristic, but until now, no study has evaluated how efficient are the sampling methods commonly used in biodiversity surveys in estimating the sex ratio of populations. We used a virtual ecologist approach to investigate whether active and passive capture methods are able to accurately sample a population's sex ratio and whether differences in movement pattern and detectability between males and females produce biased estimates of sex-ratios when using these methods. Our simulation allowed the recognition of individuals, similar to mark-recapture studies. We found that differences in both movement patterns and detectability between males and females produce biased estimates of sex ratios. However, increasing the sampling effort or the number of sampling days improves the ability of passive or active capture methods to properly sample sex ratio. Thus, prior knowledge regarding movement patterns and detectability for species is important information to guide field studies aiming to understand sex ratio related patterns.
Kalwitzki, Matthias; Meller, Christina; Beyer, Christine
2011-08-01
The purpose of this study was to determine whether dental students' perceptions regarding six communication patterns for use in pediatric dentistry differed depending on whether they were taught by lecturing or by video-based teaching. Prior to the introduction of interpersonal skills in a clinical course in pediatric dentistry, four consecutive cohorts of students (n=107) in a German dental school were divided equally into two groups. Group one (n=57) was taught by video sequences and group two (n=50) by conventional lecture. Six communication patterns were presented: involvement of the child's toy(s), speaking in positive phrases, mentioning a personal aspect, recalling positive behavior of the patient, addressing fear verbally, and complimenting the patient. Immediately after the presentation, students were asked by means of a questionnaire about their assessment of and intentions regarding the clinical application of the communication patterns presented. After completion of the course, they were asked about the communication patterns that had been used. There were significant differences for three communication patterns in favor of video-based teaching (pstudents perceived differences between video-based teaching and lecturing regarding ease of use, but they did not seem to benefit from one method over the other regarding clinical application.
Fraud adversely affecting the budget of the Europen Union: the forms, methods and causes
Directory of Open Access Journals (Sweden)
Zlata Đurđević
2006-09-01
Full Text Available The paper analyses the forms, methods and causes of fraud that are perpetrated to the detriment of the budget of the European Union. The forms in which EU fraud appears are shown according to the criterion of kind of budgetary resource. Crime affecting the budgetary revenue of the EU tends to appear in the form of customs duty-evasion and false declarations concerning the customs-relevant information about goods. Crime adversely affecting the expenditure side of the EU budget appears in the form of subsidy fraud in the area of the Common Agricultural Policy, and subsidy fraud in the area of the structural policies. The methods used for the EU fraud committed and considered in the paper are document forgery, concealment of goods, corruption, violence and fictional business and evasion of the laws. In conclusion an explanation is given of the main exogenous criminogenic factors that lead to the EU frauds commonly perpetrated.
Directory of Open Access Journals (Sweden)
SV Solanki
2015-06-01
Full Text Available Introduction: Respiratory system is the basic prerequisite for living organisms. So precise knowledge of normal anatomy and various dimensions of human respiratory tract is inevitable. The right upper lobe bronchus is prevailingly trifurcates into apical, anterior and posterior segmental bronchi. Material and Methods: The present study was done on 28 tracheo-bronchial casts prepared by corrosive cast method in the anatomy department of B. J. medical college of Ahmedabad, Gujarat, India from 2011 to 2013. Result and Observation: In 16 specimens (57% normal trifurcate branching pattern was seen in right upper lobar bronchus. Most common variation observed was bifurcate pattern in right upper lobar bronchus in 36% of specimens. In 7% specimens quadrivial pattern was seen in right upper lobar bronchus in which it divided into four bronchi. Conclusion: The knowledge of anatomy and variation in branching pattern of the tracheo-bronchial tree enables the physicians to recognize clinical picture and pathology of human lungs, as well as the application of therapeutic and diagnostic methods like tracheal intubation, bronchoscopy, bronchography and postural drainage etc.
Directory of Open Access Journals (Sweden)
Scott Debbie A
2011-01-01
Full Text Available Abstract Background International data on child maltreatment are largely derived from child protection agencies, and predominantly report only substantiated cases of child maltreatment. This approach underestimates the incidence of maltreatment and makes inter-jurisdictional comparisons difficult. There has been a growing recognition of the importance of health professionals in identifying, documenting and reporting suspected child maltreatment. This study aimed to describe the issues around case identification using coded morbidity data, outline methods for selecting and grouping relevant codes, and illustrate patterns of maltreatment identified. Methods A comprehensive review of the ICD-10-AM classification system was undertaken, including review of index terms, a free text search of tabular volumes, and a review of coding standards pertaining to child maltreatment coding. Identified codes were further categorised into maltreatment types including physical abuse, sexual abuse, emotional or psychological abuse, and neglect. Using these code groupings, one year of Australian hospitalisation data for children under 18 years of age was examined to quantify the proportion of patients identified and to explore the characteristics of cases assigned maltreatment-related codes. Results Less than 0.5% of children hospitalised in Australia between 2005 and 2006 had a maltreatment code assigned, almost 4% of children with a principal diagnosis of a mental and behavioural disorder and over 1% of children with an injury or poisoning as the principal diagnosis had a maltreatment code assigned. The patterns of children assigned with definitive T74 codes varied by sex and age group. For males selected as having a maltreatment-related presentation, physical abuse was most commonly coded (62.6% of maltreatment cases while for females selected as having a maltreatment-related presentation, sexual abuse was the most commonly assigned form of maltreatment (52.9% of
Reactor noise analysis by statistical pattern recognition methods
International Nuclear Information System (INIS)
Howington, L.C.; Gonzalez, R.C.
1976-01-01
A multivariate statistical pattern recognition system for reactor noise analysis is presented. The basis of the system is a transformation for decoupling correlated variables and algorithms for inferring probability density functions. The system is adaptable to a variety of statistical properties of the data, and it has learning, tracking, updating, and data compacting capabilities. System design emphasizes control of the false-alarm rate. Its abilities to learn normal patterns, to recognize deviations from these patterns, and to reduce the dimensionality of data with minimum error were evaluated by experiments at the Oak Ridge National Laboratory (ORNL) High-Flux Isotope Reactor. Power perturbations of less than 0.1 percent of the mean value in selected frequency ranges were detected by the pattern recognition system
Optimization of instruction and training process through content, form and methods
International Nuclear Information System (INIS)
Rozinek, P.
1983-01-01
The content orientation and development of forms and methods of nuclear power plant personnel training are described. The subject matter content consisted of two units: group and professional. Professional was divided into specialized sub-units: the primary circuit part, secondary circuit part, electric, chemistry, dosimetry. The system of final examinations is described. (J.P.)
International Nuclear Information System (INIS)
Al-Othman, A.K.; El-Naggar, K.M.
2008-01-01
Direct search methods are evolutionary algorithms used to solve optimization problems. (DS) methods do not require any information about the gradient of the objective function at hand while searching for an optimum solution. One of such methods is Pattern Search (PS) algorithm. This paper presents a new approach based on a constrained pattern search algorithm to solve a security constrained power system economic dispatch problem (SCED) with non-smooth cost function. Operation of power systems demands a high degree of security to keep the system satisfactorily operating when subjected to disturbances, while and at the same time it is required to pay attention to the economic aspects. Pattern recognition technique is used first to assess dynamic security. Linear classifiers that determine the stability of electric power system are presented and added to other system stability and operational constraints. The problem is formulated as a constrained optimization problem in a way that insures a secure-economic system operation. Pattern search method is then applied to solve the constrained optimization formulation. In particular, the method is tested using three different test systems. Simulation results of the proposed approach are compared with those reported in literature. The outcome is very encouraging and proves that pattern search (PS) is very applicable for solving security constrained power system economic dispatch problem (SCED). In addition, valve-point effect loading and total system losses are considered to further investigate the potential of the PS technique. Based on the results, it can be concluded that the PS has demonstrated ability in handling highly nonlinear discontinuous non-smooth cost function of the SCED. (author)
Interaction between droplets in a ternary microemulsion evaluated by the relative form factor method
International Nuclear Information System (INIS)
Nagao, Michihiro; Seto, Hideki; Yamada, Norifumi L.
2007-01-01
This paper describes the concentration dependence of the interaction between water droplets coated by a surfactant monolayer using the contrast variation small-angle neutron scattering technique. In the first part, we explain the idea of how to extract a relatively model free structure factor from the scattering data, which is called the relative form factor method. In the second part, the experimental results for the shape of the droplets (form factor) are described. In the third part the relatively model free structure factor is shown, and finally the concentration dependence of the interaction potential between droplets is discussed. The result indicates the validity of the relative form factor method, and the importance of the estimation of the model free structure factor to discuss the nature of structure formation in microemulsion systems
A kinematic method for footstrike pattern detection in barefoot and shod runners.
Altman, Allison R; Davis, Irene S
2012-02-01
Footstrike patterns during running can be classified discretely into a rearfoot strike, midfoot strike and forefoot strike by visual observation. However, the footstrike pattern can also be classified on a continuum, ranging from 0% to 100% (extreme rearfoot to extreme forefoot) using the strike index, a measure requiring force plate data. When force data are not available, an alternative method to quantify the strike pattern must be used. The purpose of this paper was to quantify the continuum of foot strike patterns using an easily attainable kinematic measure, and compare it to the strike index measure. Force and kinematic data from twenty subjects were collected as they ran across an embedded force plate. Strike index and the footstrike angle were identified for the four running conditions of rearfoot strike, midfoot strike and forefoot strike, as well as barefoot. The footstrike angle was calculated as the angle of the foot with respect to the ground in the sagittal plane. Results indicated that the footstrike angle was significantly correlated with strike index. The linear regression model suggested that strike index can be accurately estimated, in both barefoot and shod conditions, in the absence of force data. Copyright © 2011 Elsevier B.V. All rights reserved.
Zhou, Qiuling; Tang, Chen; Li, Biyuan; Wang, Linlin; Lei, Zhenkun; Tang, Shuwei
2018-01-01
The filtering of discontinuous optical fringe patterns is a challenging problem faced in this area. This paper is concerned with oriented partial differential equations (OPDEs)-based image filtering methods for discontinuous optical fringe patterns. We redefine a new controlling speed function to depend on the orientation coherence. The orientation coherence can be used to distinguish the continuous regions and the discontinuous regions, and can be calculated by utilizing fringe orientation. We introduce the new controlling speed function to the previous OPDEs and propose adaptive OPDEs filtering models. According to our proposed adaptive OPDEs filtering models, the filtering in the continuous and discontinuous regions can be selectively carried out. We demonstrate the performance of the proposed adaptive OPDEs via application to the simulated and experimental fringe patterns, and compare our methods with the previous OPDEs.
Lauren S. Urgenson; Charles B. Halpern; Paul D. Anderson
2013-01-01
Mortality of retained trees can compromise the ecological objectives of variable-retention harvest. We used a large-scale experiment replicated at six locations in western Washington and Oregon to examine the influences of retention level (40% vs. 15% of original basal area) and its spatial pattern (aggregated vs.dispersed) on the rate and form of tree mortality for 11...
Soft-lithographic patterning of room termperature-sintering Ag Nanoparticles on foil
Moonen, P.; Bat, E.; Voorthuijzen, W. Pim; Huskens, Jurriaan
2013-01-01
Room temperature-sintering, poly(acrylic acid)-capped silver nanoparticles (Ag-PAA NPs) were used in a wide range of nanofabrication methods to form metallic silver microstructures on flexible poly(ethylene terephthalate) (PET) substrates. Silver wires on top of PET foil were patterned by
Lubricant Test Methods for Sheet Metal Forming
DEFF Research Database (Denmark)
Bay, Niels; Olsson, David Dam; Andreasen, Jan Lasson
2008-01-01
appearing in different sheet forming operations such as stretch forming, deep drawing, ironing and punching. The laboratory tests have been especially designed to model the conditions in industrial production. Application of the tests for evaluating new lubricants before introducing them in production has......Sheet metal forming of tribologically difficult materials such as stainless steel, Al-alloys and Ti-alloys or forming in tribologically difficult operations like ironing, punching or deep drawing of thick plate requires often use of environmentally hazardous lubricants such as chlorinated paraffin...... oils in order to avoid galling. The present paper describes a systematic research in the development of new, environmentally harmless lubricants focusing on the lubricant testing aspects. A system of laboratory tests has been developed to study the lubricant performance under the very varied conditions...
A Global Online Handwriting Recognition Approach Based on Frequent Patterns
Directory of Open Access Journals (Sweden)
C. Gmati
2018-06-01
Full Text Available In this article, the handwriting signals are represented based on geometric and spatio-temporal characteristics to increase the feature vectors relevance of each object. The main goal was to extract features in the form of a numeric vector based on the extraction of frequent patterns. We used two types of frequent motifs (closed frequent patterns and maximal frequent patterns that can represent handwritten characters pertinently. These common features patterns are generated from a raw data transformation method to achieve high relevance. A database of words consisting of two different letters was created. The proposed application gives promising results and highlights the advantages that frequent pattern extraction algorithms can achieve, as well as the central role played by the “minimum threshold” parameter in the overall description of the characters.
A comparison of heuristic and model-based clustering methods for dietary pattern analysis.
Greve, Benjamin; Pigeot, Iris; Huybrechts, Inge; Pala, Valeria; Börnhorst, Claudia
2016-02-01
Cluster analysis is widely applied to identify dietary patterns. A new method based on Gaussian mixture models (GMM) seems to be more flexible compared with the commonly applied k-means and Ward's method. In the present paper, these clustering approaches are compared to find the most appropriate one for clustering dietary data. The clustering methods were applied to simulated data sets with different cluster structures to compare their performance knowing the true cluster membership of observations. Furthermore, the three methods were applied to FFQ data assessed in 1791 children participating in the IDEFICS (Identification and Prevention of Dietary- and Lifestyle-Induced Health Effects in Children and Infants) Study to explore their performance in practice. The GMM outperformed the other methods in the simulation study in 72 % up to 100 % of cases, depending on the simulated cluster structure. Comparing the computationally less complex k-means and Ward's methods, the performance of k-means was better in 64-100 % of cases. Applied to real data, all methods identified three similar dietary patterns which may be roughly characterized as a 'non-processed' cluster with a high consumption of fruits, vegetables and wholemeal bread, a 'balanced' cluster with only slight preferences of single foods and a 'junk food' cluster. The simulation study suggests that clustering via GMM should be preferred due to its higher flexibility regarding cluster volume, shape and orientation. The k-means seems to be a good alternative, being easier to use while giving similar results when applied to real data.
Energy Technology Data Exchange (ETDEWEB)
Zeng, Hao; Cerretti, Giacomo; Wiersma, Diederik S., E-mail: camilla.parmeggiani@lens.unifi.it, E-mail: wiersma@lens.unifi.it [European Laboratory for Non Linear Spectroscopy (LENS), University of Florence, via Nello Carrara 1, 50019 Sesto Fiorentino (Italy); Wasylczyk, Piotr [European Laboratory for Non Linear Spectroscopy (LENS), University of Florence, via Nello Carrara 1, 50019 Sesto Fiorentino (Italy); Faculty of Physics, Institute of Experimental Physics, University of Warsaw, ul. Hoza 69, Warszawa 00-681 (Poland); Martella, Daniele [European Laboratory for Non Linear Spectroscopy (LENS), University of Florence, via Nello Carrara 1, 50019 Sesto Fiorentino (Italy); Dipartimento di Chimica “Ugo Schiff,” University of Florence, via della Lastruccia 3-13, 50019 Sesto Fiorentino (Italy); Parmeggiani, Camilla, E-mail: camilla.parmeggiani@lens.unifi.it, E-mail: wiersma@lens.unifi.it [European Laboratory for Non Linear Spectroscopy (LENS), University of Florence, via Nello Carrara 1, 50019 Sesto Fiorentino (Italy); CNR-INO, via Nello Carrara 1, 50019 Sesto Fiorentino (Italy)
2015-03-16
We report a method to fabricate polymer microstructures with local control over the molecular orientation. Alignment control is achieved on molecular level in a structure of arbitrary form that can be from 1 to 100 μm in size, by fixing the local boundary conditions with micro-grating patterns. The method makes use of two-photon polymerization (Direct Laser Writing) and is demonstrated specifically in liquid-crystalline elastomers. This concept allows for the realization of free-form polymeric structures with multiple functionalities which are not possible to realize with existing techniques and which can be locally controlled by light in the micrometer scale.
Patterning conductive PDMS nanocomposite in an elastomer using microcontact printing
International Nuclear Information System (INIS)
Liu, Chao-Xuan; Choi, Jin-Woo
2009-01-01
This paper introduces a simple method of embedding conductive and flexible elastomer micropatterns into a bulk elastomer. Employing microcontact printing and cast molding techniques, patterns consisting of conductive poly(dimethylsiloxane) (PDMS) composites mixed with multi-walled carbon nanotubes (MWCNTs) are embedded into bulk PDMS to form all-elastomer devices. To pattern conductive composites, a micromachined printing mold is utilized to transfer composite ink from a spin-coated thin layer to another substrate. Distinct from previously reported approaches, the printing mold in this technique, once fabricated, can be repeatedly used to generate new patterns and therefore greatly simplifies the device fabrication process and improves its efficiency. Manufactured devices with embedded conductive patterns exhibit excellent mechanical flexibility. With characterization of printing reliability, electrical conductivity of the composites is also shown with different loading percentages of MWCNTs. Furthermore, a simple strain gauge was fabricated and tested to demonstrate the potential applications of embedded conductive patterns. Overall, this approach demonstrates feasibility to be a simple method to pattern conductive elastomers that work as electrodes or sensing probes in PDMS-based devices. With further development, this technology yields many potential applications in lab-on-a-chip systems
Elevated temperature forming method and preheater apparatus
Krajewski, Paul E; Hammar, Richard Harry; Singh, Jugraj; Cedar, Dennis; Friedman, Peter A; Luo, Yingbing
2013-06-11
An elevated temperature forming system in which a sheet metal workpiece is provided in a first stage position of a multi-stage pre-heater, is heated to a first stage temperature lower than a desired pre-heat temperature, is moved to a final stage position where it is heated to a desired final stage temperature, is transferred to a forming press, and is formed by the forming press. The preheater includes upper and lower platens that transfer heat into workpieces disposed between the platens. A shim spaces the upper platen from the lower platen by a distance greater than a thickness of the workpieces to be heated by the platens and less than a distance at which the upper platen would require an undesirably high input of energy to effectively heat the workpiece without being pressed into contact with the workpiece.
Method and Apparatus for Forming Nanodroplets
Ackley, Donald; Forster, Anita
2011-01-01
This innovation uses partially miscible fluids to form nano- and microdroplets in a microfluidic droplet generator system. Droplet generators fabricated in PDMS (polydimethylsiloxane) are currently being used to fabricate engineered nanoparticles and microparticles. These droplet generators were first demonstrated in a T-junction configuration, followed by a cross-flow configuration. All of these generating devices have used immiscible fluids, such as oil and water. This immiscible fluid system can produce mono-dispersed distributions of droplets and articles with sizes ranging from a few hundred nanometers to a few hundred microns. For applications such as drug delivery, the ability to encapsulate aqueous solutions of drugs within particles formed from the droplets is desirable. Of particular interest are non-polar solvents that can dissolve lipids for the formation of liposomes in the droplet generators. Such fluids include ether, cyclohexane, butanol, and ethyl acetate. Ethyl acetate is of particular interest for two reasons. It is relatively nontoxic and it is formed from ether and acetic acid, and maybe broken down into its constituents at relatively low concentrations.
Quantitative analysis of calcined fertilizers by X-ray diffraction patterns
International Nuclear Information System (INIS)
Cekinski, E.
1987-01-01
An X-ray diffraction pattern method for quantitative analyses of phosphate fertilizers obtained by calcination of a misture of Anitapolis phosphate concentrate and sodium carbonate is described. The method consists in plotting a calibration curve, using spinel (MgAl 2 O 4 ) as internal standard, of the phases that were formed by calcination, sintetized in laboratory. The tests conducted in order to avail the method accuracy showed good correlation between the obtained data and the real values. (author) [pt
Kundoor, Vipra; Dalby, Richard N
2010-01-01
To develop a simple and inexpensive method to visualize and quantify droplet deposition patterns. Deposition pattern was determined by uniformly coating the nose model with Sar-Gel (a paste that changes from white to purple on contact with water) and subsequently discharging sprays into the nose model. The color change was captured using a digital camera and analyzed using Adobe Photoshop. Several tests were conducted to validate the method. Deposition patterns of different nasal sprays (Ayr, Afrin, and Zicam) and different nasal drug delivery devices (Afrin nasal spray and PARI Sinustar nasal nebulizer) were compared. We also used the method to evaluate the effect of inhaled flow rate on nasal spray deposition. There was a significant difference in the deposition area for Ayr, Afrin, and Zicam. The deposition areas of Afrin nasal spray and PARI Sinustar nasal nebulizer (2 min and 5 min) were significantly different. Inhaled flow rate did not have a significant effect on the deposition pattern. Lower viscosity formulations (Ayr, Afrin) provided greater coverage than the higher viscosity formulation (Zicam). The nebulizer covered a greater surface area than the spray pump we evaluated. Aerosol deposition in the nose model was not affected by air flow conditions.
Carvalho, Carolina Abreu de; Fonsêca, Poliana Cristina de Almeida; Nobre, Luciana Neri; Priore, Silvia Eloiza; Franceschini, Sylvia do Carmo Castro
2016-01-01
The objective of this study is to provide guidance for identifying dietary patterns using the a posteriori approach, and analyze the methodological aspects of the studies conducted in Brazil that identified the dietary patterns of children. Articles were selected from the Latin American and Caribbean Literature on Health Sciences, Scientific Electronic Library Online and Pubmed databases. The key words were: Dietary pattern; Food pattern; Principal Components Analysis; Factor analysis; Cluster analysis; Reduced rank regression. We included studies that identified dietary patterns of children using the a posteriori approach. Seven studies published between 2007 and 2014 were selected, six of which were cross-sectional and one cohort, Five studies used the food frequency questionnaire for dietary assessment; one used a 24-hour dietary recall and the other a food list. The method of exploratory approach used in most publications was principal components factor analysis, followed by cluster analysis. The sample size of the studies ranged from 232 to 4231, the values of the Kaiser-Meyer-Olkin test from 0.524 to 0.873, and Cronbach's alpha from 0.51 to 0.69. Few Brazilian studies identified dietary patterns of children using the a posteriori approach and principal components factor analysis was the technique most used.
Jordan, John; Wachsmann, Melanie; Hoisington, Susan; Gonzalez, Vanessa; Valle, Rachel; Lambert, Jarod; Aleisa, Majed; Wilcox, Rachael; Benge, Cindy L.; Onwuegbuzie, Anthony J.
2017-01-01
Surprisingly, scant information exists regarding the collaboration patterns of mixed methods researchers. Thus, the purpose of this mixed methods bibliometric study was to examine (a) the distribution of the number of co-authors in articles published in the flagship mixed methods research journal (i.e., "Journal of Mixed Methods…
Sun, Qi; Fu, Shujun
2017-09-20
Fringe orientation is an important feature of fringe patterns and has a wide range of applications such as guiding fringe pattern filtering, phase unwrapping, and abstraction. Estimating fringe orientation is a basic task for subsequent processing of fringe patterns. However, various noise, singular and obscure points, and orientation data degeneration lead to inaccurate calculations of fringe orientation. Thus, to deepen the understanding of orientation estimation and to better guide orientation estimation in fringe pattern processing, some advanced gradient-field-based orientation estimation methods are compared and analyzed. At the same time, following the ideas of smoothing regularization and computing of bigger gradient fields, a regularized singular-value decomposition (RSVD) technique is proposed for fringe orientation estimation. To compare the performance of these gradient-field-based methods, quantitative results and visual effect maps of orientation estimation are given on simulated and real fringe patterns that demonstrate that the RSVD produces the best estimation results at a cost of relatively less time.
NNWSI waste form test method for unsaturated disposal conditions
International Nuclear Information System (INIS)
Bates, J.K.; Gerding, T.J.
1985-03-01
A test method has been developed to measure the release of radionuclides from the waste package under simulated NNWSI repository conditions, and to provide information concerning materials interactions that may occur in the repository. Data are presented from Unsaturated testing of simulated Savannah River Laboratory 165 glass completed through 26 weeks. The relationship between these results and those from parametric and analog testing are described. The data indicate that the waste form test is capable of producing consistent, reproducible results that will be useful in evaluating the role of the waste package in the long-term performance of the repository. 6 refs., 7 figs., 5 tabs
Karasakal, Ayça; Ulu, Sevgi Tatar
2013-08-01
A simple, accurate and highly sensitive spectrofluorimetric method has been developed for determination of nizatidine in pure form and in pharmaceutical dosage forms. The method is based on the reaction between nizatidine and 1-dimethylaminonaphthalene-5-sulphonyl chloride in carbonate buffer, pH 10.5, to yield a highly fluorescent derivative peaking at 513 nm after excitation at 367 nm. Various factors affecting the fluorescence intensity of nizatidin-dansyl derivative were studied and conditions were optimized. The method was validated as per ICH guidelines. The fluorescence concentration plot was rectilinear over the range of 25-300 ng/mL. Limit of detection and limit of quantification were calculated as 11.71 and 35.73 ng/mL, respectively. The proposed method was successfully applied to pharmaceutical preparations.
A Validated RP-HPLC Method for the Determination of Atazanavir in Pharmaceutical Dosage Form
Directory of Open Access Journals (Sweden)
K. Srinivasu
2011-01-01
Full Text Available A validated RP HPLC method for the estimation of atazanavir in capsule dosage form on YMC ODS 150 × 4.6 mm, 5 μ column using mobile phase composition of ammonium dihydrogen phosphate buffer (pH 2.5 with acetonitrile (55:45 v/v. Flow rate was maintained at 1.5 mL/min with 288 nm UV detection. The retention time obtained for atazanavir was at 4.7 min. The detector response was linear in the concentration range of 30 - 600 μg/mL. This method has been validated and shown to be specific, sensitive, precise, linear, accurate, rugged, robust and fast. Hence, this method can be applied for routine quality control of atazanavir in capsule dosage forms as well as in bulk drug.
Directory of Open Access Journals (Sweden)
Yuanshao Sun
2016-12-01
Full Text Available One of the biggest challenges for tissue engineering is to efficiently provide oxygen and nutrients to cells on a three-dimensional (3D engineered scaffold structure. Thus, achieving sufficient vascularization of the structure is a critical problem in tissue engineering. This facilitates the need to develop novel methods to enhance vascularization. Use of patterned hydrogel structures with multiscale channels can be used to achieve the required vascularization. Patterned structures need to be biocompatible and biodegradable. In this study, gelatin was used as the main part of a hydrogel to prepare a biological structure with 3D multiscale channels using bioprinting combined with selection of suitable materials and electrostatic spinning. Human umbilical vein endothelial cells (HUVECs were then used to confirm efficacy of the structure, inferred from cell viability on different engineered construct designs. HUVECs were seeded on the surface of channels and cultured in vitro. HUVECs showed high viability and diffusion within the construct. This method can be used as a practical platform for the fabrication of engineered construct for vascularization.
Automatic pattern identification of rock moisture based on the Staff-RF model
Zheng, Wei; Tao, Kai; Jiang, Wei
2018-04-01
Studies on the moisture and damage state of rocks generally focus on the qualitative description and mechanical information of rocks. This method is not applicable to the real-time safety monitoring of rock mass. In this study, a musical staff computing model is used to quantify the acoustic emission signals of rocks with different moisture patterns. Then, the random forest (RF) method is adopted to form the staff-RF model for the real-time pattern identification of rock moisture. The entire process requires only the computing information of the AE signal and does not require the mechanical conditions of rocks.
Ophthalmic Drug Dosage Forms: Characterisation and Research Methods
Baranowski, Przemysław; Karolewicz, Bożena; Gajda, Maciej; Pluta, Janusz
2014-01-01
This paper describes hitherto developed drug forms for topical ocular administration, that is, eye drops, ointments, in situ gels, inserts, multicompartment drug delivery systems, and ophthalmic drug forms with bioadhesive properties. Heretofore, many studies have demonstrated that new and more complex ophthalmic drug forms exhibit advantage over traditional ones and are able to increase the bioavailability of the active substance by, among others, reducing the susceptibility of drug forms to...
Huitzoctli: A system to design Control Rod Pattern for BWR's using a hybrid method
International Nuclear Information System (INIS)
Castillo, Alejandro; Ortiz-Servin, Juan Jose; Perusquia, Raul; Morales, Luis B.
2011-01-01
Highlights: → The system was developed to design Control Rod Patterns for Boiling Water Reactors. → The critical reactor core and the thermal limits were fulfilled in all tested cases. → The Fuel Loading Pattern remains without changes during the iterative process. → The system uses the heuristics techniques: Scatter Search and Tabu Search. → The effective multiplication factor k eff at the EOC was improved in all tested cases. - Abstract: Huitzoctli system was developed to design Control Rod Patterns for Boiling Water Reactors (BWR). The main idea is to obtain a Control Rod Pattern under the following considerations: (a) the critical reactor core state is satisfied, (b) the axial power distribution must be adjusted to a target axial power distribution proposal, and (c) the maximum Fraction of Critical Power Ratio (MFLCPR), the maximum Fraction of Linear Power Density (FLPD) and the maximum Fraction of Average Planar Power Density (MPGR) must be fulfilled. Those parameters were obtained using the 3D CM-PRESTO code. In order to decrease the problem complexity, Control Cell Core load strategy was implemented; in the same way, intermediate axial positions and core eighth symmetry were took into account. In this work, the cycle length was divided in 12 burnup steps. The Fuel Loading Pattern is an input data and it remains without changes during the iterative process. The Huitzoctli system was developed to use the combinatorial heuristics techniques Scatter Search and Tabu Search. The first one was used as a global search method and the second one as a local search method. The Control Rod Patterns obtained with the Huitzoctli system were compared to other Control Rod Patterns designs obtained with other optimization techniques, under the same operating conditions. The results show a good performance of the system. In all cases the thermal limits were satisfied, and the axial power distribution was adjusted to the target axial power distribution almost
Schröder, Markus; Meyer, Hans-Dieter
2017-08-01
We propose a Monte Carlo method, "Monte Carlo Potfit," for transforming high-dimensional potential energy surfaces evaluated on discrete grid points into a sum-of-products form, more precisely into a Tucker form. To this end we use a variational ansatz in which we replace numerically exact integrals with Monte Carlo integrals. This largely reduces the numerical cost by avoiding the evaluation of the potential on all grid points and allows a treatment of surfaces up to 15-18 degrees of freedom. We furthermore show that the error made with this ansatz can be controlled and vanishes in certain limits. We present calculations on the potential of HFCO to demonstrate the features of the algorithm. To demonstrate the power of the method, we transformed a 15D potential of the protonated water dimer (Zundel cation) in a sum-of-products form and calculated the ground and lowest 26 vibrationally excited states of the Zundel cation with the multi-configuration time-dependent Hartree method.
Method of forming a nanocluster comprising dielectric layer and device comprising such a layer
2009-01-01
A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a
Khan, Sajid; Göbel, Ole; Blank, David H.A.; ten Elshof, Johan E.
2009-01-01
Patterned sol-gel-derived lead zirconate titanate (PZT) thin films with lateral resolutions down to 100 nm on silicon are reported. Both an imprint and a transfer-molding method were employed. The formed patterns after annealing were characterized with scanning electron microscopy, atomic force
International Nuclear Information System (INIS)
Carvalho, N C; Beda, A; Granja-Filho, P; Jandre, F C; Giannella-Neto, A; De Abreu, M G; Spieth, P M
2009-01-01
Respiratory sinus arrhythmia (RSA) is a fluctuation of heart period that occurs during a respiratory cycle. It has been suggested that inspiratory heart period acceleration and expiratory deceleration during spontaneous ventilation (henceforth named positive RSA) improve the efficiency of gas exchange compared to the absence or the inversion of such a pattern (negative RSA). During mechanical ventilation (MV), for which maximizing the efficiency of gas exchange is of critical importance, the pattern of RSA is still the object of debate. In order to gain a better insight into this matter, we compared five different methods of RSA classification using the data of five mechanically ventilated piglets. The comparison was repeated using the data of 15 volunteers undergoing a protocol of paced spontaneous breathing, which is expected to result in a positive RSA pattern. The results showed that the agreement between the employed methods is limited, suggesting that the lack of a consensus about the RSA pattern during MV is, at least in part, of methodological origin. However, independently of the method used, the pattern of RSA within the respiratory cycle was not consistent among the subjects and conditions of MV considered. Also, the outcomes showed that even during paced spontaneous breathing a negative RSA pattern might be present, when a low respiratory frequency is imposed
Energy Technology Data Exchange (ETDEWEB)
Qu, H; Yu, N; Stephans, K; Xia, P [Cleveland Clinic, Cleveland, OH (United States)
2014-06-01
Purpose: To develop a normalization method to remove discrepancy in ventilation function due to different breathing patterns. Methods: Twenty five early stage non-small cell lung cancer patients were included in this study. For each patient, a ten phase 4D-CT and the voluntarily maximum inhale and exhale CTs were acquired clinically and retrospectively used for this study. For each patient, two ventilation maps were calculated from voxel-to-voxel CT density variations from two phases of the quiet breathing and two phases of the extreme breathing. For the quiet breathing, 0% (inhale) and 50% (exhale) phases from 4D-CT were used. An in-house tool was developed to calculate and display the ventilation maps. To enable normalization, the whole lung of each patient was evenly divided into three parts in the longitude direction at a coronal image with a maximum lung cross section. The ratio of cumulated ventilation from the top one-third region to the middle one-third region of the lung was calculated for each breathing pattern. Pearson's correlation coefficient was calculated on the ratios of the two breathing patterns for the group. Results: For each patient, the ventilation map from the quiet breathing was different from that of the extreme breathing. When the cumulative ventilation was normalized to the middle one-third of the lung region for each patient, the normalized ventilation functions from the two breathing patterns were consistent. For this group of patients, the correlation coefficient of the normalized ventilations for the two breathing patterns was 0.76 (p < 0.01), indicating a strong correlation in the ventilation function measured from the two breathing patterns. Conclusion: For each patient, the ventilation map is dependent of the breathing pattern. Using a regional normalization method, the discrepancy in ventilation function induced by the different breathing patterns thus different tidal volumes can be removed.
International Nuclear Information System (INIS)
Qu, H; Yu, N; Stephans, K; Xia, P
2014-01-01
Purpose: To develop a normalization method to remove discrepancy in ventilation function due to different breathing patterns. Methods: Twenty five early stage non-small cell lung cancer patients were included in this study. For each patient, a ten phase 4D-CT and the voluntarily maximum inhale and exhale CTs were acquired clinically and retrospectively used for this study. For each patient, two ventilation maps were calculated from voxel-to-voxel CT density variations from two phases of the quiet breathing and two phases of the extreme breathing. For the quiet breathing, 0% (inhale) and 50% (exhale) phases from 4D-CT were used. An in-house tool was developed to calculate and display the ventilation maps. To enable normalization, the whole lung of each patient was evenly divided into three parts in the longitude direction at a coronal image with a maximum lung cross section. The ratio of cumulated ventilation from the top one-third region to the middle one-third region of the lung was calculated for each breathing pattern. Pearson's correlation coefficient was calculated on the ratios of the two breathing patterns for the group. Results: For each patient, the ventilation map from the quiet breathing was different from that of the extreme breathing. When the cumulative ventilation was normalized to the middle one-third of the lung region for each patient, the normalized ventilation functions from the two breathing patterns were consistent. For this group of patients, the correlation coefficient of the normalized ventilations for the two breathing patterns was 0.76 (p < 0.01), indicating a strong correlation in the ventilation function measured from the two breathing patterns. Conclusion: For each patient, the ventilation map is dependent of the breathing pattern. Using a regional normalization method, the discrepancy in ventilation function induced by the different breathing patterns thus different tidal volumes can be removed
Decoupled Simulation Method For Incremental Sheet Metal Forming
International Nuclear Information System (INIS)
Sebastiani, G.; Brosius, A.; Tekkaya, A. E.; Homberg, W.; Kleiner, M.
2007-01-01
Within the scope of this article a decoupling algorithm to reduce computing time in Finite Element Analyses of incremental forming processes will be investigated. Based on the given position of the small forming zone, the presented algorithm aims at separating a Finite Element Model in an elastic and an elasto-plastic deformation zone. Including the elastic response of the structure by means of model simplifications, the costly iteration in the elasto-plastic zone can be restricted to the small forming zone and to few supporting elements in order to reduce computation time. Since the forming zone moves along the specimen, an update of both, forming zone with elastic boundary and supporting structure, is needed after several increments.The presented paper discusses the algorithmic implementation of the approach and introduces several strategies to implement the denoted elastic boundary condition at the boundary of the plastic forming zone
Flexible barrier film, method of forming same, and organic electronic device including same
Blizzard, John; Tonge, James Steven; Weidner, William Kenneth
2013-03-26
A flexible barrier film has a thickness of from greater than zero to less than 5,000 nanometers and a water vapor transmission rate of no more than 1.times.10.sup.-2 g/m.sup.2/day at 22.degree. C. and 47% relative humidity. The flexible barrier film is formed from a composition, which comprises a multi-functional acrylate. The composition further comprises the reaction product of an alkoxy-functional organometallic compound and an alkoxy-functional organosilicon compound. A method of forming the flexible barrier film includes the steps of disposing the composition on a substrate and curing the composition to form the flexible barrier film. The flexible barrier film may be utilized in organic electronic devices.
Method of forming buried oxide layers in silicon
Sadana, Devendra Kumar; Holland, Orin Wayne
2000-01-01
A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.
Park, Sohyun; Hepcan, Çiğdem C; Hepcan, Şerif; Cook, Edward A
2014-10-01
Although ecological connectivity conservation in urban areas has recently been recognized as an important issue, less is known about its relationship to urban form and landscape pattern. This study investigates how urban morphology influences regional ecosystem pattern and landscape connectivity. Two metropolitan landscapes, Phoenix, AZ, USA, and Izmir, Turkey, were compared, both of which are fast-growing regions in their national context. A wide range of variables were considered for identifying natural and urban properties. The natural characteristics include typology of urban ecosystems, urban to natural cover ratio, dominant habitat type, urban biodiversity, landscape context, and connectivity conservation efforts. Urban parameters examine urban form, urban extent, urban cover proportion, growth rate, populations, urban gradient, major drivers of urbanization, urban density, and mode/approach of urban development. Twelve landscape metrics were measured and compared across the natural patches. Results show that there is little difference in landscape connectivity in the rural zones of Phoenix and Izmir, although Phoenix has slightly higher connectivity values. The connectivity variance in urbanized areas, however, is significantly dependent on the region. For example, Phoenix urban zones have substantially lower connectivity than either urban or suburban zones in Izmir. Findings demonstrate that small and compact urban settlements with more dense populations are more likely to conserve landscape connectivity compared to multiple-concentric but amalgamated urban form spreading all over the landscape (aka urban sprawl).
Ophthalmic Drug Dosage Forms: Characterisation and Research Methods
Directory of Open Access Journals (Sweden)
Przemysław Baranowski
2014-01-01
Full Text Available This paper describes hitherto developed drug forms for topical ocular administration, that is, eye drops, ointments, in situ gels, inserts, multicompartment drug delivery systems, and ophthalmic drug forms with bioadhesive properties. Heretofore, many studies have demonstrated that new and more complex ophthalmic drug forms exhibit advantage over traditional ones and are able to increase the bioavailability of the active substance by, among others, reducing the susceptibility of drug forms to defense mechanisms of the human eye, extending contact time of drug with the cornea, increasing the penetration through the complex anatomical structure of the eye, and providing controlled release of drugs into the eye tissues, which allows reducing the drug application frequency. The rest of the paper describes recommended in vitro and in vivo studies to be performed for various ophthalmic drugs forms in order to assess whether the form is acceptable from the perspective of desired properties and patient’s compliance.
Zhang, Yongyong; Gao, Yang; Yu, Qiang
2017-09-01
Agricultural nitrogen loss becomes an increasingly important source of water quality deterioration and eutrophication, even threatens water safety for humanity. Nitrogen dynamic mechanism is still too complicated to be well captured at watershed scale due to its multiple existence forms and instability, disturbance of agricultural management practices. Stereoscopic agriculture is a novel agricultural planting pattern to efficiently use local natural resources (e.g., water, land, sunshine, heat and fertilizer). It is widely promoted as a high yield system and can obtain considerable economic benefits, particularly in China. However, its environmental quality implication is not clear. In our study, Qianyanzhou station is famous for its stereoscopic agriculture pattern of Southern China, and an experimental watershed was selected as our study area. Regional characteristics of runoff and nitrogen losses were simulated by an integrated water system model (HEQM) with multi-objective calibration, and multiple agriculture practices were assessed to find the effective approach for the reduction of diffuse nitrogen losses. Results showed that daily variations of runoff and nitrogen forms were well reproduced throughout watershed, i.e., satisfactory performances for ammonium and nitrate nitrogen (NH4-N and NO3-N) loads, good performances for runoff and organic nitrogen (ON) load, and very good performance for total nitrogen (TN) load. The average loss coefficient was 62.74 kg/ha for NH4-N, 0.98 kg/ha for NO3-N, 0.0004 kg/ha for ON and 63.80 kg/ha for TN. The dominating form of nitrogen losses was NH4-N due to the applied fertilizers, and the most dramatic zones aggregated in the middle and downstream regions covered by paddy and orange orchard. In order to control diffuse nitrogen losses, the most effective practices for Qianyanzhou stereoscopic agriculture pattern were to reduce farmland planting scale in the valley by afforestation, particularly for orchard in the
Microneedle fabrication using the plane pattern to cross-section transfer method
Khumpuang, Sommawan; Horade, Mitsuhiro; Fujioka, Kazuya; Sugiyama, Susumu
2006-04-01
In this paper, microneedle fabrication using the PCT (plane pattern to cross-section transfer) method is summarized. Three types of microneedle array have been developed: the single-tip, quadruplet, and hollow microneedle arrays. A brief introduction to the fabrication process using PCT and detailed design concepts for optimizing the fabrication steps for shape improvement of the three types of microneedle are provided. The microneedle structures have controllable angled sidewalls, exhibiting an extraordinarily geometrical level of accuracy compared to what is achieved using other existing fabrication methods based on deep x-ray lithography by synchrotron radiation. Furthermore, the improvements reported in this work as compared to the results from the existing methods are: sharper tips for the single-tip microneedles, strength improvement for the quadruplet microneedles, and cost reduction for the hollow microneedles. Each type of microneedle was designed to serve a different biomedical need.
Application of normal form methods to the analysis of resonances in particle accelerators
International Nuclear Information System (INIS)
Davies, W.G.
1992-01-01
The transformation to normal form in a Lie-algebraic framework provides a very powerful method for identifying and analysing non-linear behaviour and resonances in particle accelerators. The basic ideas are presented and illustrated. (author). 4 refs
Rare Earth Oxide Fluoride Nanoparticles And Hydrothermal Method For Forming Nanoparticles
Fulton, John L.; Hoffmann, Markus M.
2003-12-23
A hydrothermal method for forming nanoparticles of a rare earth element, oxygen and fluorine has been discovered. Nanoparticles comprising a rare earth element, oxygen and fluorine are also described. These nanoparticles can exhibit excellent refractory properties as well as remarkable stability in hydrothermal conditions. The nanoparticles can exhibit excellent properties for numerous applications including fiber reinforcement of ceramic composites, catalyst supports, and corrosion resistant coatings for high-temperature aqueous solutions.
Complexity, rhizome and magma, three key elements in pattern building in environmental research
International Nuclear Information System (INIS)
Noguera de Echeverri, Ana Patricia
2002-01-01
The following reading synthesizes the rur-urban-agrary environmental research pattern that appear from the research Caldas Agrary Environmental Profile (IDEA, National University, Manizales - Colciencias, 1998 - 2000). This pattern is constructed from three ideas of the contemporary philosophy: complexity, rhizome and magma that comes from another disciplines: the mathematics, botanic, and geology. The genetics-historical method that follows this article, starts with a critical analysis to the relation forms between society and nature that belongs to the modernity, to do then, a presentation of the influence of the ecology in the construction of new relations between society and nature, culture and nature, and the influence of the theory of systems in a systemic view of society, culture, and nature. Finish with a presentation of the pattern ecosystem-culture made for Augusto Angel Maya and the critical-development that becomes form this pattern, that we had named rur-urban-agrary rhizoma. For example we show how this research pattern let us to amplify the methodology of river basins that we use inside the Agrary Environmental Profile
Copper circuit patterning on polymer using selective surface modification and electroless plating
Energy Technology Data Exchange (ETDEWEB)
Park, Sang Jin [Department of Materials Science and Engineering, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Ko, Tae-Jun [Institute for Multidisciplinary Convergence of Materials, Korea Institute of Science and Technology, Seoul 130-650 (Korea, Republic of); Department of Materials Science and Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Yoon, Juil [Department of Mechanical Systems Engineering, Hansung University, Seoul 136-792 (Korea, Republic of); Moon, Myoung-Woon [Institute for Multidisciplinary Convergence of Materials, Korea Institute of Science and Technology, Seoul 130-650 (Korea, Republic of); Oh, Kyu Hwan [Department of Materials Science and Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Han, Jun Hyun, E-mail: jhhan@cnu.ac.kr [Department of Materials Science and Engineering, Chungnam National University, Daejeon 305-764 (Korea, Republic of)
2017-02-28
Highlights: • A new simple two step method for the pattering of Cu circuits on PET substrate was proposed. • The simple patterning of the high adhesive Cu circuits was achieved by plasma treatment using a patterned mask coated with a catalyst material. • The high adhesive strength of Cu circuits was due to the nanostructure formed by oxygen plasma treatment. - Abstract: We have examined a potential new and simple method for patterning a copper circuit on PET substrate by copper electroless plating, without the pretreatment steps (i.e., sensitization and activation) for electroless plating as well as the etching processes of conventional circuit patterning. A patterned mask coated with a catalyst material, Ag, for the reduction of Cu ions, is placed on a PET substrate. Subsequent oxygen plasma treatment of the PET substrate covered with the mask promotes the selective generation of anisotropic pillar- or hair-like nanostructures coated with co-deposited nanoparticles of the catalyst material on PET. After oxygen plasma treatment, a Cu circuit is well formed just by dipping the plasma-treated PET into a Cu electroless plating solution. By increasing the oxygen gas pressure in the chamber, the height of the nanostructures increases and the Ag catalyst particles are coated on not only the top but also the side surfaces of the nanostructures. Strong mechanical interlocking between the Cu circuit and PET substrate is produced by the large surface area of the nanostructures, and enhances peel strength. Results indicate this new simple two step (plasma surface modification and pretreatment-free electroless plating) method can be used to produce a flexible Cu circuit with good adhesion.
Dynamic analysis of suspension cable based on vector form intrinsic finite element method
Qin, Jian; Qiao, Liang; Wan, Jiancheng; Jiang, Ming; Xia, Yongjun
2017-10-01
A vector finite element method is presented for the dynamic analysis of cable structures based on the vector form intrinsic finite element (VFIFE) and mechanical properties of suspension cable. Firstly, the suspension cable is discretized into different elements by space points, the mass and external forces of suspension cable are transformed into space points. The structural form of cable is described by the space points at different time. The equations of motion for the space points are established according to the Newton’s second law. Then, the element internal forces between the space points are derived from the flexible truss structure. Finally, the motion equations of space points are solved by the central difference method with reasonable time integration step. The tangential tension of the bearing rope in a test ropeway with the moving concentrated loads is calculated and compared with the experimental data. The results show that the tangential tension of suspension cable with moving loads is consistent with the experimental data. This method has high calculated precision and meets the requirements of engineering application.
Plasma spraying method for forming diamond and diamond-like coatings
Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene
1997-01-01
A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.
Sources and methods to reconstruct past masting patterns in European oak species.
Szabó, Péter
2012-01-01
The irregular occurrence of good seed years in forest trees is known in many parts of the world. Mast year frequency in the past few decades can be examined through field observational studies; however, masting patterns in the more distant past are equally important in gaining a better understanding of long-term forest ecology. Past masting patterns can be studied through the examination of historical written sources. These pose considerable challenges, because data in them were usually not recorded with the aim of providing information about masting. Several studies examined masting in the deeper past, however, authors hardly ever considered the methodological implications of using and combining various source types. This paper provides a critical overview of the types of archival written that are available for the reconstruction of past masting patterns for European oak species and proposes a method to unify and evaluate different types of data. Available sources cover approximately eight centuries and can be put into two basic categories: direct observations on the amount of acorns and references to sums of money received in exchange for access to acorns. Because archival sources are highly different in origin and quality, the optimal solution for creating databases for past masting data is a three-point scale: zero mast, moderate mast, good mast. When larger amounts of data are available in a unified three-point-scale database, they can be used to test hypotheses about past masting frequencies, the driving forces of masting or regional masting patterns.
Control of charged droplets using electrohydrodynamic repulsion for circular droplet patterning
International Nuclear Information System (INIS)
Kim, Bumjoo; Sung, Jungwoo; Lim, Geunbae; Nam, Hyoryung; Kim, Sung Jae; Joo, Sang W
2011-01-01
We report a novel method to form a circular pattern of monodisperse microdroplets using an electrohydrodynamic repulsion (EDR) mechanism. EDR is a phenomenon of electrostatical bounced microdroplets from an accumulated droplet on a bottom substrate. In addition to a regular EDR system, by placing a ring electrode between the capillary and ground substrate, two separate regions were created. A parameter study of two regions was carried out for droplet formation and falling velocity to control the radius of the generated droplets and the circular patterns independently. Based on energy conservation theory, our experimental results showed that the free-falling region exerted crucial influences on the sizes of the circular patterns
International Nuclear Information System (INIS)
Mastiholimath, V.S.; Gupte, P.P.; Mannur, V.S.
2012-01-01
A simple and reliable UV spectrophotometric and high-performance liquid chromatography (HPLC) methods were developed and validated for Moexipril hydrochloride in pure form and pharmaceutical dosage form. The RP-HPLC method was developed on agilant eclipse C 18 , (150 mm x 4.6 mm, 5 μm) with a mobile phase gradient system of 60 % (methanol:acetonitrile (70:30 % v/v)) : 40 % 20 mM ammonium acetate buffer pH 4.5 (v/v) and UV spectrophotometric method was developed in phosphate buffer pH 6.8. The effluent was monitored by SPD-M20A, prominence PDA detector at 210 nm. Calibration curve was linear over the concentration range of 10-35 μg/ml and 1-9 μg/ml for RP-HPLC and UV with a regression coefficient of 0.999. For RP-HPLC method Inter-day and intra-day precision % RSD values were found to be 1.00078 % and 1.49408 % respectively. For UV method 0.73386 % to 1.44111 % for inter day 0.453864 to 1.15542 intra-day precision. Recovery of Moexipril hydrochloride was found to be in the range of 99.8538 % to 101.5614 % and 100.5297586 % to 100.6431587 % for UV and RP-HPLC respectively. The limits of detection (LOD) and quantification (LOQ) for HPLC were 0.98969 and 2.99907 μg/ml, respectively. The developed RP-HPLC and UV spectrophotometric method was successfully applied for the quantitative determination of Moexipril hydrochloride in pharmaceutical dosage. (author)
Pattern recognition methods for acoustic emission analysis
International Nuclear Information System (INIS)
Doctor, P.G.; Harrington, T.P.; Hutton, P.H.
1979-07-01
Models have been developed that relate the rate of acoustic emissions to structural integrity. The implementation of these techniques in the field has been hindered by the noisy environment in which the data must be taken. Acoustic emissions from noncritical sources are recorded in addition to those produced by critical sources, such as flaws. A technique is discussed for prescreening acoustic events and filtering out those that are produced by noncritical sources. The methodology that was investigated is pattern recognition. Three different pattern recognition techniques were applied to a data set that consisted of acoustic emissions caused by crack growth and acoustic signals caused by extraneous noise sources. Examination of the acoustic emission data presented has uncovered several features of the data that can provide a reasonable filter. Two of the most valuable features are the frequency of maximum response and the autocorrelation coefficient at Lag 13. When these two features and several others were combined with a least squares decision algorithm, 90% of the acoustic emissions in the data set were correctly classified. It appears possible to design filters that eliminate extraneous noise sources from flaw-growth acoustic emissions using pattern recognition techniques
Improving the forming capability of laser dynamic forming by using rubber as a forming medium
Shen, Zongbao; Liu, Huixia; Wang, Xiao; Wang, Cuntang
2016-04-01
Laser dynamic forming (LDF) is a novel high velocity forming technique, which employs laser-generated shock wave to load the sample. The forming velocity induced by the high energy laser pulse may exceed the critical forming velocity, resulting in the occurrence of premature fracture. To avoid the above premature fracture, rubber is introduced in LDF as a forming medium to prolong the loading duration in this paper. Laser induced shock wave energy is transferred to the sample in different forming stages, so the forming velocity can be kept below the critical forming velocity when the initial laser energy is high for fracture. Bulge forming experiments with and without rubber were performed to study the effect of rubber on loading duration. The experimental results show that, the shock wave energy attenuates during the propagation through the rubber layer, the rubber can avoid the premature fracture. So the plastic deformation can continue, the forming capability of LDF is improved. Due to the severe plastic deformation under rubber compression, adiabatic shear bands (ASB) occur in LDF with rubber. The material softening in ASB leads to the irregular fracture, which is different from the premature fracture pattern (regular fracture) in LDF without rubber. To better understand this deformation behavior, Johnson-Cook model is used to simulate the dynamic response and the evolution of ASB of copper sample. The simulation results also indicate the rubber can prolong the loading duration.
Separation of magnetic from non-magnetic information in the Bitter pattern method
International Nuclear Information System (INIS)
Szmaja, Witold
2001-01-01
The paper deals with the problem of separating magnetic and non-magnetic contributions to the image contrast in the Bitter pattern method. With the help of the digital image difference procedure, it is demonstrated for the first time for the Bitter method that the separation is easy to achieve for relatively soft magnetic specimens, when an external field can be applied to simply produce the non-magnetic reference image of the specimen area under study. It is also shown that obtaining satisfactory results is principally impossible when removing the colloid from the specimen surface is used for the purpose of recording the non-magnetic image
Nonlinear waves and pattern dynamics
Pelinovsky, Efim; Mutabazi, Innocent
2018-01-01
This book addresses the fascinating phenomena associated with nonlinear waves and spatio-temporal patterns. These appear almost everywhere in nature from sand bed forms to brain patterns, and yet their understanding still presents fundamental scientific challenges. The reader will learn here, in particular, about the current state-of-the art and new results in: Nonlinear water waves: resonance, solitons, focusing, Bose-Einstein condensation, as well as and their relevance for the sea environment (sea-wind interaction, sand bed forms, fiber clustering) Pattern formation in non-equilibrium media: soap films, chimera patterns in oscillating media, viscoelastic Couette-Taylor flow, flow in the wake behind a heated cylinder, other pattern formation. The editors and authors dedicate this book to the memory of Alexander Ezersky, Professor of Fluid Mechanics at the University of Caen Normandie (France) from September 2007 to July 2016. Before 2007, he had served as a Senior Scientist at the Institute of Applied Physi...
Directory of Open Access Journals (Sweden)
A. R. Rote
2010-01-01
Full Text Available Three new simple, economic spectrophotometric methods were developed and validated for the estimation of nabumetone in bulk and tablet dosage form. First method includes determination of nabumetone at absorption maxima 330 nm, second method applied was area under curve for analysis of nabumetone in the wavelength range of 326-334 nm and third method was First order derivative spectra with scaling factor 4. Beer law obeyed in the concentration range of 10-30 μg/mL for all three methods. The correlation coefficients were found to be 0.9997, 0.9998 and 0.9998 by absorption maxima, area under curve and first order derivative spectra. Results of analysis were validated statistically and by performing recovery studies. The mean percent recoveries were found satisfactory for all three methods. The developed methods were also compared statistically using one way ANOVA. The proposed methods have been successfully applied for the estimation of nabumetone in bulk and pharmaceutical tablet dosage form.
Ruy, Hosihn; Young, Wendy B; Kwak, Hoil
2002-01-01
The purpose of this study is to outline a method to identify the characteristics of socioeconomic variables in determining the differences in health insurance coverage and health services utilization patterns for different ethnic groups, using the behavioural model of health service utilization. A sample drawn from Asian American adult respondents to the 1992, 1993, and 1994 National Health Interview Surveys (NHIS) in the USA formed the data set. The results showed Asian Americans as not being homogeneous. There were distinctly different demographic and socioeconomic characteristics between six Asian American ethnic groups that affect health insurance coverage and health service utilization. The study method is useful for constructing health policy and services to address the general public need without adversely affecting smaller minority groups. Secondary analysis of well-constructed national data sets such as the specific Asian ethnic groups in NHIS, offers a rich method for predicting the differential impact of specific health policies on various ethnic groups.
Reliability Estimation of the Pultrusion Process Using the First-Order Reliability Method (FORM)
DEFF Research Database (Denmark)
Baran, Ismet; Tutum, Cem Celal; Hattel, Jesper Henri
2013-01-01
In the present study the reliability estimation of the pultrusion process of a flat plate is analyzed by using the first order reliability method (FORM). The implementation of the numerical process model is validated by comparing the deterministic temperature and cure degree profiles...... with corresponding analyses in the literature. The centerline degree of cure at the exit (CDOCE) being less than a critical value and the maximum composite temperature (Tmax) during the process being greater than a critical temperature are selected as the limit state functions (LSFs) for the FORM. The cumulative...
Pattern formation, logistics, and maximum path probability
Kirkaldy, J. S.
1985-05-01
The concept of pattern formation, which to current researchers is a synonym for self-organization, carries the connotation of deductive logic together with the process of spontaneous inference. Defining a pattern as an equivalence relation on a set of thermodynamic objects, we establish that a large class of irreversible pattern-forming systems, evolving along idealized quasisteady paths, approaches the stable steady state as a mapping upon the formal deductive imperatives of a propositional function calculus. In the preamble the classical reversible thermodynamics of composite systems is analyzed as an externally manipulated system of space partitioning and classification based on ideal enclosures and diaphragms. The diaphragms have discrete classification capabilities which are designated in relation to conserved quantities by descriptors such as impervious, diathermal, and adiabatic. Differentiability in the continuum thermodynamic calculus is invoked as equivalent to analyticity and consistency in the underlying class or sentential calculus. The seat of inference, however, rests with the thermodynamicist. In the transition to an irreversible pattern-forming system the defined nature of the composite reservoirs remains, but a given diaphragm is replaced by a pattern-forming system which by its nature is a spontaneously evolving volume partitioner and classifier of invariants. The seat of volition or inference for the classification system is thus transferred from the experimenter or theoretician to the diaphragm, and with it the full deductive facility. The equivalence relations or partitions associated with the emerging patterns may thus be associated with theorems of the natural pattern-forming calculus. The entropy function, together with its derivatives, is the vehicle which relates the logistics of reservoirs and diaphragms to the analog logistics of the continuum. Maximum path probability or second-order differentiability of the entropy in isolation are
A study of flow patterns for staggered cylinders at low Reynolds number by spectral element method
Energy Technology Data Exchange (ETDEWEB)
Hsu, Li-Chieh; Chen, Chien-Lin; Ye, Jian-Zhi [National Yunlin University of Science and Technology, Taiwan (China)
2017-06-15
This study investigates the pattern of flow past two staggered array cylinders using the spectral element method by varying the distance between the cylinders and the angle of incidence (α) at low Reynolds numbers (Re = 100-800). Six flow patterns are identified as Shear layer reattachment (SLR), Induced separation (IS), Vortex impingement (VI), Synchronized vortex shedding (SVS), Vortex pairing and enveloping (VPE), and Vortex pairing splitting and enveloping (VPSE). These flow patterns can be transformed from one to another by changing the distance between the cylinders, the angle of incidence, or Re. SLR, IS and VI flow patterns appear in regimes with small angles of incidence (i.e., α ≤ 30° ) and hold only a single von Karman vortex shedding in a wake with one shedding frequency. SVS, VPE and VPSE flow patterns appear in regimes with large angles of incidence (i.e., 30° ≤ α ≤ 50° ) and present two synchronized von Karman vortices. Quantitative analyses and physical interpretation are also conducted to determine the generation mechanisms of the said flow patterns.
Femtosecond laser-induced herringbone patterns
Garcell, Erik M.; Lam, Billy; Guo, Chunlei
2018-06-01
Femtosecond laser-induced herringbone patterns are formed on copper (Cu). These novel periodic structures are created following s-polarized, large incident angle, femtosecond laser pulses. Forming as slanted and axially symmetric laser-induced periodic surface structures along the side walls of ablated channels, the result is a series of v-shaped structures that resemble a herringbone pattern. Fluence mapping, incident angle studies, as well as polarization studies have been conducted and provide a clear understanding of this new structure.
Li, Jie; Li, Rui; You, Leiming; Xu, Anlong; Fu, Yonggui; Huang, Shengfeng
2015-01-01
Switching between different alternative polyadenylation (APA) sites plays an important role in the fine tuning of gene expression. New technologies for the execution of 3’-end enriched RNA-seq allow genome-wide detection of the genes that exhibit significant APA site switching between different samples. Here, we show that the independence test gives better results than the linear trend test in detecting APA site-switching events. Further examination suggests that the discrepancy between these two statistical methods arises from complex APA site-switching events that cannot be represented by a simple change of average 3’-UTR length. In theory, the linear trend test is only effective in detecting these simple changes. We classify the switching events into four switching patterns: two simple patterns (3’-UTR shortening and lengthening) and two complex patterns. By comparing the results of the two statistical methods, we show that complex patterns account for 1/4 of all observed switching events that happen between normal and cancerous human breast cell lines. Because simple and complex switching patterns may convey different biological meanings, they merit separate study. We therefore propose to combine both the independence test and the linear trend test in practice. First, the independence test should be used to detect APA site switching; second, the linear trend test should be invoked to identify simple switching events; and third, those complex switching events that pass independence testing but fail linear trend testing can be identified. PMID:25875641
Barazandeh Tehrani, Maliheh; Namadchian, Melika; Fadaye Vatan, Sedigheh; Souri, Effat
2013-04-10
A derivative spectrophotometric method was proposed for the simultaneous determination of clindamycin and tretinoin in pharmaceutical dosage forms. The measurement was achieved using the first and second derivative signals of clindamycin at (1D) 251 nm and (2D) 239 nm and tretinoin at (1D) 364 nm and (2D) 387 nm.The proposed method showed excellent linearity at both first and second derivative order in the range of 60-1200 and 1.25-25 μg/ml for clindamycin phosphate and tretinoin respectively. The within-day and between-day precision and accuracy was in acceptable range (CVpharmaceutical dosage form.
Influences of rolling method on deformation force in cold roll-beating forming process
Su, Yongxiang; Cui, Fengkui; Liang, Xiaoming; Li, Yan
2018-03-01
In process, the research object, the gear rack was selected to study the influence law of rolling method on the deformation force. By the mean of the cold roll forming finite element simulation, the variation regularity of radial and tangential deformation was analysed under different rolling methods. The variation of deformation force of the complete forming racks and the single roll during the steady state under different rolling modes was analyzed. The results show: when upbeating and down beating, radial single point average force is similar, the tangential single point average force gap is bigger, the gap of tangential single point average force is relatively large. Add itionally, the tangential force at the time of direct beating is large, and the dire ction is opposite with down beating. With directly beating, deformation force loading fast and uninstall slow. Correspondingly, with down beating, deformat ion force loading slow and uninstall fast.
Analysis of the diffraction pattern obtained by the Laue method
International Nuclear Information System (INIS)
Riquet, J. par; Bonnet, R.
1978-01-01
A computation method is presented which allows a rapid indexing of any unknown spot pattern obtained by back-reflection or transmission Laue methods. The Cartesian coordinates of n spots are measured in an orthonormal frame referred to the photographic film. Two spots 1 and 2 separated by a wide angular distance αsup(m) are carefully chosen. Their indices are assumed to be less than 5. The set (E) of all the pairs of planes (h 1 k 1 l 1 ) and (h 2 k 2 l 2 ) making an angle α close to αsup(m) is then computed. Since the pair of reflecting planes related to spots 1 and 2 belongs to (E), each computed pair of planes is tried, in order to determine the orientation of the crystal and to check whether the coordinates of the (n-2) other spots can be matched to dense planes of indices less than 8. If the uncertainty of the measurements is high or if n is too low, this method gives the possible orientations for the crystal. Plane indices less than 8 have been identified in cubic, tetragonal and orthorhombic crystals. (Auth.)
Directory of Open Access Journals (Sweden)
Szanduła Jacek
2014-06-01
Full Text Available The paper develops the concept of harnessing data classification methods to recognize patterns in stock prices. The author defines a formation as a pattern vector describing the financial instrument. Elements of such a vector can be related to the stock price as well as sales volume and other characteristics of the financial instrument. The study uses data concerning selected companies listed on the stock exchange in New York. It takes into account a number of variables that describe the behavior of prices and volume, both in the short and long term. Partitioning around medoids method has been used for data classification (for pattern recognition. An evaluation of the possibility of using certain formations for practical purposes has also been presented.
Method to mosaic gratings that relies on analysis of far-field intensity patterns in two wavelengths
Hu, Yao; Zeng, Lijiang; Li, Lifeng
2007-01-01
We propose an experimental method to coherently mosaic two planar diffraction gratings. The method uses a Twyman-Green interferometer to guarantee the planar parallelism of the two sub-aperture gratings, and obtains the in-plane rotational error and the two translational errors from analysis of the far-field diffraction intensity patterns in two alignment wavelengths. We adjust the relative attitude and position of the two sub-aperture gratings to produce Airy disk diffraction patterns in both wavelengths. In our experiment, the repeatability of in-plane rotation adjustment was 2.35 μrad and that of longitudinal adjustment was 0.11 μm. The accuracy of lateral adjustment was about 2.9% of the grating period.
Method of forming capsules containing a precise amount of material
Grossman, M.W.; George, W.A.; Maya, J.
1986-06-24
A method of forming a sealed capsule containing a submilligram quantity of mercury or the like, the capsule being constructed from a hollow glass tube, by placing a globule or droplet of the mercury in the tube. The tube is then evacuated and sealed and is subsequently heated so as to vaporize the mercury and fill the tube therewith. The tube is then separated into separate sealed capsules by heating spaced locations along the tube with a coiled heating wire means to cause collapse spaced locations there along and thus enable separation of the tube into said capsules. 7 figs.
2014-01-01
Background Motif mining has always been a hot research topic in bioinformatics. Most of current research on biological networks focuses on exact motif mining. However, due to the inevitable experimental error and noisy data, biological network data represented as the probability model could better reflect the authenticity and biological significance, therefore, it is more biological meaningful to discover probability motif in uncertain biological networks. One of the key steps in probability motif mining is frequent pattern discovery which is usually based on the possible world model having a relatively high computational complexity. Methods In this paper, we present a novel method for detecting frequent probability patterns based on circuit simulation in the uncertain biological networks. First, the partition based efficient search is applied to the non-tree like subgraph mining where the probability of occurrence in random networks is small. Then, an algorithm of probability isomorphic based on circuit simulation is proposed. The probability isomorphic combines the analysis of circuit topology structure with related physical properties of voltage in order to evaluate the probability isomorphism between probability subgraphs. The circuit simulation based probability isomorphic can avoid using traditional possible world model. Finally, based on the algorithm of probability subgraph isomorphism, two-step hierarchical clustering method is used to cluster subgraphs, and discover frequent probability patterns from the clusters. Results The experiment results on data sets of the Protein-Protein Interaction (PPI) networks and the transcriptional regulatory networks of E. coli and S. cerevisiae show that the proposed method can efficiently discover the frequent probability subgraphs. The discovered subgraphs in our study contain all probability motifs reported in the experiments published in other related papers. Conclusions The algorithm of probability graph isomorphism
Investigating flow patterns in a channel with complex obstacles using the lattice Boltzmann method
Energy Technology Data Exchange (ETDEWEB)
Yojina, Jiraporn; Ngamsaad, Waipot; Nuttavut, Narin; Triampo, Darapond; Lenbury, Yongwimon; Sriyab, Somchai; Triampo, Wannapong [Faculty of Science, Mahidol University, Bangkok (Thailand); Kanthang, Paisan [Rajamangala University of Technology, Bangkok (Thailand)
2010-10-15
In this work, mesoscopic modeling via a computational lattice Boltzmann method (LBM) is used to investigate the flow pattern phenomena and the physical properties of the flow field around one and two square obstacles inside a two-dimensional channel with a fixed blockage ratio,{beta} =14 , centered inside a 2D channel, for a range of Reynolds numbers (Re) from 1 to 300. The simulation results show that flow patterns can initially exhibit laminar flow at low Re and then make a transition to periodic, unsteady, and, finally, turbulent flow as the Re get higher. Streamlines and velocity profiles and a vortex shedding pattern are observed. The Strouhal numbers are calculated to characterize the shedding frequency and flow dynamics. The effect of the layouts or configurations of the obstacles are also investigated, and the possible connection between the mixing process and the appropriate design of a chemical mixing system is discussed
Directory of Open Access Journals (Sweden)
P.L. Israelevich
Full Text Available In this study we test a stream function method suggested by Israelevich and Ershkovich for instantaneous reconstruction of global, high-latitude ionospheric convection patterns from a limited set of experimental observations, namely, from the electric field or ion drift velocity vector measurements taken along two polar satellite orbits only. These two satellite passes subdivide the polar cap into several adjacent areas. Measured electric fields or ion drifts can be considered as boundary conditions (together with the zero electric potential condition at the low-latitude boundary for those areas, and the entire ionospheric convection pattern can be reconstructed as a solution of the boundary value problem for the stream function without any preliminary information on ionospheric conductivities. In order to validate the stream function method, we utilized the IZMIRAN electrodynamic model (IZMEM recently calibrated by the DMSP ionospheric electrostatic potential observations. For the sake of simplicity, we took the modeled electric fields along the noon-midnight and dawn-dusk meridians as the boundary conditions. Then, the solution(s of the boundary value problem (i.e., a reconstructed potential distribution over the entire polar region is compared with the original IZMEM/DMSP electric potential distribution(s, as well as with the various cross cuts of the polar cap. It is found that reconstructed convection patterns are in good agreement with the original modelled patterns in both the northern and southern polar caps. The analysis is carried out for the winter and summer conditions, as well as for a number of configurations of the interplanetary magnetic field.
Key words: Ionosphere (electric fields and currents; plasma convection; modelling and forecasting
Cellular-automata-based learning network for pattern recognition
Tzionas, Panagiotis G.; Tsalides, Phillippos G.; Thanailakis, Adonios
1991-11-01
Most classification techniques either adopt an approach based directly on the statistical characteristics of the pattern classes involved, or they transform the patterns in a feature space and try to separate the point clusters in this space. An alternative approach based on memory networks has been presented, its novelty being that it can be implemented in parallel and it utilizes direct features of the patterns rather than statistical characteristics. This study presents a new approach for pattern classification using pseudo 2-D binary cellular automata (CA). This approach resembles the memory network classifier in the sense that it is based on an adaptive knowledge based formed during a training phase, and also in the fact that both methods utilize pattern features that are directly available. The main advantage of this approach is that the sensitivity of the pattern classifier can be controlled. The proposed pattern classifier has been designed using 1.5 micrometers design rules for an N-well CMOS process. Layout has been achieved using SOLO 1400. Binary pseudo 2-D hybrid additive CA (HACA) is described in the second section of this paper. The third section describes the operation of the pattern classifier and the fourth section presents some possible applications. The VLSI implementation of the pattern classifier is presented in the fifth section and, finally, the sixth section draws conclusions from the results obtained.
Magalhães, Marcos Jorge; Martins, Leonardo Franco; Senra, Renato Lima; Santos, Thaís Ferreira Dos; Okano, Denise Silva; Pereira, Paulo Roberto Gomes; Faria-Campos, Alessandra; Campos, Sérgio Vale Aguiar; Guimarães, José Domingos; Baracat-Pereira, Maria Cristina
2016-08-01
The Binder of SPerm 1 (BSP1) protein is involved in the fertilization and semen cryopreservation processes and is described to be both beneficial and detrimental to sperm. Previously, the relationship of BSP1 with freezability events has not been completely understood. The objective of this work was to determine the differential abundance of the forms of the BSP1 protein in cryopreserved seminal plasma of Bos taurus indicus bulls with different patterns of semen freezability using proteomics. A wide cohort of adult bulls with high genetic value from an artificial insemination center was used as donors of high quality, fresh semen. Nine bulls presenting different patterns of semen freezability were selected. Two-dimensional gel electrophoresis showed differential abundance in a group of seven protein spots in the frozen/thawed seminal plasma from the bulls, ranging from 15 to 17 kDa, with pI values from 4.6 to 5.8. Four of these spots were confirmed to be BSP1 using mass spectrometry, proteomics, biochemical, and computational analysis (Tukey's test at P semen freezability and its absence in bulls presenting high semen freezability. This is the first report showing that more than two forms of BSP1 are found in the seminal plasma of Nelore adult bulls and not all animals have a similar abundance of each BSP1 form. Different BSP1 forms may be involved in different events of fertilization and the cryopreservation process. Copyright © 2016 Elsevier Inc. All rights reserved.
Verhoeven, Nicolas; Neoh, Tze Loon; Ohashi, Tetsuya; Furuta, Takeshi; Kurozumi, Sayaka; Yoshii, Hidefumi
2012-04-01
β-Maltose monohydrate was transformed into an anhydrous form by ethanol-mediated method under several temperatures with agitation. A new stable anhydrous form of β-maltose (Mβ(s)) was obtained, as substantiated by the X-ray diffraction patterns. Mβ(s) obtained by this method presented a fine porous structure, resulting in greater specific surface area compared to those of β-maltose monohydrate and anhydrous β-maltose obtained by vacuum drying (Mβ(h)). The crystal transformation presumably consisted of two steps: dehydration reaction from the hydrous to amorphous forms and crystal formation from the amorphous forms to the noble anhydrous form. The kinetics of these reactions were determined by thermal analysis using Jander's equation and Arrhenius plots. The overall activation energies of the dehydration reaction and the formation of anhydrous maltose were evaluated to be 100 and 90 kJ/mol, respectively. Copyright © 2012 Elsevier Ltd. All rights reserved.
Identification of DWI behavior patterns and methods for change
1982-09-01
The purpose of this study was to identify patterns of behavior leading to driving while intoxicated (DWI), and to propose countermeasures for altering these patterns before they result in DWI. Two samples were studied: Los Angeles high school student...
A novel method for spectrophotometric determination of pregabalin in pure form and in capsules
Directory of Open Access Journals (Sweden)
Gaur Prateek
2011-10-01
Full Text Available Abstract Background Pregabalin, a γ-amino-n-butyric acid derivative, is an antiepileptic drug not yet official in any pharmacopeia and development of analytical procedures for this drug in bulk/formulation forms is a necessity. We herein, report a new, simple, extraction free, cost effective, sensitive and reproducible spectrophotometric method for the determination of the pregabalin. Results Pregabalin, as a primary amine was reacted with ninhydrin in phosphate buffer pH 7.4 to form blue violet colored chromogen which could be measured spectrophotometrically at λmax 402.6 nm. The method was validated with respect to linearity, accuracy, precision and robustness. The method showed linearity in a wide concentration range of 50-1000 μg mL-1 with good correlation coefficient (0.992. The limits of assays detection was found to be 6.0 μg mL-1 and quantitation limit was 20.0 μg mL-1. The suggested method was applied to the determination of the drug in capsules. No interference could be observed from the additives in the capsules. The percentage recovery was found to be 100.43 ± 1.24. Conclusion The developed method was successfully validated and applied to the determination of pregabalin in bulk and pharmaceutical formulations without any interference from common excipients. Hence, this method can be potentially useful for routine laboratory analysis of pregabalin.
Pattern optimizing verification of self-align quadruple patterning
Yamato, Masatoshi; Yamada, Kazuki; Oyama, Kenichi; Hara, Arisa; Natori, Sakurako; Yamauchi, Shouhei; Koike, Kyohei; Yaegashi, Hidetami
2017-03-01
Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.
Energy Technology Data Exchange (ETDEWEB)
Akilova, D N [1-Tashkent state med. inst., Tashkent (Uzbekistan)
2003-02-15
This work analyzes possibilities of complex radiation diagnostics using traditional Xray, computer and magnet resonance tomography and ultrasonography based on examination and treatment of 223 patients with lung hydatid disease. The diagnosis of 187 out 223 patients has been confirmed during operations. Original methods of ultrasound' examination (USI) of lungs have been developed. The role and place of needle aspirated biopsy controlled by computer tomography in differential diagnostics of complicated forms of lung hydatid disease with various forms of tumors, tubercular caverns etc. have been identified. Self-descriptiveness, sensitivity and general accuracy of these examination methods have been studied on patients with non-complicated and complicated forms of lung hydatid disease. Self descriptiveness of X-ray for non-complicated forms was 104%, USI - 85%, CT 100%, for complicated forms self-descriptiveness of X-ray was 92%, CT- 97%. Ultrasound examination of chest allowed visualizing and localizing of hydatid cysts when they were peripheral. The research enabled to develop algorithm of diagnosing non-complicated and complicated forms of lung hydatid disease. Needle aspirated biopsy was applied in complicated cases. In non-complicated cases transcutaneous manipulations have not been performed to avoid the process dissemination. (author)
Jiang, Caigui
2015-10-27
We study the design and optimization of polyhedral patterns, which are patterns of planar polygonal faces on freeform surfaces. Working with polyhedral patterns is desirable in architectural geometry and industrial design. However, the classical tiling patterns on the plane must take on various shapes in order to faithfully and feasibly approximate curved surfaces. We define and analyze the deformations these tiles must undertake to account for curvature, and discover the symmetries that remain invariant under such deformations. We propose a novel method to regularize polyhedral patterns while maintaining these symmetries into a plethora of aesthetic and feasible patterns.
Utilization pattern of extension tools and methods by Agricultural Extension Agents
Directory of Open Access Journals (Sweden)
M Surudhi
2018-05-01
Full Text Available A study was conducted in Krishnagiri district of Tamil Nadu state to understand the utilization pattern of extension tools and methods by the agricultural extension agents. As ICT revolution is slowly conquering the rural sector, it becomes imperative that the agricultural extension agents transform themselves to the changing times and develop competencies in utilizing these ICTs. The study explored the usage of various extension tools and methods by the change agents and the constraints faced in utilizing them. The findings revealed that the extension functionaries frequently used the individual contact methods viz., telephone, office calls and farm and home visits in the process of transfer of technology. Least efforts were shown in sending SMS based communication. Meetings were the common and frequently adopted group contact method. Demonstrations, farmer field school, farmer’s interest groups, field trips and farmer training programmes were moderately adopted. Posters, leaflets and pre-season campaigns were the widely adopted mass contact methods. They possess least skill in utilizing farm magazines, presenting television and radio programmes, which are among the most popular and most efficient mass contact methods. The extension functionaries need to be trained adequately on the wider use of electronic communication methods like e mails, and SMS in the local language. Efforts should be taken up to sensitize the importance and train the extension agents in the usage of different group and mass contact methods.
Estimating the carbohydrate content of various forms of tobacco by phenol-sulfuric acid method.
Jain, Vardhaman Mulchand; Karibasappa, Gundabaktha Nagappa; Dodamani, Arun Suresh; Mali, Gaurao Vasant
2017-01-01
Due to consumption of various forms of tobacco in large amounts by Indian population, it has become a cause of concern for major oral diseases. In 2008, the WHO named tobacco as the world's single greatest cause of preventable death. It is also known that certain amount of carbohydrates are incorporated in processed tobacco to make it acceptable for consumption. Thus, its role in oral diseases becomes an important question at this point of time. Through this study, it is attempted to find out the carbohydrate content of various forms of tobacco by phenol-sulfuric acid method. Tobacco products selected for the study were Nandi hookah tambakhu (A), photo brand budhaa Punjabi snuff (B), Miraj (C), Gai-chhap tambakhu (D), Hanuman-chhap Pandharpuri tambakhu (E), and Hathi-chhap Bidi (F). The samples were decoded and transported to laboratory and tested at various concentrations by phenol-sulfuric acid method followed by ultraviolet spectrophotometry to determine their absorbance. The present study showed Hathi-chhap bidi/sample F had a maximum absorbance (1.995) at 10 μg/ml which is a smoking form of tobacco followed by rest all smokeless forms of tobacco, i.e. sample C (0.452), sample B (0.253), sample D (0.077), sample E (-0.018), and sample A (-0.127), respectively. As the concentration of tobacco sample increases, their absorbance increases which in turn is suggestive of increase in its carbohydrate concentration. Carbohydrates in the form of sugars, either inherently present or added in it during manufacturing can serve as a risk factor for higher incidence of dental caries.
Energy Technology Data Exchange (ETDEWEB)
Kim, Mimi [Hanyang University College of Medicine, Department of Radiology, Hanyang Medical Center, Seoul (Korea, Republic of); Jang, Kyung Mi [Sungkyunkwan University School of Medicine, Department of Radiology, Samsung Medical Center, Seoul (Korea, Republic of); Sungkyunkwan University School of Medicine, Department of Radiology and Center for Imaging Science, Samsung Medical Center, Seoul (Korea, Republic of); Kim, Jae-Hun; Jeong, Woo Kyoung; Kim, Seong Hyun; Kang, Tae Wook; Kim, Young Kon; Cha, Dong Ik [Sungkyunkwan University School of Medicine, Department of Radiology, Samsung Medical Center, Seoul (Korea, Republic of); Kim, Kyunga [Samsung Medical Center, Biostatics and Clinical Epidemiology Center, Research Institute for Future Medicine, Seoul (Korea, Republic of)
2017-04-15
To evaluate the value of dynamic enhancement patterns on contrast-enhanced MR images by adding signal intensity colour mapping (SICM) to differentiate mass-forming focal pancreatitis (MFFP) from pancreatic ductal adenocarcinoma (PDAC). Forty-one clinicopathologically proven MFFPs and 144 surgically confirmed PDACs were enrolled. Laboratory and MR imaging parameters were used to differentiate MFFP from PDAC. In particular, enhancement patterns on MR images adding SICM were evaluated. By using classification tree analysis (CTA), we determined the predictors for the differentiation of MFFP from PDAC. In the CTA, with all parameters except enhancement pattern on SICM images, ductal obstruction grade and T1 hypointensity grade of the pancreatic lesion were the first and second splitting predictor for differentiation of MFFP from PDAC, in order. By adding an enhancement pattern on the SICM images to CTA, the enhancement pattern was the only splitting predictor to differentiate MFFP from PDAC. The CTA model including enhancement pattern on SICM images has sensitivity of 78.0 %, specificity of 99.3 %, and accuracy of 94.6 % for differentiating MFFP from PDAC. The characterization of enhancement pattern for pancreatic lesions on contrast-enhanced MR images adding SICM would be helpful to differentiate MFFP from PDAC. (orig.)
Method for Forming Pulp Fibre Yarns Developed by a Design-driven Process
Directory of Open Access Journals (Sweden)
Tiia-Maria Tenhunen
2016-01-01
Full Text Available A simple and inexpensive method for producing water-stable pulp fibre yarns using a deep eutectic mixture composed of choline chloride and urea (ChCl/urea was developed in this work. Deep eutectic solvents (DESs are eutectic mixtures consisting of two or more components that together have a lower melting point than the individual components. DESs have been previously studied with respect to cellulose dissolution, functionalisation, and pre-treatment. This new method uses a mixture of choline chloride and urea, which is used as a swelling and dispersing agent for the pulp fibres in the yarn-forming process. Although the pulp seemed to form a gel when dispersed in ChCl/urea, the ultrastructure of the pulp was not affected. To enable water stability, pulp fibres were crosslinked by esterification using polyacrylic acid. ChCl/urea could be easily recycled and reused by distillation. The novel process described in this study enables utilisation of pulp fibres in textile production without modification or dissolution and shortening of the textile value chain. An interdisciplinary approach was used, where potential applications were explored simultaneously with material development from process development to the early phase prototyping.
The startle pattern in the minor form of hyperekplexia
Tijssen, M. A.; Padberg, G. W.; van Dijk, J. G.
1996-01-01
BACKGROUND: The major and minor forms of hereditary hyperekplexia (HE) are characterized by excessive startle responses, which are accompanied by transient stiffness only in major HE; patients with major HE also have continuous stiffness during infancy. A point mutation has been identified for major
Visual and Quantitative Analysis Methods of Respiratory Patterns for Respiratory Gated PET/CT.
Son, Hye Joo; Jeong, Young Jin; Yoon, Hyun Jin; Park, Jong-Hwan; Kang, Do-Young
2016-01-01
We integrated visual and quantitative methods for analyzing the stability of respiration using four methods: phase space diagrams, Fourier spectra, Poincaré maps, and Lyapunov exponents. Respiratory patterns of 139 patients were grouped based on the combination of the regularity of amplitude, period, and baseline positions. Visual grading was done by inspecting the shape of diagram and classified into two states: regular and irregular. Quantitation was done by measuring standard deviation of x and v coordinates of Poincaré map (SD x , SD v ) or the height of the fundamental peak ( A 1 ) in Fourier spectrum or calculating the difference between maximal upward and downward drift. Each group showed characteristic pattern on visual analysis. There was difference of quantitative parameters (SD x , SD v , A 1 , and MUD-MDD) among four groups (one way ANOVA, p = 0.0001 for MUD-MDD, SD x , and SD v , p = 0.0002 for A 1 ). In ROC analysis, the cutoff values were 0.11 for SD x (AUC: 0.982, p quantitative indices of respiratory stability and determining quantitative cutoff value for differentiating regular and irregular respiration.
Huang, Qi; Yang, Dapeng; Jiang, Li; Zhang, Huajie; Liu, Hong; Kotani, Kiyoshi
2017-01-01
Performance degradation will be caused by a variety of interfering factors for pattern recognition-based myoelectric control methods in the long term. This paper proposes an adaptive learning method with low computational cost to mitigate the effect in unsupervised adaptive learning scenarios. We presents a particle adaptive classifier (PAC), by constructing a particle adaptive learning strategy and universal incremental least square support vector classifier (LS-SVC). We compared PAC performance with incremental support vector classifier (ISVC) and non-adapting SVC (NSVC) in a long-term pattern recognition task in both unsupervised and supervised adaptive learning scenarios. Retraining time cost and recognition accuracy were compared by validating the classification performance on both simulated and realistic long-term EMG data. The classification results of realistic long-term EMG data showed that the PAC significantly decreased the performance degradation in unsupervised adaptive learning scenarios compared with NSVC (9.03% ± 2.23%, p < 0.05) and ISVC (13.38% ± 2.62%, p = 0.001), and reduced the retraining time cost compared with ISVC (2 ms per updating cycle vs. 50 ms per updating cycle). PMID:28608824
Directory of Open Access Journals (Sweden)
Qi Huang
2017-06-01
Full Text Available Performance degradation will be caused by a variety of interfering factors for pattern recognition-based myoelectric control methods in the long term. This paper proposes an adaptive learning method with low computational cost to mitigate the effect in unsupervised adaptive learning scenarios. We presents a particle adaptive classifier (PAC, by constructing a particle adaptive learning strategy and universal incremental least square support vector classifier (LS-SVC. We compared PAC performance with incremental support vector classifier (ISVC and non-adapting SVC (NSVC in a long-term pattern recognition task in both unsupervised and supervised adaptive learning scenarios. Retraining time cost and recognition accuracy were compared by validating the classification performance on both simulated and realistic long-term EMG data. The classification results of realistic long-term EMG data showed that the PAC significantly decreased the performance degradation in unsupervised adaptive learning scenarios compared with NSVC (9.03% ± 2.23%, p < 0.05 and ISVC (13.38% ± 2.62%, p = 0.001, and reduced the retraining time cost compared with ISVC (2 ms per updating cycle vs. 50 ms per updating cycle.
Aqueous sulfomethylated melamine gel-forming compositions and methods of use
Energy Technology Data Exchange (ETDEWEB)
Meltz, C.N.; Guetzmacher, G.D.; Chang, P.W.
1989-04-18
A method is described for the selective modification of the permeability of the strata of a subterranean bydrocarbon-containing reservoir consisting of introducing into a well in, communication with the reservoir; an aqueous gel-forming composition, comprising a 1.0-60.0 weight percent sulfomethylated melamine polymer solution. The solution is prepared with a 1.0 molar equivalent of a malemine, reacted with 3.0-6.7 molar equivalents of formaldehyde or a 2-6 carbon atom containing dialdehyde; 0.25-1.25 molar equivalents of an alkali metal or ammonium salt of surfurous acid; and 0.01-1.5 molar equivalents of a gel-modifying agent.
Single-electron transistors fabricated with sidewall spacer patterning
Park, Byung-Gook; Kim, Dae Hwan; Kim, Kyung Rok; Song, Ki-Whan; Lee, Jong Duk
2003-09-01
We have implemented a sidewall spacer patterning method for novel dual-gate single-electron transistor (DGSET) and metal-oxide-semiconductor-based SET (MOSET) based on the uniform SOI wire, using conventional lithography and processing technology. A 30 nm wide silicon quantum wire is defined by a sidewall spacer patterning method, and depletion gates for two tunnel junctions of the DGSET are formed by the doped polycrystalline silicon sidewall. The fabricated DGSET and MOSET show clear single-electron tunneling phenomena at liquid nitrogen temperature and insensitivity of the Coulomb oscillation period to gate bias conditions. On the basis of the phase control capability of the sidewall depletion gates, we have proposed a complementary self-biasing method, which enables the SET/CMOS hybrid multi-valued logic (MVL) to operate perfectly well at high temperature, where the peak-to-valley current ratio of Coulomb oscillation severely decreases. The suggested scheme is evaluated by SPICE simulation with an analytical DGSET model, and it is confirmed that even DGSETs with a large Si island can be utilized efficiently in the multi-valued logic.
Visibility Network Patterns and Methods for Studying Visual Relational Phenomena in Archeology
Directory of Open Access Journals (Sweden)
Tom Brughmans
2017-08-01
Full Text Available A review of the archeological and non-archeological use of visibility networks reveals the use of a limited range of formal techniques, in particular for representing visibility theories. This paper aims to contribute to the study of complex visual relational phenomena in landscape archeology by proposing a range of visibility network patterns and methods. We propose first- and second-order visibility graph representations of total and cumulative viewsheds, and two-mode representations of cumulative viewsheds. We present network patterns that can be used to represent aspects of visibility theories and that can be used in statistical simulation models to compare theorized networks with observed networks. We argue for the need to incorporate observed visibility network density in these simulation models, by illustrating strong differences in visibility network density in three example landscapes. The approach is illustrated through a brief case study of visibility networks of long barrows in Cranborne Chase.
A method for autoradiographic studies of single clones of plaque forming cells
International Nuclear Information System (INIS)
Andersen, V.; Lefkovits, I.; Rigshospitalet, Copenhagen
1977-01-01
By limiting dilution of B lymphocytes from spleens of immunized mice, microcultures were obtained that contained only one clone of plaque forming cells (PFC). The cultured cells were labelled with [ 14 C]thymidine for varying period of time. Plaques were obtained in monolayers of sheep erythrocytes in plastic dishes. After fixation with glutaraldehyde, the bottoms of the dishes were stripped off and autoradiograms prepared. By this method, it is possible to determine the proportion of labelled PFC within a given clone and to quantitate the incorporation of label. The method described can be applied to study the incorporation of other labelled molecules and for cytochemical investigations
THE METHOD OF CONSTRUCTING A BOOLEAN FORMULA OF A POLYGON IN THE DISJUNCTIVE NORMAL FORM
Directory of Open Access Journals (Sweden)
A. A. Butov
2014-01-01
Full Text Available The paper focuses on finalizing the method of finding a polygon Boolean formula in disjunctive normal form, described in the previous article [1]. An improved method eliminates the drawback asso-ciated with the existence of a class of problems for which the solution is only approximate. The pro-posed method always allows to find an exact solution. The method can be used, in particular, in the systems of computer-aided design of integrated circuits topology.
A numerical method for the design of free-form reflectors for lighting applications
Prins, C.R.; Thije Boonkkamp, ten J.H.M.; Roosmalen, van J.; IJzerman, W.L.; Tukker, T.W.
2013-01-01
In this article we present a method for the design of fully free-form reflectors for illumination systems. We derive an elliptic partial differential equation of the Monge-Ampère type for the surface of a reflector that converts an arbitrary parallel beam of light into a desired intensity output
Amin, Alaa S.; Kassem, Mohammed A.
2012-01-01
Aim and Background: Three simple, accurate and sensitive spectrophotometric methods for the determination of finasteride in pure, dosage and biological forms, and in the presence of its oxidative degradates were developed. Materials and Methods: These methods are indirect, involve the addition of excess oxidant potassium permanganate for method A; cerric sulfate [Ce(SO4)2] for methods B; and N-bromosuccinimide (NBS) for method C of known concentration in acid medium to finasteride, and the determination of the unreacted oxidant by measurement of the decrease in absorbance of methylene blue for method A, chromotrope 2R for method B, and amaranth for method C at a suitable maximum wavelength, λmax: 663, 528, and 520 nm, for the three methods, respectively. The reaction conditions for each method were optimized. Results: Regression analysis of the Beer plots showed good correlation in the concentration ranges of 0.12–3.84 μg mL–1 for method A, and 0.12–3.28 μg mL–1 for method B and 0.14 – 3.56 μg mL–1 for method C. The apparent molar absorptivity, Sandell sensitivity, detection and quantification limits were evaluated. The stoichiometric ratio between the finasteride and the oxidant was estimated. The validity of the proposed methods was tested by analyzing dosage forms and biological samples containing finasteride with relative standard deviation ≤ 0.95. Conclusion: The proposed methods could successfully determine the studied drug with varying excess of its oxidative degradation products, with recovery between 99.0 and 101.4, 99.2 and 101.6, and 99.6 and 101.0% for methods A, B, and C, respectively. PMID:23781478
Patterned microstructures formed with MeV Au implantation in Si(1 0 0)
International Nuclear Information System (INIS)
Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.
2006-01-01
Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si
Directory of Open Access Journals (Sweden)
Younghoon Kwak
2018-04-01
Full Text Available In response to the need to improve energy-saving processes in older buildings, especially residential ones, this paper describes the potential of a novel method of disaggregating loads in light of the load patterns of household appliances determined in residential buildings. Experiments were designed to be applicable to general residential buildings and four types of commonly used appliances were selected to verify the method. The method assumes that loads are disaggregated and measured by a single primary meter. Following the metering of household appliances and an analysis of the usage patterns of each type, values of electric current were entered into a Hidden Markov Model (HMM to formulate predictions. Thereafter, the HMM repeatedly performed to output the predicted data close to the measured data, while errors between predicted and the measured data were evaluated to determine whether they met tolerance. When the method was examined for 4 days, matching rates in accordance with the load disaggregation outcomes of the household appliances (i.e., laptop, refrigerator, TV, and microwave were 0.994, 0.992, 0.982, and 0.988, respectively. The proposed method can provide insights into how and where within such buildings energy is consumed. As a result, effective and systematic energy saving measures can be derived even in buildings in which monitoring sensors and measurement equipment are not installed.
Directory of Open Access Journals (Sweden)
Zedong Bi
2016-08-01
Full Text Available Synapses may undergo variable changes during plasticity because of the variability of spike patterns such as temporal stochasticity and spatial randomness. Here, we call the variability of synaptic weight changes during plasticity to be efficacy variability. In this paper, we investigate how four aspects of spike pattern statistics (i.e., synchronous firing, burstiness/regularity, heterogeneity of rates and heterogeneity of cross-correlations influence the efficacy variability under pair-wise additive spike-timing dependent plasticity (STDP and synaptic homeostasis (the mean strength of plastic synapses into a neuron is bounded, by implementing spike shuffling methods onto spike patterns self-organized by a network of excitatory and inhibitory leaky integrate-and-fire (LIF neurons. With the increase of the decay time scale of the inhibitory synaptic currents, the LIF network undergoes a transition from asynchronous state to weak synchronous state and then to synchronous bursting state. We first shuffle these spike patterns using a variety of methods, each designed to evidently change a specific pattern statistics; and then investigate the change of efficacy variability of the synapses under STDP and synaptic homeostasis, when the neurons in the network fire according to the spike patterns before and after being treated by a shuffling method. In this way, we can understand how the change of pattern statistics may cause the change of efficacy variability. Our results are consistent with those of our previous study which implements spike-generating models on converging motifs. We also find that burstiness/regularity is important to determine the efficacy variability under asynchronous states, while heterogeneity of cross-correlations is the main factor to cause efficacy variability when the network moves into synchronous bursting states (the states observed in epilepsy.
International Nuclear Information System (INIS)
Szmaja, Witold
2007-01-01
The magnetic microstructure of high-coercivity sintered SmCo 5 permanent magnets was studied with the conventional Bitter pattern technique, and also for the first time with the colloid-scanning electron microscopy (colloid-SEM) method. Both techniques were supported by digital image acquisition, enhancement and analysis. Thanks to this, it was possible to obtain high-contrast and clear images of the magnetic microstructure and to analyze them in detail, and consequently also to achieve improvements over earlier results. In the thermally demagnetized state the grains were composed of magnetic domains. On the surface perpendicular to the alignment axis, the main domains forming a maze pattern and surface reverse spikes were observed. Investigations on the surface parallel to the alignment axis, especially by the colloid-SEM technique, provided a detailed insight into the orientation of grains. The alignment of grains was good, but certainly not perfect; there were also strongly misaligned grains, although generally very rare. In most cases the domain structures within grains were independent of their neighbors, but in some cases (not so rare) the domain walls were observed to continue through the grain boundaries, indicating significant magnetostatic interaction between neighboring grains. Studies of the behavior of the magnetic microstructure under the influence of an external magnetic field, performed for the first time on the surface parallel to the alignment axis (with the conventional Bitter pattern method), showed that the domain walls move easily within the grains and that the magnetization reversal mechanism is mainly related to the nucleation and growth of reverse domains, i.e. that sintered SmCo 5 magnets are nucleation-dominated systems. Groupwise magnetization reversal of adjacent magnetically coupled grains was observed, an unfavorable effect for high-coercivity magnets. Images obtained by the colloid-SEM technique and the conventional Bitter pattern
Energy Technology Data Exchange (ETDEWEB)
Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)
2010-12-17
Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.
International Nuclear Information System (INIS)
Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung
2010-01-01
Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.
General form of the Euler-Poisson-Darboux equation and application of the transmutation method
Directory of Open Access Journals (Sweden)
Elina L. Shishkina
2017-07-01
Full Text Available In this article, we find solution representations in the compact integral form to the Cauchy problem for a general form of the Euler-Poisson-Darboux equation with Bessel operators via generalized translation and spherical mean operators for all values of the parameter k, including also not studying before exceptional odd negative values. We use a Hankel transform method to prove results in a unified way. Under additional conditions we prove that a distributional solution is a classical one too. A transmutation property for connected generalized spherical mean is proved and importance of applying transmutation methods for differential equations with Bessel operators is emphasized. The paper also contains a short historical introduction on differential equations with Bessel operators and a rather detailed reference list of monographs and papers on mathematical theory and applications of this class of differential equations.
Colony shape as a genetic trait in the pattern-forming Bacillus mycoides
Directory of Open Access Journals (Sweden)
Pisaneschi Giuseppe
2002-11-01
Full Text Available Abstract Background Bacillus mycoides Flügge, a Gram-positive, non-motile soil bacterium assigned to Bacillus cereus group, grows on agar as chains of cells linked end to end, forming radial filaments curving clock- or counter-clockwise (SIN or DX morphotypes. The molecular mechanism causing asymmetric curving is not known: our working hypothesis considers regulation of filamentous growth as the prerequisite for these morphotypes. Results SIN and DX strains isolated from the environment were classified as B. mycoides by biochemical and molecular biology tests. Growth on agar of different hardness and nutrient concentration did not abolish colony patterns, nor was conversion between SIN and DX morphotypes ever noticed. A number of morphotype mutants, all originating from one SIN strain, were obtained. Some lost turn direction becoming fluffy, others became round and compact. All mutants lost wild type tight aggregation in liquid culture. Growth on agar was followed by microscopy, exploring the process of colony formation and details of cell divisions. A region of the dcw (division cell wall cluster, including ftsQ, ftsA, ftsZ and murC, was sequenced in DX and SIN strains as a basis for studying cell division. This confirmed the relatedness of DX and SIN strains to the B. cereus group. Conclusions DX and SIN asymmetric morphotypes stem from a close but not identical genomic context. Asymmetry is established early during growth on agar. Wild type bacilli construct mostly uninterrupted filaments with cells dividing at the free ends: they "walk" longer distances compared to mutants, where enhanced frequency of cell separation produces new growing edges resulting in round compact colonies.
Directory of Open Access Journals (Sweden)
K. Raghubabu
2012-01-01
Full Text Available Two simple, sensitive and cost effective visible spectrophotometric methods (M1 and M2 have been developed for the determination of venlafaxine hydrochloride from bulk and tablet dosage forms. The method M1 is based on the formation of green colored coordination complex by the drug with cobalt thiocyanate which is quantitatively extractable into nitro benzene with an absorption maximum of 626.4 nm. The method M2 involves internal salt formation of aconitic anhydride, dehydration product of citric acid [CIA] with acetic anhydride [Ac2O] to form colored chromogen with an absorption maximum of 561.2 nm. The calibration graph is linear over the concentration range of 10-50 µg/mL and 8-24 µg/mL for method M1 and M2 respectively. The proposed methods are applied to commercial available tablets and the results are statistically compared with those obtained by the reference method and validated by recovery studies. The results are found satisfactory and reproducible. These methods are applied successfully for the estimation of the venlafaxine hydrochloride in the presence of other ingredients that are usually present in dosage forms.
Pot, Gerda K; Stephen, Alison M; Dahm, Christina C; Key, Timothy J; Cairns, Benjamin J; Burley, Victoria J; Cade, Janet E; Greenwood, Darren C; Keogh, Ruth H; Bhaniani, Amit; McTaggart, Alison; Lentjes, Marleen AH; Mishra, Gita; Brunner, Eric J; Khaw, Kay Tee
2015-01-01
Background/ Objectives In spite of several studies relating dietary patterns to breast cancer risk, evidence so far remains inconsistent. This study aimed to investigate associations of dietary patterns derived with three different methods with breast cancer risk. Subjects/ Methods The Mediterranean Diet Score (MDS), principal components analyses (PCA) and reduced rank regression (RRR) were used to derive dietary patterns in a case-control study of 610 breast cancer cases and 1891 matched controls within 4 UK cohort studies. Dietary intakes were collected prospectively using 4-to 7-day food diaries and resulting food consumption data were grouped into 42 food groups. Conditional logistic regression models were used to estimate odds ratios (ORs) for associations between pattern scores and breast cancer risk adjusting for relevant covariates. A separate model was fitted for post-menopausal women only. Results The MDS was not associated with breast cancer risk (OR comparing 1st tertile with 3rd 1.20 (95% CI 0.92; 1.56)), nor the first PCA-derived dietary pattern, explaining 2.7% of variation of diet and characterized by cheese, crisps and savoury snacks, legumes, nuts and seeds (OR 1.18 (95% CI 0.91; 1.53)). The first RRR-derived pattern, a ‘high-alcohol’ pattern, was associated with a higher risk of breast cancer (OR 1.27; 95% CI 1.00; 1.62), which was most pronounced in post-menopausal women (OR 1.46 (95% CI 1.08; 1.98). Conclusions A ‘high-alcohol’ dietary pattern derived with RRR was associated with an increased breast cancer risk; no evidence of associations of other dietary patterns with breast cancer risk was observed in this study. PMID:25052230
The influence of surface topography on the forming friction of automotive aluminum sheet
Energy Technology Data Exchange (ETDEWEB)
Kramer, Pamela Ann [Univ. of California, Berkeley, CA (United States). Dept. of Materials Science and Mineral Engineering
1998-05-01
Interest in utilizing aluminum alloys in automobiles has increased in recent years as a result of the desire to lower automobile weight and, consequently, increase fuel economy. While aluminum alloy use in cast parts has increased, outer body panel applications are still being investigated. The industry is interested in improving the formability of these sheet alloys by a combination of alloy design and processing. A different avenue of improving the formability of these alloys may be through patterning of the sheet surface. Surface patterns hold the lubricant during the forming process, with a resulting decrease in the sheet-die surface contact. While it has been speculated that an optimum surface pattern would consist of discrete cavities, detailed investigation into the reduction of forming friction by utilizing discrete patterns is lacking. A series of discrete patterns were investigated to determine the dependence of the forming friction of automotive aluminum alloys on pattern lubricant carrying capacity and on material strength. Automotive aluminum alloys used in outer body panel applications were rolled on experimental rolls that had been prepared with a variety of discrete patterns. All patterns for each alloy were characterized before and after testing both optically and, to determine pattern lubricant capacity, using three dimensional laser profilometry. A draw bead simulation (DBS) friction tester was designed and fabricated to determine the forming friction of the patterned sheets. Tensile testing and frictionless DBS testing were performed to ascertain the material properties of each sheet. The most striking result of this work was the inversely linear dependence of forming friction on the lubricant carrying capacity of the discrete patterns.
Generalized Pattern Search methods for a class of nonsmooth optimization problems with structure
Bogani, C.; Gasparo, M. G.; Papini, A.
2009-07-01
We propose a Generalized Pattern Search (GPS) method to solve a class of nonsmooth minimization problems, where the set of nondifferentiability is included in the union of known hyperplanes and, therefore, is highly structured. Both unconstrained and linearly constrained problems are considered. At each iteration the set of poll directions is enforced to conform to the geometry of both the nondifferentiability set and the boundary of the feasible region, near the current iterate. This is the key issue to guarantee the convergence of certain subsequences of iterates to points which satisfy first-order optimality conditions. Numerical experiments on some classical problems validate the method.
A Time Series Forecasting Method
Directory of Open Access Journals (Sweden)
Wang Zhao-Yu
2017-01-01
Full Text Available This paper proposes a novel time series forecasting method based on a weighted self-constructing clustering technique. The weighted self-constructing clustering processes all the data patterns incrementally. If a data pattern is not similar enough to an existing cluster, it forms a new cluster of its own. However, if a data pattern is similar enough to an existing cluster, it is removed from the cluster it currently belongs to and added to the most similar cluster. During the clustering process, weights are learned for each cluster. Given a series of time-stamped data up to time t, we divide it into a set of training patterns. By using the weighted self-constructing clustering, the training patterns are grouped into a set of clusters. To estimate the value at time t + 1, we find the k nearest neighbors of the input pattern and use these k neighbors to decide the estimation. Experimental results are shown to demonstrate the effectiveness of the proposed approach.
Method to fabricate micro and nano diamond devices
Energy Technology Data Exchange (ETDEWEB)
Morales, Alfredo M.; Anderson, Richard J.; Yang, Nancy Y. C.; Skinner, Jack L.; Rye, Michael J.
2017-04-11
A method including forming a diamond material on the surface of a substrate; forming a first contact and a separate second contact; and patterning the diamond material to form a nanowire between the first contact and the second contact. An apparatus including a first contact and a separate second contact on a substrate; and a nanowire including a single crystalline or polycrystalline diamond material on the substrate and connected to each of the first contact and the second contact.
Power Supply Interruption Costs: Models and Methods Incorporating Time Dependent Patterns
International Nuclear Information System (INIS)
Kjoelle, G.H.
1996-12-01
This doctoral thesis develops models and methods for estimation of annual interruption costs for delivery points, emphasizing the handling of time dependent patterns and uncertainties in the variables determining the annual costs. It presents an analytical method for calculation of annual expected interruption costs for delivery points in radial systems, based on a radial reliability model, with time dependent variables. And a similar method for meshed systems, based on a list of outage events, assuming that these events are found in advance from load flow and contingency analyses. A Monte Carlo simulation model is given which handles both time variations and stochastic variations in the input variables and is based on the same list of outage events. This general procedure for radial and meshed systems provides expectation values and probability distributions for interruption costs from delivery points. There is also a procedure for handling uncertainties in input variables by a fuzzy description, giving annual interruption costs as a fuzzy membership function. The methods are developed for practical applications in radial and meshed systems, based on available data from failure statistics, load registrations and customer surveys. Traditional reliability indices such as annual interruption time, power- and energy not supplied, are calculated as by-products. The methods are presented as algorithms and/or procedures which are available as prototypes. 97 refs., 114 figs., 62 tabs
Power Supply Interruption Costs: Models and Methods Incorporating Time Dependent Patterns
Energy Technology Data Exchange (ETDEWEB)
Kjoelle, G.H.
1996-12-01
This doctoral thesis develops models and methods for estimation of annual interruption costs for delivery points, emphasizing the handling of time dependent patterns and uncertainties in the variables determining the annual costs. It presents an analytical method for calculation of annual expected interruption costs for delivery points in radial systems, based on a radial reliability model, with time dependent variables. And a similar method for meshed systems, based on a list of outage events, assuming that these events are found in advance from load flow and contingency analyses. A Monte Carlo simulation model is given which handles both time variations and stochastic variations in the input variables and is based on the same list of outage events. This general procedure for radial and meshed systems provides expectation values and probability distributions for interruption costs from delivery points. There is also a procedure for handling uncertainties in input variables by a fuzzy description, giving annual interruption costs as a fuzzy membership function. The methods are developed for practical applications in radial and meshed systems, based on available data from failure statistics, load registrations and customer surveys. Traditional reliability indices such as annual interruption time, power- and energy not supplied, are calculated as by-products. The methods are presented as algorithms and/or procedures which are available as prototypes. 97 refs., 114 figs., 62 tabs.
A method for the quantification of model form error associated with physical systems.
Energy Technology Data Exchange (ETDEWEB)
Wallen, Samuel P.; Brake, Matthew Robert
2014-03-01
In the process of model validation, models are often declared valid when the differences between model predictions and experimental data sets are satisfactorily small. However, little consideration is given to the effectiveness of a model using parameters that deviate slightly from those that were fitted to data, such as a higher load level. Furthermore, few means exist to compare and choose between two or more models that reproduce data equally well. These issues can be addressed by analyzing model form error, which is the error associated with the differences between the physical phenomena captured by models and that of the real system. This report presents a new quantitative method for model form error analysis and applies it to data taken from experiments on tape joint bending vibrations. Two models for the tape joint system are compared, and suggestions for future improvements to the method are given. As the available data set is too small to draw any statistical conclusions, the focus of this paper is the development of a methodology that can be applied to general problems.
A plateau–valley separation method for textured surfaces with a deterministic pattern
DEFF Research Database (Denmark)
Godi, Alessandro; Kühle, Anders; De Chiffre, Leonardo
2014-01-01
The effective characterization of textured surfaces presenting a deterministic pattern of lubricant reservoirs is an issue with which many researchers are nowadays struggling. Existing standards are not suitable for the characterization of such surfaces, providing at times values without physical...... meaning. A new method based on the separation between the plateau and valley regions is hereby presented allowing independent functional analyses of the detected features. The determination of a proper threshold between plateaus and valleys is the first step of a procedure resulting in an efficient...
Five-point form of the nodal diffusion method and comparison with finite-difference
International Nuclear Information System (INIS)
Azmy, Y.Y.
1988-01-01
Nodal Methods have been derived, implemented and numerically tested for several problems in physics and engineering. In the field of nuclear engineering, many nodal formalisms have been used for the neutron diffusion equation, all yielding results which were far more computationally efficient than conventional Finite Difference (FD) and Finite Element (FE) methods. However, not much effort has been devoted to theoretically comparing nodal and FD methods in order to explain the very high accuracy of the former. In this summary we outline the derivation of a simple five-point form for the lowest order nodal method and compare it to the traditional five-point, edge-centered FD scheme. The effect of the observed differences on the accuracy of the respective methods is established by considering a simple test problem. It must be emphasized that the nodal five-point scheme derived here is mathematically equivalent to previously derived lowest order nodal methods. 7 refs., 1 tab
International Nuclear Information System (INIS)
Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik
2014-01-01
Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%
The preparation method of solid boron solution in silicon carbide in the form of micro powder
International Nuclear Information System (INIS)
Pampuch, R.; Stobierski, L.; Lis, J.; Bialoskorski, J.; Ermer, E.
1993-01-01
The preparation method of solid boron solution in silicon carbide in the form of micro power has been worked out. The method consists in introducing mixture of boron, carbon and silicon and heating in the atmosphere of inert gas to the 1573 K
Laser-induced superhydrophobic grid patterns on PDMS for droplet arrays formation
Energy Technology Data Exchange (ETDEWEB)
Farshchian, Bahador [Ingram School of Engineering, Texas State University, San Marcos, TX 78666 (United States); Gatabi, Javad R. [Materials Science, Engineering and Commercialization, Texas State University, San Marcos, TX 78666 (United States); Bernick, Steven M.; Park, Sooyeon [Ingram School of Engineering, Texas State University, San Marcos, TX 78666 (United States); Lee, Gwan-Hyoung [Department of Materials Science and Engineering, Yonsei University, Seoul 03722 (Korea, Republic of); Droopad, Ravindranath [Ingram School of Engineering, Texas State University, San Marcos, TX 78666 (United States); Materials Science, Engineering and Commercialization, Texas State University, San Marcos, TX 78666 (United States); Kim, Namwon, E-mail: n_k43@txstate.edu [Ingram School of Engineering, Texas State University, San Marcos, TX 78666 (United States)
2017-02-28
Highlights: • Superhydrophobic grid patterns were processed on the surface of PDMS using a pulsed nanosecond laser. • Droplet arrays form instantly on the laser-patterned PDMS with the superhydrophobic grid pattern when the PDMS sample is simply immersed in and withdrawn from water. • Droplet size can be controlled by controlling the pitch size of superhydrophobic grid and the withdrawal speed. - Abstract: We demonstrate a facile single step laser treatment process to render a polydimethylsiloxane (PDMS) surface superhydrophobic. By synchronizing a pulsed nanosecond laser source with a motorized stage, superhydrophobic grid patterns were written on the surface of PDMS. Hierarchical micro and nanostructures were formed in the irradiated areas while non-irradiated areas were covered by nanostructures due to deposition of ablated particles. Arrays of droplets form spontaneously on the laser-patterned PDMS with superhydrophobic grid pattern when the PDMS sample is simply immersed in and withdrawn from water due to different wetting properties of the irradiated and non-irradiated areas. The effects of withdrawal speed and pitch size of superhydrophobic grid on the size of formed droplets were investigated experimentally. The droplet size increases initially with increasing the withdrawal speed and then does not change significantly beyond certain points. Moreover, larger droplets are formed by increasing the pitch size of the superhydrophobic grid. The droplet arrays formed on the laser-patterned PDMS with wettability contrast can be used potentially for patterning of particles, chemicals, and bio-molecules and also for cell screening applications.
Energy Technology Data Exchange (ETDEWEB)
Adrich, Przemysław, E-mail: Przemyslaw.Adrich@ncbj.gov.pl
2016-05-01
In Part I of this work existing methods and problems in dual foil electron beam forming system design are presented. On this basis, a new method of designing these systems is introduced. The motivation behind this work is to eliminate the shortcomings of the existing design methods and improve overall efficiency of the dual foil design process. The existing methods are based on approximate analytical models applied in an unrealistically simplified geometry. Designing a dual foil system with these methods is a rather labor intensive task as corrections to account for the effects not included in the analytical models have to be calculated separately and accounted for in an iterative procedure. To eliminate these drawbacks, the new design method is based entirely on Monte Carlo modeling in a realistic geometry and using physics models that include all relevant processes. In our approach, an optimal configuration of the dual foil system is found by means of a systematic, automatized scan of the system performance in function of parameters of the foils. The new method, while being computationally intensive, minimizes the involvement of the designer and considerably shortens the overall design time. The results are of high quality as all the relevant physics and geometry details are naturally accounted for. To demonstrate the feasibility of practical implementation of the new method, specialized software tools were developed and applied to solve a real life design problem, as described in Part II of this work.
International Nuclear Information System (INIS)
Adrich, Przemysław
2016-01-01
In Part I of this work existing methods and problems in dual foil electron beam forming system design are presented. On this basis, a new method of designing these systems is introduced. The motivation behind this work is to eliminate the shortcomings of the existing design methods and improve overall efficiency of the dual foil design process. The existing methods are based on approximate analytical models applied in an unrealistically simplified geometry. Designing a dual foil system with these methods is a rather labor intensive task as corrections to account for the effects not included in the analytical models have to be calculated separately and accounted for in an iterative procedure. To eliminate these drawbacks, the new design method is based entirely on Monte Carlo modeling in a realistic geometry and using physics models that include all relevant processes. In our approach, an optimal configuration of the dual foil system is found by means of a systematic, automatized scan of the system performance in function of parameters of the foils. The new method, while being computationally intensive, minimizes the involvement of the designer and considerably shortens the overall design time. The results are of high quality as all the relevant physics and geometry details are naturally accounted for. To demonstrate the feasibility of practical implementation of the new method, specialized software tools were developed and applied to solve a real life design problem, as described in Part II of this work.
Proposed waste form performance criteria and testing methods for low-level mixed waste
International Nuclear Information System (INIS)
Franz, E.M.; Fuhrmann, M.; Bowerman, B.; Bates, S.; Peters, R.
1994-08-01
This document describes proposed waste form performance criteria and testing method that could be used as guidance in judging viability of a waste form as a physico-chemical barrier to releases of radionuclides and RCRA regulated hazardous components. It is assumed that release of contaminants by leaching is the single most important property by which the effectiveness of a waste form is judged. A two-tier regimen is proposed. The first tier includes a leach test required by the Environmental Protection Agency and a leach test designed to determine the net forward leach rate for a variety of materials. The second tier of tests are to determine if a set of stresses (i.e., radiation, freeze-thaw, wet-dry cycling) on the waste form adversely impact its ability to retain contaminants and remain physically intact. It is recommended that the first tier tests be performed first to determine acceptability. Only on passing the given specifications for the leach tests should other tests be performed. In the absence of site-specific performance assessments (PA), two generic modeling exercises are described which were used to calculate proposed acceptable leach rates
Magnetic Assisted Colloidal Pattern Formation
Yang, Ye
Pattern formation is a mysterious phenomenon occurring at all scales in nature. The beauty of the resulting structures and myriad of resulting properties occurring in naturally forming patterns have attracted great interest from scientists and engineers. One of the most convenient experimental models for studying pattern formation are colloidal particle suspensions, which can be used both to explore condensed matter phenomena and as a powerful fabrication technique for forming advanced materials. In my thesis, I have focused on the study of colloidal patterns, which can be conveniently tracked in an optical microscope yet can also be thermally equilibrated on experimentally relevant time scales, allowing for ground states and transitions between them to be studied with optical tracking algorithms. In particular, I have focused on systems that spontaneously organize due to particle-surface and particle-particle interactions, paying close attention to systems that can be dynamically adjusted with an externally applied magnetic or acoustic field. In the early stages of my doctoral studies, I developed a magnetic field manipulation technique to quantify the adhesion force between particles and surfaces. This manipulation technique is based on the magnetic dipolar interactions between colloidal particles and their "image dipoles" that appear within planar substrate. Since the particles interact with their own images, this system enables massively parallel surface force measurements (>100 measurements) in a single experiment, and allows statistical properties of particle-surface adhesion energies to be extracted as a function of loading rate. With this approach, I was able to probe sub-picoNewton surface interactions between colloidal particles and several substrates at the lowest force loading rates ever achieved. In the later stages of my doctoral studies, I focused on studying patterns formed from particle-particle interaction, which serve as an experimental model of
Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.
Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M
2009-12-01
We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.
General method of pattern classification using the two-domain theory
Rorvig, Mark E. (Inventor)
1993-01-01
Human beings judge patterns (such as images) by complex mental processes, some of which may not be known, while computing machines extract features. By representing the human judgements with simple measurements and reducing them and the machine extracted features to a common metric space and fitting them by regression, the judgements of human experts rendered on a sample of patterns may be imposed on a pattern population to provide automatic classification.
Proposed waste form performance criteria and testing methods for low-level mixed waste
International Nuclear Information System (INIS)
Franz, E.M.; Fuhrmann, M.; Bowerman, B.
1995-01-01
Proposed waste form performance criteria and testing methods were developed as guidance in judging the suitability of solidified waste as a physico-chemical barrier to releases of radionuclides and RCRA regulated hazardous components. The criteria follow from the assumption that release of contaminants by leaching is the single most important property for judging the effectiveness of a waste form. A two-tier regimen is proposed. The first tier consists of a leach test designed to determine the net, forward leach rate of the solidified waste and a leach test required by the Environmental Protection Agency (EPA). The second tier of tests is to determine if a set of stresses (i.e., radiation, freeze-thaw, wet-dry cycling) on the waste form adversely impacts its ability to retain contaminants and remain physically intact. In the absence of site-specific performance assessments (PA), two generic modeling exercises are described which were used to calculate proposed acceptable leachates
A Simple Method for Forming Hybrid Core-Shell Nanoparticles Suspended in Water
Directory of Open Access Journals (Sweden)
Jean-Christophe Daigle
2008-01-01
addition fragmentation chain transfer (RAFT polymerization as dispersant. Then, the resulting dispersion is engaged in a radical emulsion polymerization process whereby a hydrophobic organic monomer (styrene and butyl acrylate is polymerized to form the shell of the hybrid nanoparticle. This method is extremely versatile, allowing the preparation of a variety of nanocomposites with metal oxides (alumina, rutile, anatase, barium titanate, zirconia, copper oxide, metals (Mo, Zn, and even inorganic nitrides (Si3N4.
DIAGNOSTIC CHARACTERISTICS OF THE COMPUTER TESTS FORMED BY METHOD OF RESTORED FRAGMENTS
Oleksandr O. Petkov
2013-01-01
Definition of validity and reliability of tests which are formed by a method of restored fragments is considered in the article. The structure of the controlled theoretical material of limit field of knowledge, language expressions that describe the subject of control, and reliability of test, is analyzed. The technique of definition of the most important components of reliability of the considered tests is given: reliability of quantitative determination of coefficient of assimilation and te...
Practical relevance of pattern uniqueness in forensic science.
Jayaprakash, Paul T
2013-09-10
Uniqueness being unprovable, it has recently been argued that individualization in forensic science is irrelevant and, probability, as applied for DNA profiles, should be applied for all identifications. Critiques against uniqueness have omitted physical matching, a realistic and tangible individualization that supports uniqueness. Describing case examples illustrating pattern matches including physical matching, it is indicated that individualizations are practically relevant for forensic science as they establish facts on a definitive basis providing firm leads benefitting criminal investigation. As a tenet of forensic identification, uniqueness forms a fundamental paradigm relevant for individualization. Evidence on the indeterministic and stochastic causal pathways of characteristics in patterns available in the related fields of science sufficiently supports the proposition of uniqueness. Characteristics involved in physical matching and matching achieved in patterned evidence existing in the state of nature are not events amenable for counting; instead these are ensemble of visible units occupying the entire pattern area stretching the probability of re-occurrence of a verisimilitude pattern into infinity offering epistemic support to uniqueness. Observational methods are as respectable as instrumental or statistical methods since they are capable of generating results that are tangible and obviously valid as in physical matching. Applying the probabilistic interpretation used for DNA profiles to the other patterns would be unbefitting since these two are disparate, the causal pathways of the events, the loci, in the manipulated DNA profiles being determinable. While uniqueness enables individualizations, it does not vouch for eliminating errors. Instead of dismissing uniqueness and individualization, accepting errors as human or system failures and seeking remedial measures would benefit forensic science practice and criminal investigation. Copyright © 2013
Software architecture design patterns in Java
Kuchana, Partha
2004-01-01
AN INTRODUCTION TO DESIGN PATTERNSDesign Patterns: Origin and HistoryArchitectural to Software Design PatternsWhat is a Design Pattern?More about Design PatternsAbout This BookUNIFIED MODELING LANGUAGE (UML)UML: A Quick ReferenceClass DiagramsSequence diagramsBASIC PATTERNSInterfaceDescriptionExamplePractice QuestionsAbstract Parent ClassDescriptionExamplePractice QuestionsPrivate MethodsDescriptionExamplePractice QuestionsAccessor MethodsDescriptionAccessor Method NomenclatureExampleDirect Reference versus Accessor MethodsPractice QuestionsConstant Data ManagerDescriptionExamplePractice Quest
Yu, Zhijing; Ma, Kai; Wang, Zhijun; Wu, Jun; Wang, Tao; Zhuge, Jingchang
2018-03-01
A blade is one of the most important components of an aircraft engine. Due to its high manufacturing costs, it is indispensable to come up with methods for repairing damaged blades. In order to obtain a surface model of the blades, this paper proposes a modeling method by using speckle patterns based on the virtual stereo vision system. Firstly, blades are sprayed evenly creating random speckle patterns and point clouds from blade surfaces can be calculated by using speckle patterns based on the virtual stereo vision system. Secondly, boundary points are obtained in the way of varied step lengths according to curvature and are fitted to get a blade surface envelope with a cubic B-spline curve. Finally, the surface model of blades is established with the envelope curves and the point clouds. Experimental results show that the surface model of aircraft engine blades is fair and accurate.
Computational applications of the thermodynamic local potential: The case of pattern forming systems
International Nuclear Information System (INIS)
Hubert, J.Z.
1986-09-01
Using the thermodynamic local potential Φ a single variational principle may be formulated for a broad class of pattern formation phenomena (this class contains patterns which are: macroscopic, possess steady states, are degenerate at least with respect to one parameter, approach the steady state via fluctuations in the coarsely grained (macroscopic) phase space and so have no memory of the initial conditions). When a steady state is reached Φ assumes its minimum value with respect to distribution function and a maximum with respect to the actually assumed values of free parameters. (author)
Sato, Yukie; Sakamoto, Hironori; Gotoh, Tetsuo; Saito, Yutaka; Chao, Jung-Tai; Egas, Martijn; Mochizuki, Atsushi
2018-03-22
In speciation research, much attention is paid to the evolution of reproductive barriers, preventing diverging groups from hybridizing back into one gene pool. The prevalent view is that reproductive barriers evolve gradually as a by-product of genetic changes accumulated by natural selection and genetic drift in groups that are segregated spatially and/or temporally. Reproductive barriers, however, can also be reinforced by natural selection against maladaptive hybridization. These mutually compatible theories are both empirically supported by studies, analysing relationships between intensity of reproductive isolation and genetic distance in sympatric taxa and allopatric taxa. Here, we present the - to our knowledge - first comparative study in a haplodiploid organism, the social spider mite Stigmaeopsis miscanthi, by measuring premating and post-mating, pre- and post-zygotic components of reproductive isolation, using three recently diverged forms of the mite that partly overlap in home range. We carried out cross-experiments and measured genetic distances (mitochondrial DNA and nuclear DNA) among parapatric and allopatric populations of the three forms. Our results show that the three forms are reproductively isolated, despite the absence of premating barriers, and that the post-mating, prezygotic component contributes most to reproductive isolation. As expected, the strength of post-mating reproductive barriers positively correlated with genetic distance. We did not find a clear pattern of prezygotic barriers evolving faster in parapatry than in allopatry, although one form did show a trend in line with the ecological and behavioural relationships between the forms. Our study advocates the versatility of haplodiploid animals for investigating the evolution of reproductive barriers. © 2018 European Society For Evolutionary Biology. Journal of Evolutionary Biology © 2018 European Society For Evolutionary Biology.
Directory of Open Access Journals (Sweden)
Nafisur Rahman
2017-02-01
Full Text Available A kinetic spectrophotometric method has been developed for the determination of perindopril erbumine in pure and commercial dosage forms. The method is based on the reaction of drug with potassium permanganate in alkaline medium at room temperature (30 ± 1 °C. The reaction was followed spectrophotometrically by measuring the increase in absorbance with time at 603 nm and the initial rate, fixed time (at 8.0 min and equilibrium time (at 90.0 min methods were adopted for constructing the calibration graphs. All the calibration graphs are linear in the concentration range of 5.0–50.0 μg/ml. The limits of detection for initial rate, fixed time and equilibrium time methods were 0.752, 0.882 and 1.091 μg/ml, respectively. The activation parameters such as Ea, ΔH‡, ΔS‡ and ΔG‡ were also determined for the reaction and found to be 60.93 kJ/mol, 56.45 kJ/mol, 74.16 J/K mol and −6.53 kJ/mol, respectively. The variables were optimized and the proposed methods are validated as per ICH guidelines. The method has been further applied to the determination of perindopril erbumine in commercial dosage forms. The analytical results of the proposed methods when compared with those of the reference method show no significant difference in accuracy and precision and have acceptable bias.
Method of forming composite fiber blends and molding same
McMahon, Paul E. (Inventor); Chung, Tai-Shung (Inventor)
1989-01-01
The instant invention involves a process used in preparing fibrous tows which may be formed into polymeric plastic composites. The process involves the steps of (a) forming a tow of strong filamentary materials; (b) forming a thermoplastic polymeric fiber; (c) intermixing the two tows; and (d) withdrawing the intermixed tow for further use.
Ji, Shengxiang; Nagpal, Umang; Liao, Wen; de Pablo, Juan; Nealey, Paul
2010-03-01
Patterns of square and rectangular arrays with nanoscale dimensions are scientifically and technologically important. Fabrication of square array patterns in thin films has been demonstrated by directed assembly of cylinder-forming diblock copolymers on chemically patterned substrates, supramolecular assembly of diblock copolymers, and self-assembly of triblock terpolymers. However, a macroscopic area of square array patterns with long-range order has not been achieved, and the fabrication of rectangular arrays has not been reported so far. Here we report a facile approach for fabricating patterns of square and rectangular arrays by directing the assembly of sphere-forming diblock copolymers on chemically patterned substrates. On stripe patterns, a square arrangement of half spheres, corresponding to the (100) plane of the body-centred cubic (BCC) lattice, formed on film surfaces. When the underlying pattern periods mismatched with the copolymer period, the square pattern could be stretched (up to ˜60%) or compressed (˜15%) to form rectangular arrays. Monte Carlo simulations have been further used to verify the experimental results and the 3-dimensional arrangements of spheres.
Directory of Open Access Journals (Sweden)
Rania A. Sayed
2013-01-01
Full Text Available Two Simple, accurate, precise, and rapid spectrophotometric and conductometric methods were developed for the estimation of erythromycin thiocyanate (I, clarithromycin (II, and azithromycin dihydrate (III in both pure and pharmaceutical dosage forms. The spectrophotometric procedure depends on the reaction of rose bengal and copper with the cited drugs to form stable ternary complexes which are extractable with methylene chloride, and the absorbances were measured at 558, 557, and 560 nm for (I, (II, and (III, respectively. The conductometric method depends on the formation of an ion-pair complex between the studied drug and rose bengal. For the spectrophotometric method, Beer's law was obeyed. The correlation coefficient ( for the studied drugs was found to be 0.9999. The molar absorptivity (, Sandell’s sensitivity, limit of detection (LOD, and limit of quantification (LOQ were also calculated. The proposed methods were successfully applied for the determination of certain pharmaceutical dosage forms containing the studied drugs
Identification of strong earthquake ground motion by using pattern recognition
International Nuclear Information System (INIS)
Suzuki, Kohei; Tozawa, Shoji; Temmyo, Yoshiharu.
1983-01-01
The method of grasping adequately the technological features of complex waveform of earthquake ground motion and utilizing them as the input to structural systems has been proposed by many researchers, and the method of making artificial earthquake waves to be used for the aseismatic design of nuclear facilities has not been established in the unified form. In this research, earthquake ground motion was treated as an irregular process with unsteady amplitude and frequency, and the running power spectral density was expressed as a dark and light image on a plane of the orthogonal coordinate system with both time and frequency axes. The method of classifying this image into a number of technologically important categories by pattern recognition was proposed. This method is based on the concept called compound similarity method in the image technology, entirely different from voice diagnosis, and it has the feature that the result of identification can be quantitatively evaluated by the analysis of correlation of spatial images. Next, the standard pattern model of the simulated running power spectral density corresponding to the representative classification categories was proposed. Finally, the method of making unsteady simulated earthquake motion was shown. (Kako, I.)
AN ELECTROPLATING METHOD OF FORMING PLATINGS OF NICKEL, COBALT, NICKEL ALLOYS OR COBALT ALLOYS
DEFF Research Database (Denmark)
1997-01-01
An electroplating method of forming platings of nickel, cobalt, nickel alloys or cobalt alloys with reduced stresses in an electrodepositing bath of the type: Watt's bath, chloride bath or a combination thereof, by employing pulse plating with periodic reverse pulse and a sulfonated naphthalene...
USING THE METHOD KINESIOTAPING IN REHABILITATION OF CHILDREN WITH HEMIPARETIC FORM OF CEREBRAL PALSY
Directory of Open Access Journals (Sweden)
Vladimir Evgenevich Tuchkov
2016-08-01
Full Text Available The study examines the impact of a new kind of impact in the rehabilitation of hemiparetic form of cerebral palsy – a method kinesiotaping «Concept 4 tapes». Within this framework, the receptor patient unit gradually turned on, resulting in a restructuring of the program abnormal movement, the conditions of use of other methods to increase the efficiency and depth of the order of their influence. The advantage of a technique kinesiotaping is the standard approach, allowing you to apply effects diagram method to all patients without loss of efficacy of therapeutic effects.
Directory of Open Access Journals (Sweden)
Pinto João
2011-08-01
Full Text Available Abstract Background Anopheles gambiae M and S molecular forms, the major malaria vectors in the Afro-tropical region, are ongoing a process of ecological diversification and adaptive lineage splitting, which is affecting malaria transmission and vector control strategies in West Africa. These two incipient species are defined on the basis of single nucleotide differences in the IGS and ITS regions of multicopy rDNA located on the X-chromosome. A number of PCR and PCR-RFLP approaches based on form-specific SNPs in the IGS region are used for M and S identification. Moreover, a PCR-method to detect the M-specific insertion of a short interspersed transposable element (SINE200 has recently been introduced as an alternative identification approach. However, a large-scale comparative analysis of four widely used PCR or PCR-RFLP genotyping methods for M and S identification was never carried out to evaluate whether they could be used interchangeably, as commonly assumed. Results The genotyping of more than 400 A. gambiae specimens from nine African countries, and the sequencing of the IGS-amplicon of 115 of them, highlighted discrepancies among results obtained by the different approaches due to different kinds of biases, which may result in an overestimation of MS putative hybrids, as follows: i incorrect match of M and S specific primers used in the allele specific-PCR approach; ii presence of polymorphisms in the recognition sequence of restriction enzymes used in the PCR-RFLP approaches; iii incomplete cleavage during the restriction reactions; iv presence of different copy numbers of M and S-specific IGS-arrays in single individuals in areas of secondary contact between the two forms. Conclusions The results reveal that the PCR and PCR-RFLP approaches most commonly utilized to identify A. gambiae M and S forms are not fully interchangeable as usually assumed, and highlight limits of the actual definition of the two molecular forms, which might
Control and near-field detection of surface plasmon interference patterns.
Dvořák, Petr; Neuman, Tomáš; Břínek, Lukáš; Šamořil, Tomáš; Kalousek, Radek; Dub, Petr; Varga, Peter; Šikola, Tomáš
2013-06-12
The tailoring of electromagnetic near-field properties is the central task in the field of nanophotonics. In addition to 2D optics for optical nanocircuits, confined and enhanced electric fields are utilized in detection and sensing, photovoltaics, spatially localized spectroscopy (nanoimaging), as well as in nanolithography and nanomanipulation. For practical purposes, it is necessary to develop easy-to-use methods for controlling the electromagnetic near-field distribution. By imaging optical near-fields using a scanning near-field optical microscope, we demonstrate that surface plasmon polaritons propagating from slits along the metal-dielectric interface form tunable interference patterns. We present a simple way how to control the resulting interference patterns both by variation of the angle between two slits and, for a fixed slit geometry, by a proper combination of laser beam polarization and inhomogeneous far-field illumination of the structure. Thus the modulation period of interference patterns has become adjustable and new variable patterns consisting of stripelike and dotlike motifs have been achieved, respectively.
Directory of Open Access Journals (Sweden)
TIMCHENKO, L.
2012-11-01
Full Text Available Propositions necessary for development of parallel-hierarchical (PH network training methods are discussed in this article. Unlike already known structures of the artificial neural network, where non-normalized (absolute similarity criteria are used for comparison, the suggested structure uses a normalized criterion. Based on the analysis of training rules, a conclusion is made that application of two training methods with a teacher is optimal for PH network training: error correction-based training and memory-based training. Mathematical models of training and a combined method of PH network training for recognition of static and dynamic patterns are developed.
Feeding methods, sleep arrangement, and infant sleep patterns: a Chinese population-based study.
Huang, Xiao-Na; Wang, Hui-Shan; Chang, Jen-Jen; Wang, Lin-Hong; Liu, Xi-Cheng; Jiang, Jing-Xiong; An, Lin
2016-02-01
Findings from prior research into the effect of feeding methods on infant sleep are inconsistent. The objectives of this study were to examine infants' sleep patterns by feeding methods and sleep arrangement from birth to eight months old. This longitudinal cohort study enrolled 524 pregnant women at 34-41 weeks of gestation and their infants after delivery in 2006 and followed up until eight months postpartum. The study subjects were recruited from nine women and children hospitals in nine cities in China (Beijing, Chongqing, Wuhan, Changsha, Nanning, Xiamen, Xi'an, Jinan, and Hailin). Participating infants were followed up weekly during the first month and monthly from the second to the eighth month after birth. Twenty-four hour sleep diaries recording infants' sleeping and feeding methods were administered based on caregiver's self-report. Multivariable mixed growth curve models were fitted to estimate the effects of feeding methods and sleep arrangement on infants' sleep patterns over time, controlling for maternal and paternal age, maternal and paternal education level, household income, supplementation of complementary food, and infant birth weight and length. Exclusively formula fed infants had the greatest sleep percentage/24 h, followed by exclusively breast milk fed infants and partially breast milk fed infants (Psleep percentage and night waking frequency between exclusively formula and exclusively breast milk fed infants weakened over time as infants developed. In addition, compared to infants with bed-sharing sleep arrangement, those with room sharing sleep arrangement had greater daytime and 24-hour infant sleep percentage, whereas those with sleeping alone sleep arrangement had greater nighttime sleep percentage. Our data based on caregiver's self-report suggested that partial breastfeeding and bed-sharing may be associated with less sleep in infants. Health care professionals need to work with parents of newborns to develop coping strategies that
Digital phase-shifting atomic force microscope Moire method
International Nuclear Information System (INIS)
Liu Chiaming; Chen Lienwen
2005-01-01
In this study, the digital atomic force microscope (AFM) Moire method with phase-shifting technology is established to measure the in-plane displacement and strain fields. The Moire pattern is generated by the interference between the specimen grating and the virtual reference grating formed by digital image processes. The overlapped image is filtered by two-dimensional wavelet transformation to obtain the clear interference Moire patterns. The four-step phase-shifting method is realized by translating the phase of the virtual reference grating from 0 to 2π. The principle of the digital AFM Moire method and the phase-shifting technology are described in detail. Experimental results show that this method is convenient to use and efficient in realizing the microscale measurement
Directory of Open Access Journals (Sweden)
Disha A Patel
2015-01-01
Full Text Available Background: Ritonavir (RTV and atazanavir sulfate (ATV are protease inhibitor and RTV mostly used as a booster for increasing the bioavailability of other protease inhibitors like ATV. Aims: Quality assessment of the new dosage form of RTV and ATV i.e., tablets is very essential and hence this work deals with to develop sensitive, simple and precise method for simultaneous estimation of ATV and RTV in tablet dosage form by absorbance correction method. Materials and Methods: The present work was carried out on Shimadzu Ultraviolate(UV-1700 double beam spectrophotometer with 1 cm path length supported by S Shimadzu, model-1700(Japan, UV-Probe software, version 2.31 was used for spectral measurements with 10 mm matched quartz cells. Standard ATV and RTV were supplied by Cipla Pharmaceutical Ltd. Methanol was purchased from Finar Chemicals Pvt. Ltd. Results and Conclusion: The λmax or the absorption maxima for ATV and RTV were found to be 279 and 240 nm, respectively in methanol as solvent. The drugs follow Beer-Lambert′s law in the concentration range 30-90 and 10-30 μg/mL for ATV and RTV, respectively. The percentage recovery was found to be 100-100.33% and 100-101.5% for ATV and RTV, respectively. The method was validated for different parameters as per the International Conference for Harmonization Guidelines.
Combustible structural composites and methods of forming combustible structural composites
Daniels, Michael A.; Heaps, Ronald J.; Steffler, Eric D.; Swank, W. David
2013-04-02
Combustible structural composites and methods of forming same are disclosed. In an embodiment, a combustible structural composite includes combustible material comprising a fuel metal and a metal oxide. The fuel metal is present in the combustible material at a weight ratio from 1:9 to 1:1 of the fuel metal to the metal oxide. The fuel metal and the metal oxide are capable of exothermically reacting upon application of energy at or above a threshold value to support self-sustaining combustion of the combustible material within the combustible structural composite. Structural-reinforcing fibers are present in the composite at a weight ratio from 1:20 to 10:1 of the structural-reinforcing fibers to the combustible material. Other embodiments and aspects are disclosed.
Analytical maximum-likelihood method to detect patterns in real networks
International Nuclear Information System (INIS)
Squartini, Tiziano; Garlaschelli, Diego
2011-01-01
In order to detect patterns in real networks, randomized graph ensembles that preserve only part of the topology of an observed network are systematically used as fundamental null models. However, the generation of them is still problematic. Existing approaches are either computationally demanding and beyond analytic control or analytically accessible but highly approximate. Here, we propose a solution to this long-standing problem by introducing a fast method that allows one to obtain expectation values and standard deviations of any topological property analytically, for any binary, weighted, directed or undirected network. Remarkably, the time required to obtain the expectation value of any property analytically across the entire graph ensemble is as short as that required to compute the same property using the adjacency matrix of the single original network. Our method reveals that the null behavior of various correlation properties is different from what was believed previously, and is highly sensitive to the particular network considered. Moreover, our approach shows that important structural properties (such as the modularity used in community detection problems) are currently based on incorrect expressions, and provides the exact quantities that should replace them.
McHugh, K. M.; Key, J. F.
The United States Council for Automotive Research (USCAR) has formed a partnership with the Idaho National Engineering Laboratory (INEL) to develop a process for the rapid production of low-cost tooling based on spray forming technology developed at the INEL. Phase 1 of the program will involve bench-scale system development, materials characterization, and process optimization. In Phase 2, prototype systems will be designed, constructed, evaluated, and optimized. Process control and other issues that influence commercialization will be addressed during this phase of the project. Technology transfer to USCAR, or a tooling vendor selected by USCAR, will be accomplished during Phase 3. The approach INEL is using to produce tooling, such as plastic injection molds and stamping dies, combines rapid solidification processing and net-shape materials processing into a single step. A bulk liquid metal is pressure-fed into a de Laval spray nozzle transporting a high velocity, high temperature inert gas. The gas jet disintegrates the metal into fine droplets and deposits them onto a tool pattern made from materials such as plastic, wax, clay, ceramics, and metals. The approach is compatible with solid freeform fabrication techniques such as stereolithography, selective laser sintering, and laminated object manufacturing. Heat is extracted rapidly, in-flight, by convection as the spray jet entrains cool inert gas to produce undercooled and semi-solid droplets. At the pattern, the droplets weld together while replicating the shape and surface features of the pattern. Tool formation is rapid; deposition rates in excess of 1 ton/h have been demonstrated for bench-scale nozzles.
Shrekenhamer, Abraham; Gottesman, Stephen R.
2012-10-01
A novel and memory efficient method for computing diffraction patterns produced on large-scale focal planes by largescale Coded Apertures at wavelengths where diffraction effects are significant has been developed and tested. The scheme, readily implementable on portable computers, overcomes the memory limitations of present state-of-the-art simulation codes such as Zemax. The method consists of first calculating a set of reference complex field (amplitude and phase) patterns on the focal plane produced by a single (reference) central hole, extending to twice the focal plane array size, with one such pattern for each Line-of-Sight (LOS) direction and wavelength in the scene, and with the pattern amplitude corresponding to the square-root of the spectral irradiance from each such LOS direction in the scene at selected wavelengths. Next the set of reference patterns is transformed to generate pattern sets for other holes. The transformation consists of a translational pattern shift corresponding to each hole's position offset and an electrical phase shift corresponding to each hole's position offset and incoming radiance's direction and wavelength. The set of complex patterns for each direction and wavelength is then summed coherently and squared for each detector to yield a set of power patterns unique for each direction and wavelength. Finally the set of power patterns is summed to produce the full waveband diffraction pattern from the scene. With this tool researchers can now efficiently simulate diffraction patterns produced from scenes by large-scale Coded Apertures onto large-scale focal plane arrays to support the development and optimization of coded aperture masks and image reconstruction algorithms.
Cooking Banana Consumption Patterns in the Plantain-growing Area of Southeastern Nigeria
Directory of Open Access Journals (Sweden)
Tshiunza, M.
2001-01-01
Full Text Available Cooking bananas (Musa spp., ABB genome were intro-duced into Southeastern Nigeria by the International Institute of Tropical Agriculture (IITA in the mid-1980s as an interim measure to reduce the incidence of black sigatoka disease (caused by the fungus Mycosphaerel-la fijiensis Morelet on plantain. However, the people of this region were not familiar with their utilisation methods. To address this lack of the knowledge and thereby sustain cooking banana cultivation, IITA, in collaboration with the Shell Petroleum Development Company (SPDC and the Nigeria Agip OU Company (NAOC commenced a training campaign on cooking banana processing methods. This study examined the patterns of utilisation of cooking bananas ten years after the training took place and compared them with plantain. About 95 % of the households interviewed are consuming cooking banana, indicating a broad acceptance of the crop in the region. Overall, two ripening stages termed green and ripe are the most popular ripening stages for the consumption of both plantain and cooking banana, followed by partially ripe maturity stage. The most common forms of consumption for green plantain are, in decreasing order of importance, pottage, boiled, roasted, and fried. Green cooking banana is also mostly eaten in pottage and boiled forms, and less frequently in fried and pounded forms. Ripe plantain is mostly eaten in fried and pottage forms, while ripe cooking banana is mostly eaten in fried and raw forms. Partially ripe plantain is mostly eaten in pottage, fried, boiled, and roasted forms, while partially ripe cooking banana is eaten in fried, pottage and boiled forms. These results indicate that the consumption patterns of plantain and cooking banana are very similar. This similarity has greatly contributed to the rapid integration of cooking banana within the existing plantain consumption and cropping systems.
Directory of Open Access Journals (Sweden)
Siavash Sadeghi
2017-08-01
Full Text Available The use of Lightweight Steel Frames (LSF has grown considerably in recent years all over the world due to its unique advantages such as being cost-effective and light-weight, easy and quick installment. Another application is to use them in order to increase the number of new floors on the existing buildings. But since the behavior of the combined structure is not clear, there is no possibility of increasing new floors with Lightweight Steel Frames. Therefore, through selecting and modeling three buildings of three, five and seven floors with steel moment frames in SAP2000 software and adding one or two new floors using Lightweight Steel Frames (LSF and conducting a non-linear static analysis with three different lateral load pattern, we dealt with the seismic behavior and determined the behavior coefficient of each of the combined structures. The results indicated that the use of cold-formed structures in order to add story do not have a significant impact on R-factor. In addition, R-factor depends on the type of the side loading pattern.
Liu, Xudong; Wang, Xiaorong; Lin, Sihao; Song, Qingkun; Lao, Xiangqian; Yu, Ignatius Tak-Sun
2015-01-01
This study was conducted to assess the reproducibility and validity of a food frequency questionnaire (FFQ) that was developed to assess the overall dietary consumption via dietary pattern method in a rural population in southwest China. A total of 179 participants aged between 40 and 70 years old were included in this study. Participants administered FFQ at baseline (FFQ1) and one year later (FFQ2) to assess the reproducibility. Six 3-day 24-hour recalls (24HRs) were completed between the administrations of two FFQs to determine the validity. Dietary patterns from three separate dietary sources were derived by using principle component factor analysis. Comparisons between dietary pattern scores were made by using Pearson or intraclass correlation coefficient, cross-classification analysis, weighted kappa (κ) statistic and Bland-Altman analysis. The de-attenuated method was adopted to correct the monthly and seasonally variation and the partial correlation analysis was used correct the influence by total energy intake. Two major dietary factors, labeled as prudent pattern and processed food pattern, were identified. The prudent pattern was characterized by higher factor loadings of wheat, rice, fresh vegetables, bean products, nuts, red meat, white meat and fresh eggs; and the processed food pattern was characterized by higher factor loadings of pickled vegetables, preserved vegetables and salted meat. Between Two FFQs, intraclass correlation coefficients were 0.57 for prudent pattern and 0.55 for processed food pattern, partial Pearson correlation coefficients were 0.51 for the prudent pattern and 0.56 for the processed food pattern; weighted κ statistic ranged from 0.45 (for the prudent pattern) to 0.56 (for the processed food pattern). Between FFQs and 24HRs, de-attenuated correlation coefficients ranged from 0.54 to 0.78 for the prudent pattern and from 0.55 to 0.61 for the processed food pattern; partial Pearson correlation coefficients ranged from 0.41 to 0
Directory of Open Access Journals (Sweden)
Xudong Liu
Full Text Available This study was conducted to assess the reproducibility and validity of a food frequency questionnaire (FFQ that was developed to assess the overall dietary consumption via dietary pattern method in a rural population in southwest China.A total of 179 participants aged between 40 and 70 years old were included in this study. Participants administered FFQ at baseline (FFQ1 and one year later (FFQ2 to assess the reproducibility. Six 3-day 24-hour recalls (24HRs were completed between the administrations of two FFQs to determine the validity. Dietary patterns from three separate dietary sources were derived by using principle component factor analysis. Comparisons between dietary pattern scores were made by using Pearson or intraclass correlation coefficient, cross-classification analysis, weighted kappa (κ statistic and Bland-Altman analysis. The de-attenuated method was adopted to correct the monthly and seasonally variation and the partial correlation analysis was used correct the influence by total energy intake.Two major dietary factors, labeled as prudent pattern and processed food pattern, were identified. The prudent pattern was characterized by higher factor loadings of wheat, rice, fresh vegetables, bean products, nuts, red meat, white meat and fresh eggs; and the processed food pattern was characterized by higher factor loadings of pickled vegetables, preserved vegetables and salted meat. Between Two FFQs, intraclass correlation coefficients were 0.57 for prudent pattern and 0.55 for processed food pattern, partial Pearson correlation coefficients were 0.51 for the prudent pattern and 0.56 for the processed food pattern; weighted κ statistic ranged from 0.45 (for the prudent pattern to 0.56 (for the processed food pattern. Between FFQs and 24HRs, de-attenuated correlation coefficients ranged from 0.54 to 0.78 for the prudent pattern and from 0.55 to 0.61 for the processed food pattern; partial Pearson correlation coefficients ranged
Closed form fourier-based transmit beamforming for MIMO radar
Lipor, John J.
2014-05-01
In multiple-input multiple-output (MIMO) radar setting, it is often desirable to design correlated waveforms such that power is transmitted only to a given set of locations, a process known as beampattern design. To design desired beam-pattern, current research uses iterative algorithms, first to synthesize the waveform covariance matrix, R, then to design the actual waveforms to realize R. In contrast to this, we present a closed form method to design R that exploits discrete Fourier transform and Toeplitz matrix. The resulting covariance matrix fulfills the practical constraints and performance is similar to that of iterative methods. Next, we present a radar architecture for the desired beampattern that does not require the synthesis of covariance matrix nor the design of correlated waveforms. © 2014 IEEE.
Directory of Open Access Journals (Sweden)
Antonio Gimeno
2014-10-01
Full Text Available Nutritional disorders like ruminal acidosis are common in Spanish beef production system, in which animals are fed diets with a high content in starch. This experiment studied the effect of feed presentation form (concentrate and straw offered separately, CD, or mixed in form of briquettes, BR on the pattern of intake, growth and rumen pH of beef cattle fed high concentrate diets. The experiment was performed with 40 Holstein male calves, 32 of them for determining feed intake pattern and productive rates, and the remaining 8, which were previously provided with a ruminal cannula, to monitor rumen pH in two 21-day consecutive periods following a change-over design. Animals fed BR reduced feed intake rate during the first hour after feeding (18.6 vs. 24.0% of daily intake p<0.001, but this diet promoted a lower rumen pH at all sampling times compared with CD (daily average of 5.98 vs. 6.33; p<0.001 and tended to promote a lower total feed intake (7.08 vs. 9.77 kg DM/d; p<0.001 and daily weight gain (1.43 vs. 1.76 kg/d; p=0.056. Offering the concentrate and the straw mixed in form of briquettes is not useful to prevent ruminal acidosis and improve growth, probably due to both a reduced particle size of straw and avoided self-regulation of straw intake along the day.
Pattern Laser Annealing by a Pulsed Laser
Komiya, Yoshio; Hoh, Koichiro; Murakami, Koichi; Takahashi, Tetsuo; Tarui, Yasuo
1981-10-01
Preliminary experiments with contact-type pattern laser annealing were made for local polycrystallization of a-Si, local evaporation of a-Si and local formation of Ni-Si alloy. These experiments showed that the mask patterns can be replicated as annealed regions with a resolution of a few microns on substrates. To overcome shortcomings due to the contact type pattern annealing, a projection type reduction pattern laser annealing system is proposed for resistless low temperature pattern forming processes.
Park, Subin; Ahn, Myung Hee; Lee, Ahrong; Hong, Jin Pyo
2014-01-01
Background The lethality of the suicide method employed is a strong risk factor for the completion of suicide. We examined whether annual changes in the pattern of suicide methods is related to annual changes in suicide rates in South Korea, the United States (US), and Finland. Methods We analyzed annual data from 2000–2011 for South Korea and Finland, and 2000–2010 for the US in order to examine trends in the rates and methods of suicide. Data on suicide methods were obtained from the World ...
Lee, Ming-Tsang
2011-08-12
In this study we demonstrate a novel approach for the rapid fabricating micro scale metal (silver) patterning directly on a polydimethylsiloxane (PDMS) substrate. Silver nanoparticles were sintered on PDMS to form conductive metal films using laser direct write (LDW) technology. To achieve good metal film quality, a capillarity-assisted laser direct writing (CALDW) of nanoparticle suspensions on a low surface energy material (PDMS) was utilized. Experimental results showed controllable electrical conductivities and good film properties of the sintered silver patterns. This study reveals an advanced method of metal patterning on PDMS, and proposes a new research application of LDW in a nanoparticle colloidal environment. © 2011 IOP Publishing Ltd.
METHOD OF GROUP OBJECTS FORMING FOR SPACE-BASED REMOTE SENSING OF THE EARTH
Directory of Open Access Journals (Sweden)
A. N. Grigoriev
2015-07-01
Full Text Available Subject of Research. Research findings of the specific application of space-based optical-electronic and radar means for the Earth remote sensing are considered. The subject matter of the study is the current planning of objects survey on the underlying surface in order to increase the effectiveness of sensing system due to the rational use of its resources. Method. New concept of a group object, stochastic swath and stochastic length of the route is introduced. The overview of models for single, group objects and their parameters is given. The criterion for the existence of the group object based on two single objects is formulated. The method for group objects formation while current survey planning has been developed and its description is presented. The method comprises several processing stages for data about objects with the calculation of new parameters, the stochastic characteristics of space means and validates the spatial size of the object value of the stochastic swath and stochastic length of the route. The strict mathematical description of techniques for model creation of a group object based on data about a single object and onboard special complex facilities in difficult conditions of registration of spatial data is given. Main Results. The developed method is implemented on the basis of modern geographic information system in the form of a software tool layout with advanced tools of processing and analysis of spatial data in vector format. Experimental studies of the forming method for the group of objects were carried out on a different real object environment using the parameters of modern national systems of the Earth remote sensing detailed observation Canopus-B and Resurs-P. Practical Relevance. The proposed models and method are focused on practical implementation using vector spatial data models and modern geoinformation technologies. Practical value lies in the reduction in the amount of consumable resources by means of
Kuroda, Katsushi; Fujiwara, Takeshi; Hashida, Koh; Imai, Takanori; Kushi, Masayoshi; Saito, Kaori; Fukushima, Kazuhiko
2014-01-01
Background and Aims Heartwood formation is a unique phenomenon of tree species. Although the accumulation of heartwood substances is a well-known feature of the process, the accumulation mechanism remains unclear. The aim of this study was to determine the accumulation process of ferruginol, a predominant heartwood substance of Cryptomeria japonica, in heartwood-forming xylem. Methods The radial accumulation pattern of ferruginol was examined from sapwood and through the intermediate wood to the heartwood by direct mapping using time-of-flight secondary ion mass spectrometry (TOF-SIMS). The data were compared with quantitative results obtained from a novel method of gas chromatography analysis using laser microdissection sampling and with water distribution obtained from cryo-scanning electron microscopy. Key Results Ferruginol initially accumulated in the middle of the intermediate wood, in the earlywood near the annual ring boundary. It accumulated throughout the entire earlywood in the inner intermediate wood, and in both the earlywood and the latewood in the heartwood. The process of ferruginol accumulation continued for more than eight annual rings. Ferruginol concentration peaked at the border between the intermediate wood and heartwood, while the concentration was less in the latewood compared wiht the earlywood in each annual ring. Ferruginol tended to accumulate around the ray parenchyma cells. In addition, at the border between the intermediate wood and heartwood, the accumulation was higher in areas without water than in areas with water. Conclusions TOF-SIMS clearly revealed ferruginol distribution at the cellular level. Ferruginol accumulation begins in the middle of intermediate wood, initially in the earlywood near the annual ring boundary, then throughout the entire earlywood, and finally across to the whole annual ring in the heartwood. The heterogeneous timing of ferruginol accumulation could be related to the distribution of ray parenchyma cells
Potential function of element measurement for form-finding of wide sense tensegrity
Soe, C. K.; Obiya, H.; Koga, D.; Nizam, Z. M.; Ijima, K.
2018-04-01
Tensegrity is a unique morphological structure in which disconnected compression members and connected tension members make the whole structure in self-equilibrium. Many researches have been done on tensegrity structure because of its mysteriousness in form-finding analysis. This study is proposed to investigate the trends and to group into some patterns of the shape that a tensegrity structure can have under the same connectivity and support condition. In this study, tangent stiffness method adopts two different functions, namely power function and logarithm function to element measurement. Numerical examples are based on a simplex initial shape with statically determinate support condition to examine the pure effectiveness of two proposed methods. The tangent stiffness method that can evaluate strict rigid body displacement of elements has a superiority to define various measure potentials and to allow the use of virtual element stiffness freely. From the results of numerical examples, the finding of the dominant trends and patterns of the equilibrium solutions is achieved although it has many related solutions under the same circumstances.
Energy Technology Data Exchange (ETDEWEB)
Katoh, N; Kiyose, R; Yamamoto, Y [Tokyo Univ. (Japan). Faculty of Engineering
1977-10-01
The problem to optimize the number and locations of environmental radiation monitoring detectors is formulated by taking the specifically defined distance measures as a performance index and solved numerically using heuristic programming such as branch and bound method. An ideal numerical example neglecting noises due to background radiation, shows that the desirable number and locations of detectors are determined mainly by the atmospheric conditions and are not significantly influenced by the variation of the rate and pattern of activity release from the nuclear facility. It is shown also that the appropriate and sufficient number of monitoring detectors to be located around the facility will be from three to six at most, if considered from the viewpoint of pattern recognition.
Two dimensional Fourier transform methods for fringe pattern analysis
Sciammarella, C. A.; Bhat, G.
An overview of the use of FFTs for fringe pattern analysis is presented, with emphasis on fringe patterns containing displacement information. The techniques are illustrated via analysis of the displacement and strain distributions in the direction perpendicular to the loading, in a disk under diametral compression. The experimental strain distribution is compared to the theoretical, and the agreement is found to be excellent in regions where the elasticity solution models well the actual problem.
Kim, Seung-Cheol; Kim, Eun-Soo
2009-02-20
In this paper we propose a new approach for fast generation of computer-generated holograms (CGHs) of a 3D object by using the run-length encoding (RLE) and the novel look-up table (N-LUT) methods. With the RLE method, spatially redundant data of a 3D object are extracted and regrouped into the N-point redundancy map according to the number of the adjacent object points having the same 3D value. Based on this redundancy map, N-point principle fringe patterns (PFPs) are newly calculated by using the 1-point PFP of the N-LUT, and the CGH pattern for the 3D object is generated with these N-point PFPs. In this approach, object points to be involved in calculation of the CGH pattern can be dramatically reduced and, as a result, an increase of computational speed can be obtained. Some experiments with a test 3D object are carried out and the results are compared to those of the conventional methods.
Generalized method for sorting Shack-Hartmann spot patterns using local similarity
International Nuclear Information System (INIS)
Smith, Daniel G.; Greivenkamp, John E.
2008-01-01
The sensitivity and dynamic range of a Shack-Hartmann wavefront sensor is enhanced when the spots produced by the lenslet array are allowed to shift more than one lenslet radius from their on-axis positions. However, this presents the problem of accurately and robustly associating the spots with their respective subapertures. This paper describes a method for sorting spots that takes advantage of the local spot position distortions to unwrap the spot pattern. The described algorithm is both simple and robust and also applicable to any lenslet array geometry that can be described as a two-dimensional lattice, including hexagonal arrays, which are shown here to be more efficient than square arrays
Clemens, Joshua William
Game theory has application across multiple fields, spanning from economic strategy to optimal control of an aircraft and missile on an intercept trajectory. The idea of game theory is fascinating in that we can actually mathematically model real-world scenarios and determine optimal decision making. It may not always be easy to mathematically model certain real-world scenarios, nonetheless, game theory gives us an appreciation for the complexity involved in decision making. This complexity is especially apparent when the players involved have access to different information upon which to base their decision making (a nonclassical information pattern). Here we will focus on the class of adversarial two-player games (sometimes referred to as pursuit-evasion games) with nonclassical information pattern. We present a two-sided (simultaneous) optimization solution method for the two-player linear quadratic Gaussian (LQG) multistage game. This direct solution method allows for further interpretation of each player's decision making (strategy) as compared to previously used formal solution methods. In addition to the optimal control strategies, we present a saddle point proof and we derive an expression for the optimal performance index value. We provide some numerical results in order to further interpret the optimal control strategies and to highlight real-world application of this game-theoretic optimal solution.
Development of Fractal Pattern Making Application using L-System for Enhanced Machine Controller
Directory of Open Access Journals (Sweden)
Gunawan Alexander A S
2014-03-01
Full Text Available One big issue facing the industry today is an automated machine lack of flexibility for customization because it is designed by the manufacturers based on certain standards. In this research, it is developed customized application software for CNC (Computer Numerically Controlled machines using open source platform. The application is enable us to create designs by means of fractal patterns using L-System, developed by turtle geometry interpretation and Python programming languages. The result of the application is the G-Code of fractal pattern formed by the method of L-System. In the experiment on the CNC machine, the G-Code of fractal pattern which involving the branching structure has been able to run well.
Controllable Ag nanostructure patterning in a microfluidic channel for real-time SERS systems.
Leem, Juyoung; Kang, Hyun Wook; Ko, Seung Hwan; Sung, Hyung Jin
2014-03-07
We present a microfluidic patterning system for fabricating nanostructured Ag thin films via a polyol method. The fabricated Ag thin films can be used immediately in a real-time SERS sensing system. The Ag thin films are formed on the inner surfaces of a microfluidic channel so that a Ag-patterned Si wafer and a Ag-patterned PDMS channel are produced by the fabrication. The optimum sensing region and fabrication duration for effective SERS detection were determined. As SERS active substrates, the patterned Ag thin films exhibit an enhancement factor (EF) of 4.25 × 10(10). The Ag-patterned polymer channel was attached to a glass substrate and used as a microfluidic sensing system for the real-time monitoring of biomolecule concentrations. This microfluidic patterning system provides a low-cost process for the fabrication of materials that are useful in medical and pharmaceutical detection and can be employed in mass production.
Colombo, P.; Kalb, P.D.
1984-06-05
In the method of the invention low density polyethylene pellets are mixed in a predetermined ratio with radioactive particulate material, then the mixture is fed through a screw-type extruder that melts the low density polyethylene under a predetermined pressure and temperature to form a homogeneous matrix that is extruded and separated into solid monolithic waste forms. The solid waste forms are adapted to be safely handled, stored for a short time, and safely disposed of in approved depositories.
Genetic algorithm for the optimization of the loading pattern for reactor core fuel management
International Nuclear Information System (INIS)
Zhou Sheng; Hu Yongming; zheng Wenxiang
2000-01-01
The paper discusses the application of a genetic algorithm to the optimization of the loading pattern for in-core fuel management with the NP characteristics. The algorithm develops a matrix model for the fuel assembly loading pattern. The burnable poisons matrix was assigned randomly considering the distributed nature of the poisons. A method based on the traveling salesman problem was used to solve the problem. A integrated code for in-core fuel management was formed by combining this code with a reactor physics code
Cruz, Aline Fernanda; de Resende, Renata Gonçalves; de Lacerda, Júlio César Tanos; Pereira, Núbia Braga; Melo, Leonardo Augusto; Diniz, Marina Gonçalves; Gomes, Carolina Cavalieri; Gomez, Ricardo Santiago
2018-01-01
The oral lichen planus is a chronic inflammatory disease. Although its aetiology is not well understood, the role of T lymphocytes in its inflammatory events is recognised. Identifying the epigenetic mechanisms involved in the pathogenesis of this immune-mediated condition is fundamental for understanding the inflammatory reaction that occurs in the disease. The purpose of this work was to evaluate the methylation pattern of 21 immune response-related genes in the different clinical forms of oral lichen planus. A cross-sectional study was performed to analyse the DNA methylation patterns in three distinct groups of oral lichen planus: (i) reticular/plaque lesions; (ii) erosive lesions; (iii) normal oral mucosa (control group). After DNA extraction from biopsies, the samples were submitted to digestions by methylation-sensitive and methylation-dependent enzymes and double digestion. The relative percentage of methylated DNA for each gene was provided using real-time polymerase chain reaction arrays. Hypermethylation of the STAT5A gene was observed only in the control group (59.0%). A higher hypermethylation of the ELANE gene was found in reticular/plaque lesions (72.1%) compared to the erosive lesions (50.0%). Our results show variations in the methylation profile of immune response-related genes, according to the clinical type of oral lichen planus after comparing with the normal oral mucosa. Further studies are necessary to validate these findings using gene expression analysis. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
A new optimization method based on cellular automata for VVER-1000 nuclear reactor loading pattern
International Nuclear Information System (INIS)
Fadaei, Amir Hosein; Setayeshi, Saeed
2009-01-01
This paper presents a new and innovative optimization technique, which uses cellular automata for solving multi-objective optimization problems. Due to its ability in simulating the local information while taking neighboring effects into account, the cellular automata technique is a powerful tool for optimization. The fuel-loading pattern in nuclear reactor cores is a major optimization problem. Due to the immensity of the search space in fuel management optimization problems, finding the optimum solution requires a huge amount of calculations in the classical method. The cellular automata models, based on local information, can reduce the computations significantly. In this study, reducing the power peaking factor, while increasing the initial excess reactivity inside the reactor core of VVER-1000, which are two apparently contradictory objectives, are considered as the objective functions. The result is an optimum configuration, which is in agreement with the pattern proposed by the designer. In order to gain confidence in the reliability of this method, the aforementioned problem was also solved using neural network and simulated annealing, and the results and procedures were compared.
Aubert, A. H.; Tavenard, R.; Emonet, R.; De Lavenne, A.; Malinowski, S.; Guyet, T.; Quiniou, R.; Odobez, J.; Merot, P.; Gascuel-odoux, C.
2013-12-01
events. The patterns themselves are carefully studied, as well as their repartition along the year and along the 12 years of the dataset. We would recommend the use of such model to any study based on patterns or signature extraction. It could be well suited to compare different geographical locations and analyzing the resulting different pattern distributions. (1) Aubert, A.H., Gascuel-Odoux, C., Gruau, G., Akkal, N., Faucheux, M., Fauvel, Y., Grimaldi, C., Hamon, Y., Jaffrezic, A., Lecoz Boutnik, M., Molenat, J., Petitjean, P., Ruiz, L., Merot, Ph. (2013), Solute transport dynamics in small, shallow groundwater-dominated agricultural catchments: insights from a high-frequency, multisolute 10 yr-long monitoring study. Hydrol. Earth Syst. Sci., 17(4): 1379-1391. (2) Aubert, A.H., Tavenard, R, Emonet, R., de Lavenne, A., Malinowski, S., Guyet, T., Quiniou, R., Odobez, J.-M., Merot, Ph., Gascuel-Odoux, C., submitted to WRR. Clustering with a probabilistic method newly applied in hydrology: application on flood events from water quality time-series.
Directory of Open Access Journals (Sweden)
Lee Yun-Shien
2008-03-01
Full Text Available Abstract Background The hierarchical clustering tree (HCT with a dendrogram 1 and the singular value decomposition (SVD with a dimension-reduced representative map 2 are popular methods for two-way sorting the gene-by-array matrix map employed in gene expression profiling. While HCT dendrograms tend to optimize local coherent clustering patterns, SVD leading eigenvectors usually identify better global grouping and transitional structures. Results This study proposes a flipping mechanism for a conventional agglomerative HCT using a rank-two ellipse (R2E, an improved SVD algorithm for sorting purpose seriation by Chen 3 as an external reference. While HCTs always produce permutations with good local behaviour, the rank-two ellipse seriation gives the best global grouping patterns and smooth transitional trends. The resulting algorithm automatically integrates the desirable properties of each method so that users have access to a clustering and visualization environment for gene expression profiles that preserves coherent local clusters and identifies global grouping trends. Conclusion We demonstrate, through four examples, that the proposed method not only possesses better numerical and statistical properties, it also provides more meaningful biomedical insights than other sorting algorithms. We suggest that sorted proximity matrices for genes and arrays, in addition to the gene-by-array expression matrix, can greatly aid in the search for comprehensive understanding of gene expression structures. Software for the proposed methods can be obtained at http://gap.stat.sinica.edu.tw/Software/GAP.
International Nuclear Information System (INIS)
Wang Bo; Zhao Qinghe; Liu Lili; Gao Changyou; Han Kun; Zhang Junhu; Xiang Zheng; Yang Bai
2006-01-01
A novel and versatile soft lithography method, i.e. thermal pressing method has been established to create colloid arrays by using multilevel inks. Patterned poly(dimethylsiloxane) stamp containing silicone dioxide microparticles was pressed into a polycaprolactone (PCL) film at the temperature around the T m of PCL. Subsequent removal of the colloids left cavity arrays. By initially incorporating chitosan, albumin or CdTe quantum dots into the silicone dioxide microparticles, removal of the ordered SiO 2 microspheres would then release these substances which were stably embedded into the PCL matrices or suspended in the interiors of the cellular structures. By coating the SiO 2 microspheres with multilayers previously, thin covers on the cellular structures could be obtained after removal of the templates
Zlokazov, E. Yu.; Starikov, R. S.; Odinokov, S. B.; Tsyganov, I. K.; Talalaev, V. E.; Koluchkin, V. V.
Automatic inspection of security hologram (SH) identity is highly demanded issue due high distribution of SH worldwide to protect documents such as passports, driving licenses, banknotes etc. While most of the known approaches use inspection of SH design features none of these approaches inspect the features of its surface relief that is a direct contribution to original master matrix used for these holograms production. In our previous works we represented the device that was developed to provide SH identification by processing of coherent responses of its surface elements. Most of the algorithms used in this device are based on application of correlation pattern recognition methods. The main issue of the present article is a description of these methods application specificities.
Modeling urbanization patterns at a global scale with generative adversarial networks
Albert, A. T.; Strano, E.; Gonzalez, M.
2017-12-01
Current demographic projections show that, in the next 30 years, global population growth will mostly take place in developing countries. Coupled with a decrease in density, such population growth could potentially double the land occupied by settlements by 2050. The lack of reliable and globally consistent socio-demographic data, coupled with the limited predictive performance underlying traditional urban spatial explicit models, call for developing better predictive methods, calibrated using a globally-consistent dataset. Thus, richer models of the spatial interplay between the urban built-up land, population distribution and energy use are central to the discussion around the expansion and development of cities, and their impact on the environment in the context of a changing climate. In this talk we discuss methods for, and present an analysis of, urban form, defined as the spatial distribution of macroeconomic quantities that characterize a city, using modern machine learning methods and best-available remote-sensing data for the world's largest 25,000 cities. We first show that these cities may be described by a small set of patterns in radial building density, nighttime luminosity, and population density, which highlight, to first order, differences in development and land use across the world. We observe significant, spatially-dependent variance around these typical patterns, which would be difficult to model using traditional statistical methods. We take a first step in addressing this challenge by developing CityGAN, a conditional generative adversarial network model for simulating realistic urban forms. To guide learning and measure the quality of the simulated synthetic cities, we develop a specialized loss function for GAN optimization that incorporates standard spatial statistics used by urban analysis experts. Our framework is a stark departure from both the standard physics-based approaches in the literature (that view urban forms as fractals with a
Communication Pattern And Skill Of Leaders In Private University Management
Ani Yuningsih; Dadan Mulyana
2017-01-01
Communication strategies and skills supported by mutually supportive management tools are one of the managerial skills that must be mastered by private university (PTS) leaders. Leadership communication skills and style will form organizational communication patterns that will become the foundation for a healthy and effective organizational climate to develop its vision and mission. This research is done by using case study method at some PTS which is representation of PTS profile in West Jav...
Directory of Open Access Journals (Sweden)
Hideo Honma
2012-10-01
Full Text Available (1 The photo-induced solubility and positive-tone direct photo-patterning of iron, copper and lanthanides chelated with 4-(2-nitrobenzyloxycarbonylcatechol (NBOC or 4-(6-nitroveratryloxycarbonylcatechol (NVOC was investigated. Photo-patterning of iron, copper, cerium, samarium, europium, terbium, dysprosium, holmium, erbium and lutetium complexes was accomplished. Continuous films were formed by the pyrolysis of metal complex films at 500 °C. (2 Based on the difference in the photo-reaction excitation wavelength profile of NBOC and NVOC complexes, a short and simple method for simultaneous micro-patterning of two independent films on each side of a transparent glass substrate was developed. Using the developed procedure, indium tin oxide and/or titanium oxide films were formed on each side of a quartz substrate without use of resist or etching.
Investigation of CoPd alloys by XPS and EPES using the pattern recognition method
Czech Academy of Sciences Publication Activity Database
Lesiak, B.; Zemek, Josef; Jiříček, Petr; Jozwik, A.
2007-01-01
Roč. 428, - (2007), s. 190-196 ISSN 0925-8388 R&D Projects: GA ČR GA202/06/0459 Institutional research plan: CEZ:AV0Z10100521 Keywords : CoPd alloys * x-ray photoelectron spectroscopy (XPS) * elastic peak electron spectroscopy (EPES) * pattern recognition method * fuzzy k-nearest neighbour rule (fkNN) * quantitative analysis * surface segregation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.455, year: 2007
Weathering Patterns of Ignitable Liquids with the Advanced Distillation Curve Method.
Bruno, Thomas J; Allen, Samuel
2013-01-01
One can take advantage of the striking similarity of ignitable liquid vaporization (or weathering) patterns and the separation observed during distillation to predict the composition of residual compounds in fire debris. This is done with the advanced distillation curve (ADC) metrology, which separates a complex fluid by distillation into fractions that are sampled, and for which thermodynamically consistent temperatures are measured at atmospheric pressure. The collected sample fractions can be analyzed by any method that is appropriate. Analytical methods we have applied include gas chromatography (with flame ionization, mass spectrometric and sulfur chemiluminescence detection), thin layer chromatography, FTIR, Karl Fischer coulombic titrimetry, refractometry, corrosivity analysis, neutron activation analysis and cold neutron prompt gamma activation analysis. We have applied this method on product streams such as finished fuels (gasoline, diesel fuels, aviation fuels, rocket propellants), crude oils (including a crude oil made from swine manure) and waste oils streams (used automotive and transformer oils). In this paper, we present results on a variety of ignitable liquids that are not commodity fuels, chosen from the Ignitable Liquids Reference Collection (ILRC). These measurements are assembled into a preliminary database. From this selection, we discuss the significance and forensic application of the temperature data grid and the composition explicit data channel of the ADC.
Weathering Patterns of Ignitable Liquids with the Advanced Distillation Curve Method
Bruno, Thomas J; Allen, Samuel
2013-01-01
One can take advantage of the striking similarity of ignitable liquid vaporization (or weathering) patterns and the separation observed during distillation to predict the composition of residual compounds in fire debris. This is done with the advanced distillation curve (ADC) metrology, which separates a complex fluid by distillation into fractions that are sampled, and for which thermodynamically consistent temperatures are measured at atmospheric pressure. The collected sample fractions can be analyzed by any method that is appropriate. Analytical methods we have applied include gas chromatography (with flame ionization, mass spectrometric and sulfur chemiluminescence detection), thin layer chromatography, FTIR, Karl Fischer coulombic titrimetry, refractometry, corrosivity analysis, neutron activation analysis and cold neutron prompt gamma activation analysis. We have applied this method on product streams such as finished fuels (gasoline, diesel fuels, aviation fuels, rocket propellants), crude oils (including a crude oil made from swine manure) and waste oils streams (used automotive and transformer oils). In this paper, we present results on a variety of ignitable liquids that are not commodity fuels, chosen from the Ignitable Liquids Reference Collection (ILRC). These measurements are assembled into a preliminary database. From this selection, we discuss the significance and forensic application of the temperature data grid and the composition explicit data channel of the ADC. PMID:26401423
What makes a pattern? Matching decoding methods to data in multivariate pattern analysis
Directory of Open Access Journals (Sweden)
Philip A Kragel
2012-11-01
Full Text Available Research in neuroscience faces the challenge of integrating information across different spatial scales of brain function. A promising technique for harnessing information at a range of spatial scales is multivariate pattern analysis (MVPA of functional magnetic resonance imaging (fMRI data. While the prevalence of MVPA has increased dramatically in recent years, its typical implementations for classification of mental states utilize only a subset of the information encoded in local fMRI signals. We review published studies employing multivariate pattern classification since the technique’s introduction, which reveal an extensive focus on the improved detection power that linear classifiers provide over traditional analysis techniques. We demonstrate using simulations and a searchlight approach, however, that nonlinear classifiers are capable of extracting distinct information about interactions within a local region. We conclude that for spatially localized analyses, such as searchlight and region of interest, multiple classification approaches should be compared in order to match fMRI analyses to the properties of local circuits.
Fan, Yiqiang
2013-12-16
We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax-covered plastic paper; the laser-patterned wax paper will either work as a mask for deep-UV patterning or as a mask for metal sputtering. A microfluidic device was also fabricated to demonstrate the feasibility of this method. The device has two layers: the first layer is a 1-mm thick PMMA substrate that was patterned by deep-UV exposure to create microchannels. The mask used in this process was the laser-cut wax paper. The second layer, also a 1-mm thick PMMA layer, was gold sputtered with patterned wax paper as the shadow mask. These two pieces of PMMA were then bonded to form microchannels with exposed electrodes. This process is a simple and rapid method for creating integrated microfluidic systems that do not require cleanroom facilities.
Jahan, Md. Sarowar; Islam, Md. Jahirul; Begum, Rehana; Kayesh, Ruhul; Rahman, Asma
2014-01-01
A rapid and stability-indicating reversed phase high-performance liquid chromatography (RP-HPLC) method was developed for simultaneous quantification of paracetamol and ibuprofen in their combined dosage form especially to get some more advantages over other methods already developed for this combination. The method was validated according to United States Pharmacopeia (USP) guideline with respect to accuracy, precision, specificity, linearity, solution stability, robustness, sensitivity, and...
Automatic classification of liver scintigram patterns by computer
International Nuclear Information System (INIS)
Csernay, L.; Csirik, J.
1976-01-01
The pattern recognition of projection is one of the problems in the automatic evaluation of scintigrams. An algorythm and a computerized programme with the ability to classify the shapes of liver scintigrams has been elaborated by the authors. The programme differentiates not only normal and pathologic basic forms, but performs the identification of nine normal forms described by the literature. To pattern recognition structural and local parameters of the picture were defined. A detailed mechanism of the programme is given in their reports. The programme can classify 55 out of 60 actual liver scintigrams, a result different from subjective definition obtained in 5 cases. These were normal pattern of liver scans. No wrong definition was obtained when classifying normal and pathologic patterns
Directory of Open Access Journals (Sweden)
Nicolas Sauvageot
Full Text Available Principal component analysis is used to determine dietary behaviors of a population whereas reduced rank regression is used to construct disease-related dietary patterns. This study aimed to compare both types of DP and theirs associations with cardiovascular risk factors (CVRF.Data were derived from the cross sectional NESCAV (Nutrition, Environment and Cardiovascular Health study, aiming to describe the cardiovascular health of the Greater region's population (Grand duchy of Luxembourg, Wallonia (Belgium, Lorraine (France. 2298 individuals were included for this study and dietary intake was assessed using a 134-item food frequency questionnaire.We found that CVRF-related patterns also reflect eating behaviours of the population. Comparing concordant food groups between both dietary pattern methods, a diet high in fruits, oleaginous and dried fruits, vegetables, olive oil, fats rich in omega 6 and tea and low in fried foods, lean and fatty meat, processed meat, ready meal, soft drink and beer was associated with lower prevalence of CVRF. In the opposite, a pattern characterized by high intakes of fried foods, meat, offal, beer, wine and aperitifs and spirits, and low intakes of cereals, sugar and sweets and soft drinks was associated with higher prevalence of CVRF.In sum, we found that a "Prudent" and "Animal protein and alcohol" patterns were both associated with CVRF and behaviourally meaningful. Moreover, the relationships of those dietary patterns with lifestyle characteristics support the theory that food choices are part of a larger pattern of healthy lifestyle.
Jung, Min Wook; Myung, Sung; Song, Wooseok; Kang, Min-A; Kim, Sung Ho; Yang, Cheol-Soo; Lee, Sun Sook; Lim, Jongsun; Park, Chong-Yun; Lee, Jeong-O; An, Ki-Seok
2014-08-27
We have fabricated graphene-based chemical sensors with flexible heaters for the highly sensitive detection of specific gases. We believe that increasing the temperature of the graphene surface significantly enhanced the electrical signal change of the graphene-based channel, and reduced the recovery time needed to obtain a normal state of equilibrium. In addition, a simple and efficient soft lithographic patterning process was developed via surface energy modification for advanced, graphene-based flexible devices, such as gas sensors. As a proof of concept, we demonstrated the high sensitivity of NO2 gas sensors based on graphene nanosheets. These devices were fabricated using a simple soft-lithographic patterning method, where flexible graphene heaters adjacent to the channel of sensing graphene were utilized to control graphene temperature.
Methods for Improving the Diagnosis of a Brugada ECG Pattern.
Gottschalk, Byron H; Garcia-Niebla, Javier; Anselm, Daniel D; Glover, Benedict; Baranchuk, Adrian
2016-03-01
Brugada syndrome (BrS) is an inherited channelopathy that predisposes individuals to malignant arrhythmias and can lead to sudden cardiac death. The condition is characterized by two electrocardiography (ECG) patterns: the type-1 or "coved" ECG and the type-2 or "saddleback" ECG. Although the type-1 Brugada ECG pattern is diagnostic for the condition, the type-2 Brugada ECG pattern requires differential diagnosis from conditions that produce a similar morphology. In this article, we present a case that is suspicious but not diagnostic for BrS and discuss the application of ECG methodologies for increasing or decreasing suspicion for a diagnosis of BrS. © 2015 Wiley Periodicals, Inc.
Methods of acicular ferrite forming in the weld bead metal (Brief analysis
Directory of Open Access Journals (Sweden)
Володимир Олександрович Лебедєв
2016-11-01
Full Text Available A brief analysis of the methods of acicular ferrite formation as the most preferable structural component in the weld metal has been presented. The term «acicular ferrite» is meant as a structure that forms during pearlite and martensite transformation and austenite decomposition. Acicular ferrite is a packet structure consisting of battens of bainitic ferrite, there being no cementite particles inside these battens at all. The chemical elements most effectively influencing on the formation of acicular ferrite have been considered and their combined effect as well. It has been shown in particular, that the most effective chemical element in terms of impact toughness and cost relation is manganese. Besides, the results of multipass surfacing with impulse and constant feed of low-alloy steel wire electrode have been considered. According to these results acicular ferrite forms in both cases. However, at impulse feed of the electrode wire high mechanical properties of surfacing layer were got in the first passes, the form of the acicular ferrite crystallite has been improved and volume shares of polygonal and lamellar ferrite have been reduced. An assumption has been made, according to which acicular ferrite in the surfacing layer may be obtained through superposition of mechanical low-frequency oscillation on the welding torch or on the welding pool instead of periodic thermal effect due to electrode wire periodic feed
Xu, Wenjun; Tang, Chen; Gu, Fan; Cheng, Jiajia
2017-04-01
It is a key step to remove the massive speckle noise in electronic speckle pattern interferometry (ESPI) fringe patterns. In the spatial-domain filtering methods, oriented partial differential equations have been demonstrated to be a powerful tool. In the transform-domain filtering methods, the shearlet transform is a state-of-the-art method. In this paper, we propose a filtering method for ESPI fringe patterns denoising, which is a combination of second-order oriented partial differential equation (SOOPDE) and the shearlet transform, named SOOPDE-Shearlet. Here, the shearlet transform is introduced into the ESPI fringe patterns denoising for the first time. This combination takes advantage of the fact that the spatial-domain filtering method SOOPDE and the transform-domain filtering method shearlet transform benefit from each other. We test the proposed SOOPDE-Shearlet on five experimentally obtained ESPI fringe patterns with poor quality and compare our method with SOOPDE, shearlet transform, windowed Fourier filtering (WFF), and coherence-enhancing diffusion (CEDPDE). Among them, WFF and CEDPDE are the state-of-the-art methods for ESPI fringe patterns denoising in transform domain and spatial domain, respectively. The experimental results have demonstrated the good performance of the proposed SOOPDE-Shearlet.
Fluid pipeline system leak detection based on neural network and pattern recognition
International Nuclear Information System (INIS)
Tang Xiujia
1998-01-01
The mechanism of the stress wave propagation along the pipeline system of NPP, caused by turbulent ejection from pipeline leakage, is researched. A series of characteristic index are described in time domain or frequency domain, and compress numerical algorithm is developed for original data compression. A back propagation neural networks (BPNN) with the input matrix composed by stress wave characteristics in time domain or frequency domain is first proposed to classify various situations of the pipeline, in order to detect the leakage in the fluid flow pipelines. The capability of the new method had been demonstrated by experiments and finally used to design a handy instrument for the pipeline leakage detection. Usually a pipeline system has many inner branches and often in adjusting dynamic condition, it is difficult for traditional pipeline diagnosis facilities to identify the difference between inner pipeline operation and pipeline fault. The author first proposed pipeline wave propagation identification by pattern recognition to diagnose pipeline leak. A series of pattern primitives such as peaks, valleys, horizon lines, capstan peaks, dominant relations, slave relations, etc., are used to extract features of the negative pressure wave form. The context-free grammar of symbolic representation of the negative wave form is used, and a negative wave form parsing system with application to structural pattern recognition based on the representation is first proposed to detect and localize leaks of the fluid pipelines
Applying local binary patterns in image clustering problems
Skorokhod, Nikolai N.; Elizarov, Alexey I.
2017-11-01
Due to the fact that the cloudiness plays a critical role in the Earth radiative balance, the study of the distribution of different types of clouds and their movements is relevant. The main sources of such information are artificial satellites that provide data in the form of images. The most commonly used method of solving tasks of processing and classification of images of clouds is based on the description of texture features. The use of a set of local binary patterns is proposed to describe the texture image.
Novel two-step method to form silk fibroin fibrous hydrogel
International Nuclear Information System (INIS)
Ming, Jinfa; Li, Mengmeng; Han, Yuhui; Chen, Ying; Li, Han; Zuo, Baoqi; Pan, Fukui
2016-01-01
Hydrogels prepared by silk fibroin solution have been studied. However, mimicking the nanofibrous structures of extracellular matrix for fabricating biomaterials remains a challenge. Here, a novel two-step method was applied to prepare fibrous hydrogels using regenerated silk fibroin solution containing nanofibrils in a range of tens to hundreds of nanometers. When the gelation process of silk solution occurred, it showed a top-down type gel within 30 min. After gelation, silk fibroin fibrous hydrogels exhibited nanofiber network morphology with β-sheet structure. Moreover, the compressive stress and modulus of fibrous hydrogels were 31.9 ± 2.6 and 2.8 ± 0.8 kPa, respectively, which was formed using 2.0 wt.% concentration solutions. In addition, fibrous hydrogels supported BMSCs attachment and proliferation over 12 days. This study provides important insight in the in vitro processing of silk fibroin into useful new materials. - Highlights: • SF fibrous hydrogel was prepared by a novel two-step method. • SF solution containing nanofibrils in a range of tens to hundreds of nanometers was prepared. • Gelation process was top-down type gel with several minutes. • SF fibrous hydrogels exhibited nanofiber network morphology with β-sheet structure. • Fibrous hydrogels had higher compressive stresses superior to porous hydrogels.
Khattab, Fatma I.; Ramadan, Nesrin K.; Hegazy, Maha A.; Al-Ghobashy, Medhat A.; Ghoniem, Nermine S.
2015-03-01
Four simple, accurate, sensitive and precise spectrophotometric methods were developed and validated for simultaneous determination of Troxerutin (TXN) and Carbazochrome (CZM) in their bulk powders, laboratory prepared mixtures and pharmaceutical dosage forms. Method A is first derivative spectrophotometry (D1) where TXN and CZM were determined at 294 and 483.5 nm, respectively. Method B is first derivative of ratio spectra (DD1) where the peak amplitude at 248 for TXN and 439 nm for CZM were used for their determination. Method C is ratio subtraction (RS); in which TXN was determined at its λmax (352 nm) in the presence of CZM which was determined by D1 at 483.5 nm. While, method D is mean centering of the ratio spectra (MCR) in which the mean centered values at 300 nm and 340.0 nm were used for the two drugs in a respective order. The two compounds were simultaneously determined in the concentration ranges of 5.00-50.00 μg mL-1 and 0.5-10.0 μg mL-1 for TXN and CZM, respectively. The methods were validated according to the ICH guidelines and the results were statistically compared to the manufacturer's method.
Avitabile, D.; Desroches, M.; Knobloch, E.; Krupa, M.
2017-11-01
A subcritical pattern-forming system with nonlinear advection in a bounded domain is recast as a slow-fast system in space and studied using a combination of geometric singular perturbation theory and numerical continuation. Two types of solutions describing the possible location of stationary fronts are identified, whose origin is traced to the onset of convective and absolute instability when the system is unbounded. The former are present only for non-zero upstream boundary conditions and provide a quantitative understanding of noise-sustained structures in systems of this type. The latter correspond to the onset of a global mode and are present even with zero upstream boundary conditions. The role of canard trajectories in the nonlinear transition between these states is clarified and the stability properties of the resulting spatial structures are determined. Front location in the convective regime is highly sensitive to the upstream boundary condition, and its dependence on this boundary condition is studied using a combination of numerical continuation and Monte Carlo simulations of the partial differential equation. Statistical properties of the system subjected to random or stochastic boundary conditions at the inlet are interpreted using the deterministic slow-fast spatial dynamical system.
Boriollo, Marcelo Fabiano Gomes; Rosa, Edvaldo Antonio Ribeiro; Gonçalves, Reginaldo Bruno; Höfling, José Francisco
2006-03-01
The typing of C. albicans by MLEE (multilocus enzyme electrophoresis) is dependent on the interpretation of enzyme electrophoretic patterns, and the study of the epidemiological relationships of these yeasts can be conducted by cluster analysis. Therefore, the aims of the present study were to first determine the discriminatory power of genetic interpretation (deduction of the allelic composition of diploid organisms) and numerical interpretation (mere determination of the presence and absence of bands) of MLEE patterns, and then to determine the concordance (Pearson product-moment correlation coefficient) and similarity (Jaccard similarity coefficient) of the groups of strains generated by three cluster analysis models, and the discriminatory power of such models as well [model A: genetic interpretation, genetic distance matrix of Nei (d(ij)) and UPGMA dendrogram; model B: genetic interpretation, Dice similarity matrix (S(D1)) and UPGMA dendrogram; model C: numerical interpretation, Dice similarity matrix (S(D2)) and UPGMA dendrogram]. MLEE was found to be a powerful and reliable tool for the typing of C. albicans due to its high discriminatory power (>0.9). Discriminatory power indicated that numerical interpretation is a method capable of discriminating a greater number of strains (47 versus 43 subtypes), but also pointed to model B as a method capable of providing a greater number of groups, suggesting its use for the typing of C. albicans by MLEE and cluster analysis. Very good agreement was only observed between the elements of the matrices S(D1) and S(D2), but a large majority of the groups generated in the three UPGMA dendrograms showed similarity S(J) between 4.8% and 75%, suggesting disparities in the conclusions obtained by the cluster assays.
A bio-inspired spatial patterning circuit.
Chen, Kai-Yuan; Joe, Danial J; Shealy, James B; Land, Bruce R; Shen, Xiling
2014-01-01
Lateral Inhibition (LI) is a widely conserved patterning mechanism in biological systems across species. Distinct from better-known Turing patterns, LI depend on cell-cell contact rather than diffusion. We built an in silico genetic circuit model to analyze the dynamic properties of LI. The model revealed that LI amplifies differences between neighboring cells to push them into opposite states, hence forming stable 2-D patterns. Inspired by this insight, we designed and implemented an electronic circuit that recapitulates LI patterning dynamics. This biomimetic system serve as a physical model to elucidate the design principle of generating robust patterning through spatial feedback, regardless of the underlying devices being biological or electrical.
International Nuclear Information System (INIS)
Khan, M.N.; Hussain, R.; Kalsoom, S.; Saadiq, M.
2016-01-01
A simple, accurate and indirect spectrophotometric method was developed for the quantification of cephalexin in pure form and pharmaceutical products using complexation reaction. The developed method is based on the oxidation of the cephalexin with Fe/sup 3+/ in acidic medium. Then 1, 10- phenanthroline reacts with Fe/sup 2+/ and a red colored complex was formed. The absorbance of the complex was measured at 510 nm by spectrophotometer. Different experimental parameters affecting the complexation reactions were studied and optimized. Beer law was obeyed in the concentration range 0.4 -10 micro gmL/sup -1/ with a good correlation of 0.992. The limit of detection and limit of quantification were found to be 0.065 micro gmL/sup -1/ and 0.218 micro gmL/sup -1/ , respectively. The method have good reproducibility with a relative standard deviation of 6.26 percent (n = 6). The method was successfully applied for the determination of cephalexin in bulk powder and commercial formulation. Percent recoveries were found to range from 95.47 to 103.87 percent for the pure form and 98.62 to 103.35 percent for commercial formulations. (author)
Advanced Pattern Recognition Techniques (Techniques avancees de reconnaissance de forme)
1998-09-01
alarmes dans la d6tection des mines terrestres et des munitions explosives non explos6es. Les m~thodes classiques de reconnaissance de forme...the XVIII. Congress of the International Society for [19] DIN EN 60825-1(IEC 825-1) VDE 0837, Photogrammetry and Remote Sensing Sicherheit von Laser
Directory of Open Access Journals (Sweden)
Anna GEMBALSKA-KWIECIEŃ
2016-10-01
Full Text Available The article discusses innovative forms of participation of employees in the work safety system. It also presents the advantages of these forms of employees’ involvement. The aim of empirical studies was the analysis of their behavior and attitude towards health and safety at work. The issues considered in the article have a significant impact on the improvement of methods of prevention related to work safety and aided the creation of a healthy society.
Solvent-Free Patterning of Colloidal Quantum Dot Films Utilizing Shape Memory Polymers
Directory of Open Access Journals (Sweden)
Hohyun Keum
2017-01-01
Full Text Available Colloidal quantum dots (QDs with properties that can be tuned by size, shape, and composition are promising for the next generation of photonic and electronic devices. However, utilization of these materials in such devices is hindered by the limited compatibility of established semiconductor processing techniques. In this context, patterning of QD films formed from colloidal solutions is a critical challenge and alternative methods are currently being developed for the broader adoption of colloidal QDs in functional devices. Here, we present a solvent-free approach to patterning QD films by utilizing a shape memory polymer (SMP. The high pull-off force of the SMP below glass transition temperature (Tg in conjunction with the conformal contact at elevated temperatures (above Tg enables large-area, rate-independent, fine patterning while preserving desired properties of QDs.
Ozone-forming potential of reformulated gasoline
National Research Council Canada - National Science Library
Committee on Ozone-Forming Potential of Reformulated Gasoline, National Research Council
...) technical aspects of various air-quality issues related to RFG assessment, and (3) the sensitivity of evaluations of the relative ozone-forming potentials to factors related to fuel properties and the variability of vehicle technologies and driving patterns.
Transmission of data: Digital processing of isodose patterns
Energy Technology Data Exchange (ETDEWEB)
Tsien, K C [Department of Radiology, Temple University School of Medicine and Hospital, Philadelphia, PA (United States)
1966-06-15
Communication technology has now reached a stage in which we can transmit almost any form of data from one place to another. While television is the best general form of transmission for visual data, the simplest and least expensive way is by coding the data into numerals. Transmission of data by numerical coding, however, requires decoding at the receiving end to restore it to the original form. The transmission of line curves is done most often by translating the curve into a series of points and then determining the co-ordinates of the I points for transmission. The decoding of these data is generally time-consuming if there is no automatic plotter available. A new method of digitizing line drawings has been developed for use in pattern recognition, which simplifies greatly both coding and decoding in the transmission of line curves. This system can be readily adopted for use with isodose curves.